JP5107185B2 - 成膜装置、基板処理装置、成膜方法及びこの成膜方法を実行させるためのプログラムを記録した記録媒体 - Google Patents

成膜装置、基板処理装置、成膜方法及びこの成膜方法を実行させるためのプログラムを記録した記録媒体 Download PDF

Info

Publication number
JP5107185B2
JP5107185B2 JP2008227024A JP2008227024A JP5107185B2 JP 5107185 B2 JP5107185 B2 JP 5107185B2 JP 2008227024 A JP2008227024 A JP 2008227024A JP 2008227024 A JP2008227024 A JP 2008227024A JP 5107185 B2 JP5107185 B2 JP 5107185B2
Authority
JP
Japan
Prior art keywords
reaction gas
film forming
gas
vacuum vessel
supply unit
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Active
Application number
JP2008227024A
Other languages
English (en)
Other versions
JP2010059495A (ja
Inventor
学 本間
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Tokyo Electron Ltd
Original Assignee
Tokyo Electron Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Tokyo Electron Ltd filed Critical Tokyo Electron Ltd
Priority to JP2008227024A priority Critical patent/JP5107185B2/ja
Priority to US12/549,446 priority patent/US9267204B2/en
Priority to KR1020090082872A priority patent/KR101596045B1/ko
Priority to TW098129629A priority patent/TWI512133B/zh
Priority to CN2009101721181A priority patent/CN101665921B/zh
Publication of JP2010059495A publication Critical patent/JP2010059495A/ja
Application granted granted Critical
Publication of JP5107185B2 publication Critical patent/JP5107185B2/ja
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45544Atomic layer deposition [ALD] characterized by the apparatus
    • C23C16/45548Atomic layer deposition [ALD] characterized by the apparatus having arrangements for gas injection at different locations of the reactor for each ALD half-reaction
    • C23C16/45551Atomic layer deposition [ALD] characterized by the apparatus having arrangements for gas injection at different locations of the reactor for each ALD half-reaction for relative movement of the substrate and the gas injectors or half-reaction reactor compartments
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/4401Means for minimising impurities, e.g. dust, moisture or residual gas, in the reaction chamber
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45519Inert gas curtains
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/20Deposition of semiconductor materials on a substrate, e.g. epitaxial growth solid phase epitaxy
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45563Gas nozzles
    • C23C16/45578Elongated nozzles, tubes with holes

Description

本発明は、成膜装置、基板処理装置、成膜方法及びこの成膜方法を実行させるためのプログラムを記録した記録媒体に係り、特に少なくとも2種類の原料ガスを交互に供給して薄膜を成膜する成膜装置、基板処理装置、成膜方法及びこの成膜方法を実行させるためのプログラムを記録した記録媒体に関する。
半導体製造プロセスにおける成膜手法として、基板である半導体ウェハ(以下「ウェハ」という)等の表面に真空雰囲気下で第1の反応ガスを吸着させた後、供給するガスを第2の反応ガスに切り替えて、両ガスの反応により1層あるいは複数層の原子層や分子層を形成し、このサイクルを多数回行うことにより、これらの層を積層して、基板上への成膜を行うプロセスが知られている。このプロセスは、例えばALD(Atomic Layer Deposition)やMLD(Molecular Layer Deposition)などと呼ばれており、サイクル数に応じて膜厚を高精度にコントロールすることができると共に、膜質の面内均一性も良好であり、半導体デバイスの薄膜化に対応できる有効な手法である。
このような成膜方法が好適である例としては、例えばゲート酸化膜に用いられる高誘電体膜の成膜が挙げられる。一例を挙げると、シリコン酸化膜(SiO膜)を成膜する場合には、第1の反応ガス(原料ガス)として、例えばビスターシャルブチルアミノシラン(以下「BTBAS」という)ガス等が用いられ、第2の反応ガス(酸化ガス)としてオゾンガス等が用いられる。
このような成膜方法を実施する装置としては、真空容器の上部中央にガスシャワーへッドを備えた枚葉の成膜装置を用いて、基板の中央部上方側から反応ガスを供給し、未反応の反応ガス及び反応副生成物を処理容器の底部から排気する方法が検討されている。ところで上記の成膜方法は、パージガスによるガス置換に長い時間がかかり、またサイクル数も例えば数百回にもなることから、処理時間が長いという問題があり、高スループットで処理できる成膜装置、成膜方法が要望されている。
このような背景から、複数枚の基板を真空容器内の回転テーブルに回転方向に配置して成膜処理を行う装置が以下のように既に知られている。
特許文献1には、扇平な円筒状の真空容器を左右に分離し、左側領域及び右側領域に半円の輸郭に沿って形成された排気口が上向きに排気するように設けられると共に、左側半円の輪郭と右側半円の輪郭の間、つまり真空容器の直径領域には分離ガスの吐出孔が形成された分離領域を有する成膜装置の例が開示されている。右側半円領域及び左側半円領域には互いに異なる原料ガスの供給領域が形成され、真空容器内の回転テーブルが回転することでワークピースが右側半円領域、分離領域及び左側半円領域を通過すると共に、両原料ガスは排気口から排気される。そして分離ガスが供給される分離領域の天井は原料ガスの供給領域よりも低くなっている。
特許文献2には、ウェハ支持部材(回転テーブル)の上に回転方向に沿って4枚のウェハを等距離に配置する一方、ウェハ支持部材と対向するように第1の反応ガス吐出ノズル及び第2の反応ガス吐出ノズルを回転方向に沿って等距離に配置しかつこれらノズルの間にパージノズルを配置し、ウェハ支持部材を水平回転させる構成を有する成膜装置の例が開示されている。各ウェハはウェハ支持部材により支持され、ウェハの表面はウェハ支持部材の上面からウェハの厚さだけ上方に位置している。また各ノズルはウェハ支持部材の径方向に伸びるように設けられ、ウェハとノズルとの距離は0.1mm以上であることが記載されている。真空排気はウェハ支持部材の外縁と処理容器の内壁との間から行われる。このような装置によれば、パージガスノズルの下方がいわばエアーカーテンの役割を果たすことで第1の反応ガスと第2の反応ガスとの混合を防止している。
特許文献3には、真空容器内を隔壁により周方向に複数の処理室に分割すると共に、隔壁の下端に対して細隙を介して回転可能な円形の載置台を設けて、この載置台上にウェハを複数配置する構成の例が開示されている。
特許文献4には、円形のガス供給板を周方向に8つに区切り、AsHガスの供給口、Hガスの供給口、TMGガスの供給口及びHガスの供給口を90度ずつずらして配置し、さらにこれらガス供給口の間に排気口を設け、このガス供給板と対向させてウェハを支持したサセプタを回転させる成膜方法の例が開示されている。
また特許文献5には、回転テーブルの上方領域を十字に4つの垂直壁で仕切り、こうして仕切られた4つの載置領域にウェハを載置すると共に、ソースガスインジェクタ、反応ガスインジェクタ、パージガスインジェクタを回転方向に交互に配置して十字のインジェクタユニットを構成し、これらインジェクタを前記4つの載置領域に順番に位置させるようにインジェクタユニットを水平回転させかつ回転テーブルの周辺から真空排気する構成を有する成膜装置の例が開示されている。
更にまた、特許文献6(特許文献7、8)には、ターゲット(ウェハに相当する)に複数のガスを交互に吸着させる原子層CVD方法を実施するにあたり、ウェハを載置するサセプタを回転させ、サセプタの上方からソースガスとパージガスとを供給する装置が記載されている。段落0023から0025には、チャンバの中心から放射状に隔壁が延びており、隔壁の下に反応ガスまたはパージガスをサセプタに供給するガス流出孔が設けられていること、隔壁からのガス流出孔から不活性ガスを流出させることでガスカーテンを形成することが記載されている。排気に関しては段落0058に初めて記載され、この記載によると、ソースガスとパージガスとを夫々排気チャンネル30a、30bから別々に排気するようになっている。
米国特許公報7,153,542号 特開2001−254181号公報 特許3144664号公報 特開平4−287912号公報 米国特許公報6,634,314号 特開2007−247066号公報 米国特許公開公報2007−218701号 米国特許公開公報2007−218702号
ところが、上記の特許文献に開示されている成膜装置及び成膜方法を用い、複数枚の基板を真空容器内の回転テーブルに回転方向に配置して成膜処理を行う場合、次のような問題があった。
特許文献1に開示された成膜装置及び成膜方法を用いる場合、分離ガスの吐出孔と反応ガスの供給領域との間に上向きの排気口を設け、反応ガスをこの排気口から分離ガスと共に排気する手法を採用しているため、ワークピースに吐出された反応ガスが上向き流となって排気口から吸い込まれ、パーティクルの巻上げを伴い、ウェハへのパーティクル汚染を引き起こしやすいという問題があった。
特許文献2に開示された成膜装置及び成膜方法を用いる場合、ウェハ支持部材が回転していることもあって、パージガスノズルからのエアーカーテン作用だけではその両側の反応ガスが通過してしまい、特に回転方向上流側からエアーカーテン中を拡散してしまうことは避けられないという問題があった。更にまた第1の反応ガス吐出ノズルから吐出した第1の反応ガスは回転テーブルに相当するウェハ支持部材の中心部を介して容易に第2の反応ガス吐出ノズルからの第2の反応ガス拡散領域に到達してしまうという問題があった。このように第1の反応ガスと第2の反応ガスとがウェハ上で混合されてしまうと、ウェハ表面に反応生成物が付着し、良好なALD(あるいはMLD)処理ができなくなるという問題があった。
特許文献3に開示された成膜装置及び成膜方法を用いる場合、隔壁と載置台あるいはウェハとの間の隙間からプロセスガスが隣の処理室に拡散し、また複数の処理室の間に排気室を設けているので、ウェハがこの排気室を通るときに上流側及び下流側の処理室からのガスが当該排気室にて混合されてしまう。従って、ALD方式の成膜手法には適用できないという問題があった。
特許文献4に開示された成膜装置及び成膜方法を用いる場合、2つの反応ガスの分離に対して現実的な手段が何ら開示されておらず、サセプタの中心付近においては勿論のこと、実際には中心付近以外においてもHガスの供給口の配列領域を介して2つの反応ガスが混合されてしまうという問題があった。更にまたウェハの通過領域と対向する面に排気口を設けると、サセプタ表面からのパーティクルの巻上げなどによりウェハのパーティクル汚染が起こりやすいという致命的な問題もあった。
特許文献5に開示された成膜装置及び成膜方法を用いる場合、各載置領域にソースガスあるいは反応ガスを供給した後、パージガスノズルにより当該載置領域の雰囲気をパージガスで置換するために長い時間がかかるし、また一の載置領域から垂直壁を越えて隣接する載置領域にソースガスあるいは反応ガスが拡散して、両ガスが載置領域にて反応するおそれが大きいという問題があった。
特許文献6(特許文献7、8)に開示された成膜装置及び成膜方法を用いる場合、パージガスコンパートメントにおいて両側のソースガスコンパートメントにおけるソースガスの混じりあいを避けられず、反応生成物が発生してウェハへのパーティクル汚染が生じるという問題があった。
更に、特許文献1乃至5に開示された成膜装置及び成膜方法を用いる場合、第1の反応ガスとしてCl等を含んだ腐食性を有する反応ガスを供給して成膜を行う場合、又は成膜後に第1の反応ガスを供給するノズルからCl等を含んだ腐食性を有するクリーニングガスを供給してクリーニングを行う場合、真空容器の天板等のアルミニウム材よりなる部分が腐食されてしまうという問題があった。
本発明は上記の点に鑑みてなされたものであり、基板の表面に互いに反応する複数の反応ガスを順番に供給して反応生成物の層を多数積層して薄膜を形成するにあたり、高いスループットが得られ、基板上にて複数の反応ガスが混合されることを防止して良好な処理を行うことができ、真空容器を反応ガス又はクリーニングガスに対して耐食保護することができる成膜装置、成膜方法及びこの方法を実施するプログラムを格納した記録媒体を提供することにある。
上記の課題を解決するために本発明では、次に述べる各手段を講じたことを特徴とするものである。
第1の発明は、真空容器内で第1の反応ガス及び第2の反応ガスを含む少なくとも2種類の原料ガスを順番に供給しかつ前記少なくとも2種類の前記原料ガスを順番に供給する供給サイクルを実行することにより薄膜を成膜する成膜装置において、前記真空容器内に回転可能に設けられ、基板を載置する基板載置部を備える回転テーブルと、前記真空容器を前記第1の反応ガス及び前記第2の反応ガスに対して耐食保護するために、前記回転テーブルの上に対向して設けられる保護天板と、前記第1の反応ガス及び前記第2の反応ガスを供給するために、前記回転テーブルの周縁の互いに異なる位置から回転中心に向かって各々設けられる第1の反応ガス供給部及び第2の反応ガス供給部と、前記第1の反応ガスと前記第2の反応ガスとを分離する第1の分離ガスを供給するために、前記第1の反応ガス供給部と前記第2の反応ガス供給部との間の前記回転テーブルの周縁の位置から回転中心に向かって設けられる第1の分離ガス供給部と、前記第1の反応ガス供給部を含む前記保護天板の下面であって、前記回転テーブルから第1の高さに設けられる第1の下面の領域と、前記第1の下面の領域と前記回転テーブルとの間に形成される第1の空間と、前記第2の反応ガス供給部を含む前記保護天板の下面であって、前記第1の下面の領域と離れた位置に前記回転テーブルから第2の高さに設けられる第2の下面の領域と、前記第2の下面の領域と前記回転テーブルとの間に形成される第2の空間と、前記第1の分離ガス供給部を含み前記回転テーブルの回転方向に沿って前記第1の分離ガス供給部の両側に位置する前記保護天板の下面であって、前記回転テーブルから前記第1の高さ及び前記第2の高さより低い第3の高さに設けられる第3の下面の領域と、前記第3の下面の領域と前記回転テーブルとの間に形成され、前記第1の分離ガス供給部から供給された前記第1の分離ガスが前記第1の空間及び前記第2の空間に流れるための前記第3の高さを有し狭隘な第3の空間と、前記真空容器を前記第1の反応ガス及び前記第2の反応ガスに対して耐食保護するために、前記保護天板と共に前記回転テーブル、前記第1の空間、前記第2の空間及び前記第3の空間を囲繞するように設けられる真空容器保護部と、前記保護天板の下面であって、前記回転テーブルの回転中心の前記基板載置部側に前記第1の反応ガスと前記第2の反応ガスとを分離する第2の分離ガスを供給する第2の分離ガス供給部が設けられる中心部領域と、前記第3の空間の両側に吐出される前記第1の分離ガス及び前記中心部領域から吐出される前記第2の分離ガスと共に前記第1の反応ガス及び前記第2の反応ガスを排気するための排気口とを備えることを特徴とする。
第2の発明は、第1の発明に係る成膜装置において、前記保護天板及び前記真空容器保護部は、石英又はセラミックよりなることを特徴とする。
第3の発明は、第1又は第2の発明に係る成膜装置において、前記真空容器の天板と前記保護天板との間に前記真空容器を耐食保護する第1の保護ガスを供給する第1の保護ガス供給部を備えることを特徴とする。
第4の発明は、第1乃至第3の何れか一つの発明に係る成膜装置において、前記真空容器の底面と前記真空容器保護部との間に前記真空容器を保護する第2の保護ガスを供給する第2の保護ガス供給部を備えることを特徴とする。
第5の発明は、第1乃至第4の何れか一つの発明に係る成膜装置において、前記回転テーブルの回転中心の下側に前記第1の反応ガスと前記第2の反応ガスとを分離する第3の分離ガスを供給する第3の分離ガス供給部を備えることを特徴とする。
第6の発明は、第1乃至第5の何れか一つの発明に係る成膜装置において、前記真空容器の中心部であって前記保護天板の下面と前記真空容器の底面との間に設けられた支柱と、前記支柱を囲み、鉛直軸の周りに回転自在な回転スリーブとを備え、前記回転スリーブは、前記回転テーブルの回転軸であることを特徴とする。
第7の発明は、第1乃至第6の何れか一つの発明に係る成膜装置において、前記第1の下面の領域に代え、前記第1の反応ガス供給部を含み、前記回転テーブルから前記第1の高さより低く設けられる第4の下面の領域と、前記第4の下面の領域に隣接し、前記回転テーブルから前記第1の高さに設けられる第5の下面の領域とを備えることを特徴とする。
第8の発明は、第1乃至第7の何れか一つの発明に係る成膜装置において、前記第2の下面の領域に代え、前記第2の反応ガス供給部を含み、前記回転テーブルから前記第2の高さより低く設けられる第6の下面の領域と、前記第6の下面の領域に隣接し、前記回転テーブルから前記第2の高さに設けられる第7の下面の領域とを備えることを特徴とする。
第9の発明は、第1乃至第8の何れか一つの発明に係る成膜装置において、前記基板載置部に載置された前記基板の表面は前記回転テーブルの表面と同じ高さであるか、前記回転テーブルの前記表面より前記基板の前記表面が低い位置であることを特徴とする。
第10の発明は、第1乃至第9の何れか一つの発明に係る成膜装置において、前記第1の反応ガス供給部、前記第2の反応ガス供給部及び前記第1の分離ガス供給部へガスを各々導入するためのガス導入ポートは、前記回転テーブルの回転中心側又は周縁側に設けられることを特徴とする。
第11の発明は、第1乃至第10の何れか一つの発明に係る成膜装置において、前記第1の分離ガス供給部には、前記回転テーブルの回転中心側から周縁側に向かって吐出孔が配列されることを特徴とする。
第12の発明は、第11の発明に係る成膜装置において、前記第3の下面の領域であって、該第3の下面の領域に含まれる前記第1の分離ガス供給部の前記吐出孔によって二分される二つの領域は、前記基板載置部に載置される前記基板の中心が通過する部分の前記回転テーブルの回転方向に沿った幅寸法の各々が50mm以上であることを特徴とする。
第13の発明は、第1乃至第12の何れか一つの発明に係る成膜装置において、前記第3の下面の領域における前記保護天板の下面は、平面又は曲面であることを特徴とする。
第14の発明は、第1乃至第13の何れか一つの発明に係る成膜装置において、前記真空容器の底面の周縁であって、前記第1の空間及び前記第2の空間の近くに各々設けられた第1の排気口及び第2の排気口を備えることを特徴とする。
第15の発明は、第1乃至第14の何れか一つの発明に係る成膜装置において、前記第3の空間の圧力は、前記第1の空間の圧力及び前記第2の空間の圧力より高いことを特徴とする。
第16の発明は、第1乃至第15の何れか一つの発明に係る成膜装置において、前記真空容器保護部の下に、前記回転テーブルを加熱する加熱部を備えることを特徴とする。
第17の発明は、第1乃至第16の何れか一つの発明に係る成膜装置において、前記真空容器の外に前記基板の搬入出を行うために、前記真空容器の側面に設けられ、ゲートバルブにより開閉可能な搬送口を備えることを特徴とする。
第18の発明は、第1乃至第17の何れか一つの発明に係る成膜装置において、前記第3の下面の領域は、前記回転テーブルの回転中心から周縁に位置するほど幅が広い形状を有することを特徴とする。
第19の発明は、第1乃至第18の何れか一つの発明に係る成膜装置において、前記第3の下面の領域は、平面視において扇型の形状を有することを特徴とする。
第20の発明に係る基板処理装置は、第1乃至第19の何れか一つの発明に係る成膜装置と、前記成膜装置に気密に接続され、内部に基板搬送部が設けられた真空搬送室と、前記真空搬送室に気密に接続され、真空雰囲気と大気雰囲気との間で雰囲気が切換え可能な予備真空室とを備えることを特徴とする。
第21の発明は、真空容器を耐食保護するための真空容器保護部で囲繞される空間において第1の反応ガス及び第2の反応ガスを含む少なくとも2種類の原料ガスを順番に供給しかつ前記少なくとも2種類の前記原料ガスを順番に供給する供給サイクルを実行することにより基板上に薄膜を成膜する際に、前記基板が載置される回転テーブル上側の前記第1の反応ガスと前記第2の反応ガスとを分離するための第1の分離ガスを供給する領域における前記回転テーブル上面から前記真空容器保護部の天板までの高さを、前記第1の反応ガス及び前記第2の反応ガスを供給する領域における前記回転テーブル上面から前記真空容器保護部の前記天板までの高さに比べて低くすることによって、前記回転テーブル上面と前記真空容器保護部の前記天板との間に形成される狭隘な空間に前記第1の分離ガスを供給し、前記真空容器保護部の前記天板の下面であって前記回転テーブルの回転中心上側の中心部領域に前記第1の反応ガス及び前記第2の反応ガスを分離する第2の分離ガスを供給し、前記第1の分離ガス及び前記第2の分離ガスと共に前記第1の反応ガス及び前記第2の反応ガスを排気することによって、前記第1の反応ガス及び前記第2の反応ガスを分離して供給しながら薄膜を成膜する成膜方法であって、前記真空容器内の前記回転テーブルに基板を載置する載置工程と、前記回転テーブルを回転させる回転工程と、前記回転テーブルの互いに異なる位置に設けられる第1の反応ガス供給部及び第2の反応ガス供給部の各々から前記第1の反応ガス及び前記第2の反応ガスを供給し、前記第1の反応ガス供給部と前記第2の反応ガス供給部との間に設けられる第1の分離ガス供給部から前記第1の分離ガスを供給し、前記回転テーブルの回転に伴って前記基板を移動させ、前記基板の表面への前記第1の反応ガスの供給、前記第1の反応ガスの停止、前記第2の反応ガスの供給及び前記第2の反応ガスの停止を繰り返して薄膜を成膜する成膜工程とを含むことを特徴とする。
第22の発明は、第21の発明に係る成膜方法において、石英又はセラミックよりなる前記真空容器保護部を用いて行うことを特徴とする。
第23の発明は、第21又は第22の発明に係る成膜方法において、前記真空容器保護部と前記真空容器との間の空間である保護空間に前記真空容器を耐食保護する第1の保護ガスを流通させ、前記保護空間の圧力を前記真空容器保護部で囲繞される空間の圧力より高くして行うことを特徴とする。
第24の発明は、第21乃至第23の何れか一つの発明に係る成膜方法において、前記第1の反応ガスを供給する際に、前記回転テーブル上側の前記第1の反応ガスを供給する領域の一部であって前記第1の反応ガス供給部を含む部分における前記回転テーブル上面から前記真空容器保護部の前記天板までの高さを、前記第1の反応ガスを供給する領域の他の部分における前記回転テーブル上面から前記真空容器保護部の前記天板までの高さより低くして行うことを特徴とする。
第25の発明は、第21乃至第24の何れか一つの発明に係る成膜方法において、前記第2の反応ガスを供給する際に、前記回転テーブル上側の前記第2の反応ガスを供給する領域の一部であって前記第2の反応ガス供給部を含む部分における前記回転テーブル上面から前記真空容器保護部の前記天板までの高さを、前記第2の反応ガスを供給する領域の他の部分における前記回転テーブル上面から前記真空容器保護部の前記天板までの高さより低くして行うことを特徴とする。
第26の発明は、第21乃至第25の何れか一つの発明に係る成膜方法において、前記回転テーブルに載置された前記基板の表面が、前記回転テーブルの表面と高さが同じであるか又は前記回転テーブルの前記表面より低くなるように、前記回転テーブルに凹部が設けられることを特徴とする。
第27の発明は、第21乃至第26の何れか一つの発明に係る成膜方法において、前記回転テーブルを加熱しながら行うことを特徴とする。
第28の発明は、第21乃至第27の何れか一つの発明に係る成膜方法において、前記真空容器を、前記第1の反応ガス及び前記第2の反応ガスを各々専用に排気するために設けられた第1の排気口及び第2の排気口を通して排気しながら行うことを特徴とする。
第29の発明は、第21乃至第28の何れか一つの発明に係る成膜方法において、前記真空容器保護部と前記真空容器の底面との間に第2の保護ガスを流通させることを特徴とする。
第30の発明は、コンピュータに第21乃至第29の何れか一つの発明に係る成膜方法を実行させるためのプログラムを記録したコンピュータ読み取り可能な記録媒体であることを特徴とする。
本発明によれば、高いスループットが得られ、基板上にて複数の反応ガスが混合されることを防止して良好な処理を行うことができ、真空容器を反応ガス又はクリーニングガスに対して耐食保護することができる。
次に、本発明を実施するための最良の形態について図面と共に説明する。
(第1の実施の形態)
図1乃至図10を参照し、本発明の第1の実施の形態に係る成膜装置及び成膜方法を説明する。
初めに、図1乃至図9を参照し、本実施の形態に係る成膜装置の構成を説明する。
図1は、本実施の形態に係る成膜装置の構成を模式的に示す縦断面図である。図1は、図3におけるB−B線に伴う縦断面図である。図2は、本実施の形態に係る成膜装置の構成を模式的に示す斜視図である。図3は、本実施の形態に係る成膜装置の構成を模式的に示す横断平面図である。図2及び図3は、真空容器1の天板11が分離された状態における斜視図及び横断平面図である。図4は、本実施の形態に係る成膜装置を説明するための図であり、第1乃至第3の空間を示す断面図である。図4は、回転テーブルを含み回転テーブルより上側の部分を同心円に沿って切断し横に展開して示す展開図である。図5は、本実施の形態に係る成膜装置を説明するための図であり、第1の反応ガス供給部を示す斜視図である。図6は、本実施の形態に係る成膜装置を説明するための図であり、第3の下面部の寸法例を説明するための横断面図及び縦断面図である。図7は、本実施の形態に係る成膜装置の一部を説明するための図であり、図3におけるA−A線に伴う縦断面図である。図8は、本実施の形態に係る成膜装置の一部を第2の分離ガス、第3の分離ガス及び第2の保護ガスが流れる様子を説明するための図であり、図3におけるB−B線に伴う縦断面図である。図9は、本実施の形態に係る成膜装置の一部を示す破断斜視図である。図10は、本実施の形態に係る成膜装置の制御部の構成を模式的に示す図である。
図1乃至図3に示されるように、本実施の形態に係る成膜装置は、真空装置1並びに真空容器1に収容される回転テーブル2、第1の反応ガス供給部31、第2の反応ガス供給部32、第1の分離ガス供給部41、42、保護天板4及び真空容器保護部49を有する。
真空容器1は、図1乃至図3に示されるように、平面形状が略円形で扁平な形状を有する。真空容器1は、天板11、容器本体12、Oリング13、底面部14を有する。
天板11は、容器本体12から分離可能に備えられる。天板11は、内部の減圧状態により、封止部材例えばOリング13を介して容器本体12側に押し付けられ気密状態を維持する。また、天板11が容器本体12から分離される場合、図示しない駆動機構により上方に持ち上げられる。
次に、真空容器1に収容される各部分のうち、回転テーブル2と、天板11より下側であって、回転テーブル2よりも上側に設けられる部分を説明する。即ち、回転テーブル2、第1の反応ガス供給部31、第2の反応ガス供給部32、第1の分離ガス供給部41,42、保護天板4、第2の分離ガス供給部51について説明する。
回転テーブル2は、図1に示されるように、真空容器1の中心に回転中心を有するように設けられる。回転テーブル2は、ケース体20、コア部21、回転軸22、駆動部23、凹部24を備える。
回転テーブル2は、中心部にて円筒形状のコア部21に固定され、コア部21は、鉛直方向に伸びる回転軸22の上端に固定される。回転軸22は真空容器1の底面部14を貫通し、その下端が回転軸22を鉛直軸周りに時計方向に回転させる駆動部23に取付けられる。回転軸22及び駆動部23は、上面が開口した円筒状のケース体20に収納される。ケース体20は、ケース体20の上面に設けられたフランジ部分が真空容器1の底面部14の下面に気密に取付けられ、ケース体20の内部雰囲気と外部雰囲気との気密状態が維持される。
凹部24は、図2及び図3に示されるように、回転方向(周方向)に沿って複数枚例えば5枚の基板であるウェハを載置するために、回転テーブル2の表面部に設けられる。凹部24は、円形状の形状を有する。凹部24は、ウェハを位置決めして回転テーブル2の回転に伴う遠心力により飛び出さないようにするためのものであり、本発明の基板載置部に相当する。なお図3には便宜上1個の凹部24だけにウェハWを図示する。
凹部24は、図4(a)に示されるように、凹部24の直径がウェハの直径よりも僅かに例えば4mm大きく、またその深さはウェハの厚みと同等の大きさに設定される。従って、ウェハを凹部24に落とし込むと、ウェハの表面と回転テーブル2の表面(ウェハが載置されない領域)との高さが揃う。ウェハの表面と回転テーブル2の表面との間の高さの差が大きいとその段差部分で圧力変動が生ずるので、膜厚の面内均一性を揃えるためには、ウェハの表面と回転テーブル2の表面との高さを揃えることが必要である。ウェハの表面と回転テーブル2の表面との高さを揃えることは、凹部24(基板載置部)に載置されたウェハ(基板)の表面が回転テーブル2の表面と同じ高さであるか、回転テーブル2の表面よりウェハ(基板)の表面が低い位置であることを意味するが、加工精度などに応じてできるだけ両面の高さの差をゼロに近づけるのがよく、両面の高さは5mm以内がよい。凹部24の底面には、ウェハの裏面を支えてウェハを昇降させるために、例えば図9を用いて後述するような3本の昇降ピンが貫通する貫通孔が形成される。
なお、基板載置部は、凹部に限らず、例えば回転テーブル2の表面にウェハの周縁をガイドするガイド部材をウェハの周方向に沿って複数並べた構成でもあってもよく、或いは回転テーブル2側に静電チャックなどのチャック機構を設けた構成であってもよい。回転テーブル2側にチャック機構を設けてウェハを吸着する場合には、吸着によりウェハが載置される領域が基板載置部となる。
第1の反応ガス供給部31、第2の反応ガス供給部32、及び2本の第1の分離ガス供給部41、42は、図2及び図3に示されるように、第1の反応ガス及び第2の反応ガスを供給するために、回転テーブル2における凹部24の基板載置部と各々対向する位置に、真空容器1の周縁(回転テーブル2の周縁)の互いに異なる位置から回転中心に向かって各々設けられる。第1の反応ガス供給部31、第2の反応ガス供給部32、及び2本の第1の分離ガス供給部41、42は、下方側に反応ガスを吐出するための吐出孔が長さ方向に間隔を置いて穿設されるノズルである。
第1の反応ガス供給部31、第2の反応ガス供給部32、及び2本の第1の分離ガス供給部41、42は、例えば真空容器1の側壁に取り付けられ、その基端部であるガス導入ポート31a、32a、41a、42aは側壁を貫通する。本実施の形態では、一部図5に示されるように、ガス導入ポート31a、32a、41a、42aは真空容器1の側壁から導入されているが、環状の突出部53(後述)から導入しても良い。この場合、突出部53の外周面と天板11の外表面とに開口するL字型の導管を設け、真空容器1内でL字型の導管の一方の開口に第1の反応ガス供給部31、第2の反応ガス供給部32、及び2本の第1の分離ガス供給部41、42を接続し、真空容器1の外部でL字型の導管の他方の開口にガス導入ポート31a、32a、41a、42aを接続することができる。
第1の反応ガス供給部31及び第2の反応ガス供給部32には、図4(a)及び図4(b)に示されるように、下方側に反応ガスを吐出するための吐出孔33がノズルの長さ方向に間隔を置いて穿設される。本実施の形態では、例えば、第1の反応ガス供給部31、第2の反応ガス供給部32を構成するガスノズルの長さ方向に沿って、真下に向いた例えば口径が0.5mmの吐出孔が10mmの間隔で穿設される。
第1の分離ガス供給部41、42には、図4(a)及び図4(b)に示されるように、下方側に分離ガスを吐出するための吐出孔40が長さ方向に間隔を置いて穿設される。本実施の形態では、例えば、第1の分離ガス供給部41、42を構成するガスノズルの長さ方向に沿って、真下に向いた例えば口径が0.5mmの吐出孔が10mmの間隔で穿設される。
第1の反応ガス供給部31、第2の反応ガス供給部32は、真空容器1の外部に配設される第1の反応ガスのガス供給源及び第2の反応ガスのガス供給源に接続され、第1の分離ガス供給部41、42は、真空容器1の外部に配設される第1の分離ガスのガス供給源に接続される。本実施の形態では、第2の反応ガス供給部32、第1の分離ガス供給部41、第1の反応ガス供給部31及び、第1の分離ガス供給部42が、この順に時計方向に配置される。
本実施の形態では、第1の反応ガスとして、例えばBTBAS(ビスターシャルブチルアミノシラン)ガスを用いることができる。また、第2の反応ガスとして、例えばO(オゾン)ガスを用いることができる。更に、第1の分離ガスとして、例えばN(窒素)ガスを用いることができる。なお、第1の分離ガスは、Nガスに限られずAr等の不活性ガスを用いることができるが、不活性ガスに限らず水素ガス等であってもよく、成膜処理に影響を与えないガスであれば、ガスの種類に関しては特に限定されるものではない。
保護天板4は、図1に示されるように、真空容器1の天板11と回転テーブル2との間に、回転テーブル2に対向して設けられる。保護天板4は、真空容器1を第1の反応ガス及び第2の反応ガスに対して耐食保護するためのものである。保護天板4は、例えば石英又はセラミックよりなる。
保護天板4の下面は、図2乃至図4(b)に示されるように、回転テーブル2の上面と距離H1だけ離れた面である第1の下面部(第1の下面の領域)45、回転テーブル2の上面と距離H2だけ離れた面である第2の下面部(第2の下面の領域)45a、及び第1の下面部45と第2の下面部45aとの間に形成され、回転テーブルの上面と距離H3だけ離れた面である第3の下面部(第3の下面の領域)44の3つの領域と、第1の下面部45及び第2の下面部45aにおいて、各々の領域の回転中心側に隣接する突出部53、更にコア部21に対応する回転中心側部5を有する。
第1の下面部45、第2の下面部45a及び第3の下面部44は、各々第1の反応ガス供給部31、第2の反応ガス供給部32、及び第1の分離ガス供給部41を含む保護天板4の下面の領域である。なお、第3の下面部44は、第1の分離ガス供給部41によって二分されている。
また、保護天板4の下面である第1の下面部45、第2の下面部45a及び2つの第3の下面部44の4つの領域の各々は、図2乃至図4(b)に示されるように、回転テーブル2との間に、第1の空間P1、第2の空間P2及び2つの第3の空間Dを形成する。
保護天板4の第1の下面部45は、図4(a)及び図4(b)に示されるように、第1の反応ガス供給部31を含む保護天板4の下面の領域である。第2の下面部45aは、図4(a)及び図4(b)に示されるように、第2の反応ガス供給部32を含む保護天板4の下面の領域である。第3の下面部44は、図4(a)及び図4(b)に示されるように、第1の分離ガス供給部41、42を含む保護天板4の下面の領域である。また、第1の分離ガス供給部41、42の中心軸から扇形の形状を有する第3の下面部44の回転テーブル2の順回転方向及び逆回転方向における両縁までの距離は、同じ長さに設定される。
このとき、保護天板4の第3の下面部44は、各々第1の分離ガス供給部41、42に対する回転テーブル2の回転方向上流側において、回転テーブル2の周縁に位置する部位ほど幅を大きくすることができる。回転テーブル2が回転することによって、回転テーブル2の周縁に近い部位ほど、回転方向上流側から第3の下面部44に向かうガスの流れが速いためである。本実施の形態では、直径300mmのウェハWを被処理基板としており、第3の下面部44の周方向の長さ(回転テーブル2と同心円の円弧の長さ)は、回転中心から140mm離れた突出部53に近い部位において例えば146mmであり、凹部24(基板載置部)の最も外側の位置において例えば502mmである。なお、図4(a)に示されるように、この最も外側の位置において第1の分離ガス供給部41(42)の両端から夫々左右に位置する保護天板4の第3の下面部44の周方向の長さLでみれば、長さLは246mmである。
第1の反応ガス供給部31を含む保護天板4の第1の下面部45は、図1及び図4(a)に示されるように、回転テーブル2から第1の高さH1に設けられる。第2の反応ガス供給部32を含む第2の下面部45aは、図1及び図4(a)に示されるように、回転テーブル2から第2の高さH2に設けられる。第1の分離ガス供給部41を含む第3の下面部44は、図4(a)に示されるように、回転テーブル2から第3の高さH3に設けられる。第3の高さH3は、第1の高さH1及び第2の高さH2よりも低い。また、第1の高さH1と第2の高さH2との大小関係は、特に限定されるものではないが、例えばH1=H2とすることができる。従って、本実施の形態では、H3<H1=H2とすることができる。
即ち、図4(a)に示されるように、第1の分離ガス供給部41における回転方向両側には、回転テーブル2から第3の高さH3に設けられる保護天板4の下面である第3の下面部44が存在し、第3の下面部44の回転方向両側には、第3の下面部44より高い第1の下面部45及び第2の下面部45aが存在する。換言すれば、第1の分離ガス供給部41における回転方向両側には、第3の空間Dが存在し、第3の空間Dの回転方向両側には、第1の空間P1及び第2の空間P2が存在する。同様に、第1の空間P1の反対側及び第2の空間P2の反対側との間には、第3の空間Dが存在する。
ここで、第3の空間Dの役割である第1の空間P1の雰囲気と第2の空間P2の雰囲気との分離作用について説明する。
第3の下面部44は、第1の分離ガス供給部41と組合せることによって、第3の空間Dに第1の反応ガス及び第2の反応ガスの侵入を阻止し、第1の反応ガスと第2の反応ガスとの混合を阻止するためのものである。即ち、第3の空間Dにおいては、回転テーブル2の逆回転方向側からの第2の反応ガスの侵入が阻止され、回転テーブル2の順回転方向側からの第1の反応ガスの侵入も阻止される。「ガスの侵入が阻止される」とは、第1の分離ガス供給部41から吐出した第1の分離ガスが第3の空間Dに拡散し、隣接する第2の下面部45aの下方側空間である第2の空間P2に吹き出し、これにより隣接する第1の空間P1及び第2の空間P2からのガスが侵入できないことを意味する。そして「ガスが侵入できない」とは、隣接する第1の空間P1及び第2の空間P2から第3の空間Dにガスが全く入り込むことができない状態のみを意味するのではなく、多少侵入はするが、両側から夫々侵入した第1の反応ガス及び第2の反応ガスが第3の空間Dで混合されない状態も意味する。これらの状態が得られる限り、第3の空間Dの役割である第1の空間P1の雰囲気と第2の空間P2の雰囲気との分離作用が確保される。なお、ウェハに吸着したガスは、第3の空間D内を通過することができるため、「ガスの侵入」におけるガスとは、気相中のガスを意味する。
また図4(a)に示されるように、保護天板4の第3の下面部44の回転テーブル2からの高さH3は、例えば約0.5mmから約10mmであって良く、約4mmであると好適である。この場合、回転テーブル2の回転数は例えば1rpm〜500rpmに設定される。第3の下面部44の分離機能を確保するためには、回転テーブル2の回転数の使用範囲などに応じて、第3の下面部44の大きさや第3の下面部の回転テーブル2からの高さH3を例えば実験などに基づいて設定することになる。なお第1の分離ガスとしては、Nガスに限られずArガスなどの不活性ガスを用いることができるが、不活性ガスに限らず水素ガスであってもよく、成膜処理に影響を与えないガスであれば、ガスの種類に関しては特に限定されるものではない。
そして第1の分離ガス供給部41(42)の両側に各々位置する狭隘な空間を形成する第3の下面部44は、図6(a)及び図6(b)に第1の分離ガス供給部41を代表して示すように、ウェハWの中心WOが通過する部分の回転テーブル2の回転方向に沿った幅寸法(ウェハ中心WOが通る経路に対応する円弧の長さ)LとしてウェハWの直径の約1/10〜約1/1の長さであって良く、約1/6以上であると好ましい。具体的には、ウェハWが300mmの直径を有している場合、この長さLは、約50mm以上が好ましい。第3の下面部44の両側から第3の下面部44の下方である第3の空間D(第1の高さH1及び第2の高さH2よりも低い第3の高さH3を有する狭隘な空間)に反応ガスが侵入することを有効に阻止するためには、幅寸法Lが短い場合には、それに応じて第3の下面部44と回転テーブル2との間の距離である第3の高さH3も小さくする必要がある。更に、第3の下面部44と回転テーブル2との間の距離である第3の高さH3をある寸法に設定したとすると、回転テーブル2の回転中心から離れる程、回転テーブル2の速度が速くなってくるので、反応ガスの侵入阻止効果を得るために要求される幅寸法Lは、回転中心から離れる程長くなる。このような観点から考察すると、ウェハWの中心WOが通過する部分における幅寸法Lが50mmよりも小さいと、第3の下面部44と回転テーブル2との距離である第3の高さH3をかなり小さくする必要があるため、回転テーブル2を回転したときに回転テーブル2あるいはウェハWと第3の下面部44との衝突を防止するために、回転テーブル2の振れを極力抑える工夫が要求される。更にまた回転テーブル2の回転数が高い程、第3の下面部44の上流側から第3の下面部44の下方側に反応ガスが侵入しやすくなるので、幅寸法Lを50mmよりも小さくすると、回転テーブル2の回転数を低くしなければならず、スループットの点で得策ではない。従って、幅寸法Lが50mm以上であることが好ましい。しかし、第3の下面部44のサイズは、上記のサイズに限定されることなく、使用されるプロセスパラメータやウェハサイズに従って調整して良い。また、狭隘な空間である第3の空間Dが、第3の空間Dから第1(第2)の空間P1(P2)への分離ガスの流れが形成される程度の高さを有している限りにおいて、上述の説明から明らかなように、狭隘な空間(第3の空間D)の高さ(第3の高さ)H3もまた、使用されるプロセスパラメータやウェハサイズに加えて、たとえば第3の下面部44の面積に応じて調整してよい。
保護天板4の突出部53は、第1の下面部45及び第2の下面部45aにおいて、図1に示されるように、各々の領域の回転中心側と、コア部21の外周側との間にあって、回転テーブル2と対向する領域である。また、保護天板4の突出部53は、2つの第3の下面部44において、図7に示されるように、各々の領域の回転中心側と連続して一体に形成され、その下面は第3の下面部44と同じ高さに形成される。ただし、保護天板4の突出部53と第3の下面部44は、必ずしも一体でなくても良く、別体であっても良い。
保護天板4の回転中心側部5は、突出部53の回転中心側に位置する領域である。本実施の形態において、回転中心側部5と突出部53との境界は、例えば回転中心から140mmの半径を有する円周上に設けることができる。
第2の分離ガス供給部51は、図1及び図7に示されるように、真空容器1の天板11を貫通し、保護天板4の中心部に接続される。第2の分離ガス供給部51は、保護天板4とコア部21との間の空間である中心部領域Cに第2の分離ガスを供給するためのものである。第2の分離ガスとして、特に限定されるものはないが、例えばNガスが用いられる。
中心部領域Cに供給された第2の分離ガスは、突出部53と回転テーブル2との狭い隙間50を介して回転テーブル2の基板載置部側の表面に沿って周縁に向けて吐出される。突出部53で囲まれる空間には第2の分離ガスが満たされるので、第1の空間P1と第2の空間P2との間で回転テーブル2の中心部を介して第1の反応ガスと第2の反応ガスとが混合することを阻止する。即ち、成膜装置は、第1の空間P1と第2の空間P2との雰囲気を分離するために回転テーブル2の回転中心部と真空容器11とにより区画され、第2の分離ガスが供給されると共に回転テーブル2の表面に分離ガスを吐出する吐出口が回転方向に沿って形成された中心部領域Cを備える。なお、吐出口は突出部53と回転テーブル2との狭い隙間50に相当する。
次に、真空容器1に収容される各部分のうち、回転テーブル2の外周面側及び回転テーブル2の下側であって底面部14よりも上側にある部材について説明する。即ち、真空容器保護部49、容器本体12、排気空間6について説明する。
真空容器保護部49は、図1及び図7に示されるように、保護天板4の下側に設けられ、保護天板4と共に回転テーブル2、第1の空間P1、第2の空間P2及び第3の空間Dを囲繞するように設けられる。真空容器保護部49は、真空容器1を第1の反応ガス及び第2の反応ガスに対して耐食保護するためのものである。真空容器保護部49は、保護円筒49a及び保護底板49bよりなる。保護円筒49a及び保護底板49bは、保護天板4と同様に、例えば石英又はセラミックよりなる。
保護円筒49aは、図1及び図7に示されるように、回転テーブル2と容器本体12との間において、回転テーブル2の外端面に対向するように設けられる。また、保護底板49bは、図1及び図7に示されるように、回転テーブル2と容器本体12との間において、回転テーブル2の下面に対向するように設けられる。
保護円筒49a及び保護底板49bは、保護天板4と同様に、回転テーブル2の外周側を回り込んで第1の反応ガス及び第2の反応ガスが互いに混入することを阻止すると共に、第1の反応ガス供給部31及び第2の反応ガス供給部32から腐食性を有する反応ガス又はクリーニングガスを供給した場合に真空容器1を耐食保護する目的で設けられる。ただし、保護天板4、保護円筒49a及び保護底板49bは、互いに分離して取り外すことができるため、保護天板4、保護円筒49a及び保護底板49bの間には僅かに隙間がある。
容器本体12の内周壁は、第3の空間Dにおいて、図7に示されるように、保護円筒49aの外周面と接近して対向するように垂直面として形成される。また、容器本体12の内周壁は、第3の空間D以外の部位においても、図1に示されるように、保護円筒49aの外周面と接近して対向するように垂直面として形成されるが、内周壁の下端における部位から底面部14を貫通するように縦断面形状が矩形に切り欠かれた構造を有する。この切り欠かれた部分は、後述する排気空間6である。
第1の保護ガス供給部55は、図1に示されるように、真空容器1の容器本体12の内周壁において、保護円筒49aの外周面に対向する位置であって回転方向の複数個所に設けられる。第1の保護ガス供給部55は、真空容器1の天板11と保護天板4との間に真空容器1を耐食保護する第1の保護ガスを供給するためのものである。具体的には、第1の保護ガスは、真空容器1の天板11と保護天板4との隙間に供給され、真空容器1の天板11が耐食保護される。また、第1の保護ガスは、真空容器1の容器本体12の内周壁と真空容器保護部49の保護円筒49aとの隙間にも供給され、真空容器1の容器本体12が耐食保護される。第1の保護ガスとして、特に限定されるものではないが、例えばNガスが用いられる。
ここで、第1の保護ガスが真空容器1を保護する保護作用について説明する。
保護天板4、保護円筒49a、保護底板49bは、回転テーブル2、第1の空間P1、第2の空間P2、第3の空間Dを囲繞するように設けられる。保護天板4、保護円筒49a、保護底板49bが取外し可能に当接された状態で構成されるため、保護天板4、保護円筒49a、保護底板49bの間に隙間がある。
保護天板4及び保護円筒49aと真空容器1の天板11及び容器本体12との間の圧力が、保護天板4、保護円筒49a及び保護底板49bで囲繞される空間の圧力より高くなるように、保護天板4及び保護円筒49aと真空容器1の天板11及び容器本体12との間に第1の保護ガスを供給することによって、第1の反応ガス及び第2の反応ガスが保護天板4及び保護円筒49aと真空容器1の天板11及び容器本体12との間に侵入することを阻止することができる。具体的には、第1の保護ガス供給部55から供給する第1の保護ガスの供給量、及び後述する真空排気手段の排気量とを調節することにより、保護天板4及び保護円筒49aと真空容器1の天板11及び容器本体12との間の圧力を、保護天板4、保護円筒49a及び保護底板49bで囲繞される空間の圧力より高くすることができ、例えば100Pa高くすることができる。
第1の反応ガス又は第2の反応ガスとして塩素等を含む反応ガスを用いて成膜を行う場合、又は第1の反応ガス供給部31又は第2の反応ガス供給部32を通して第1の反応ガス又は第2の反応ガスの代わりに塩素等を含むクリーニングガスを用いて回転テーブル2等のクリーニングを行う場合、第1の保護ガスを供給することによって、真空容器1の天板11及び容器本体12を第1の反応ガス及び第2の反応ガスに対して耐食保護することができる。
排気空間6の底部には、図1及び図3に示されるように、例えば2つの排気口61、62が設けられる。排気口61、62は各々排気管63を介して真空排気手段である例えば共通の真空ポンプ64に接続される。また、排気口61と真空ポンプ64との間において、圧力調整手段65が排気管63に設けられる。圧力調整手段65は、排気口61、62ごとに設けてもよいし、共通化されてもよい。排気口61、62は、第3の空間Dの分離作用が確実に働くように、平面視において第3の空間Dの回転方向両側に設けられ、第1の反応ガス及び第2の反応ガスの排気を専用に行う。本実施の形態において、一方の排気口61は、第1の反応ガス供給部31と、第1の反応ガス供給部31に対して回転方向下流側に隣接する第3の空間Dとの間に設けられ、他方の排気口62は、第2の反応ガス供給部32と、第2の反応ガス供給部32に対して回転方向下流側に隣接する第3の空間Dとの間に設けられる。
排気口の設置数は2個に限られるものではなく、例えば第1の分離ガス供給部42を含む第3の空間Dと第3の空間Dに対して回転方向下流側に隣接する第2の反応ガス供給部32との間に更に排気口を設置して3個としてもよく、4個以上であってもよい。この例では排気口61、62は、真空容器1の底面部14であって回転テーブル2よりも低い位置に設けることで真空容器1の内周壁と保護円筒49aとの隙間、保護天板4と保護円筒49aとの隙間、及び保護円筒49aと保護底板49bとの隙間から排気するようにしているが、真空容器1の底面部14に設けることには限られず、真空容器1の側壁に設けてもよい。また、排気口61、62は、真空容器の側壁に設ける場合には、回転テーブル2よりも高い位置に設けるようにしてもよい。このように排気口61、62を設けることにより、回転テーブル2上のガスは、回転テーブル2の外側に向けて流れるため、回転テーブル2に対向する天井面から排気する場合に比べてパーティクルの巻上げが抑えられるという観点において有利である。
次に、真空容器1に収容される各部分のうち、真空容器保護部49より下側であって真空容器1の底面部14までの部分を説明する。即ち、ヒータユニット(加熱部)7、カバー部71、底面部14、第3の分離ガス供給部72、第2の保護ガス供給部73を説明する。
ヒータユニット7は、図1及び図5に示されるように、回転テーブル2と、真空容器1の底面部14との間の空間に設けられる。ヒータユニット7は、回転テーブル2を介して回転テーブル2上のウェハをプロセスレシピで決められた温度に加熱するためのものである。ヒータユニット7は、回転テーブル2の下方側に設ける代わりに、回転テーブル2の上方側に設けてもよく、上下両側に設けてもよい。また、ヒータユニット7は、抵抗発熱体を用いるものに限られることはなく、赤外線ランプを用いるものでもよい。なお、ヒータユニット7の下半分の部分には、ヒータユニット7から発生した熱のうち、下側へ向かって発生された熱を上側に反射して熱効率を向上させるためのリフレクタ(反射板)が設けられてもよい。
カバー部71は、回転テーブル2の周縁側且つ下方側において、回転テーブル2の下方空間と排気空間6とを区画すると共に、真空容器保護部49の保護底板49bを載置するために設けられる。また、カバー部71は、ヒータユニット7を全周に亘って囲むように形成される。カバー部71は、保護底板49bと当接され、カバー部71の内周側に第1の反応ガス及び第2の反応ガスが侵入することを防止する。
底面部14は、ヒータユニット7が配置される空間より回転中心側の部位において、回転テーブル2の下面の中心部付近及びコア部21に、狭い隙間をもって接近する。底面部14は、底面部14を貫通する回転軸22の貫通孔においても、貫通孔の内周面と回転軸22との隙間は狭い。また、貫通孔はケース体20に連通する。
第3の分離ガス供給部72は、ケース体20に設けられる。第3の分離ガス供給部72は、第3の分離ガスを狭い空間内に供給するためものである。第3の分離ガスとして、特に限定されるものではないが、例えばNガスが用いられる。
第2の保護ガス供給部73は、真空容器1の底面部14において、ヒータユニット7の下方側の位置であって回転方向の複数個所に設けられる。第2の保護ガス供給部73は、ヒータユニット7が配置される空間に第2の保護ガスを供給するためのものである。第2の保護ガスとして、特に限定されるものではないが、例えばNガスが用いられる。
図8に第3の分離ガス及び第2の保護ガスの流れを矢印で示すように、第3の分離ガス供給部72、第2の保護ガス供給部73を設けることにより、ケース体20内からヒータユニット7の配置空間に至るまでの空間に例えばNガスが供給され、Nガスが回転テーブル2とカバー部71との隙間から排気空間6を介して排気口61、62に排気される。これによって、第1空間P1及び第2の空間P2の一方から回転テーブル2の下方を介して他方に第1の反応ガス及び第2の反応ガスが回り込むことが阻止されるため、第3の分離ガスは、分離ガスとしての作用を有する。また、第1の空間P1及び第2の空間P2から保護底板49bの下方にあるヒータユニット7の配置された空間に第1の反応ガス及び第2の反応ガスが侵入することを阻止することができるため、第2の保護ガスは、第1の反応ガス及び第2の反応ガスがヒータユニット7に吸着されるのを防ぐ作用も有する。
次に、真空容器1の外部に設けられる部分及び外部に設けられる部分との搬送のための部分について説明する。
真空容器1の側壁には、図2、図3及び図9に示されるように、外部の搬送アーム10と回転テーブル2との間でウェハの受け渡しを行うための搬送口15が形成され、搬送口15は図示しないゲートバルブにより開閉される。回転テーブル2における基板載置部である凹部24は、搬送口15の位置にて搬送アーム10との間でウェハWの受け渡しが行われることから、回転テーブル2の下方側において受け渡し位置に対応する部位に、凹部24を貫通してウェハを裏面から持ち上げるための受け渡し用の昇降ピン16の昇降機構が設けられる。
また、本実施の形態に係る成膜装置は、図1及び図3に示されるように、装置全体の動作のコントロールを行うためのコンピュータからなる制御部100が設けられる。制御部100には、図10に示されるように、CPUを備え成膜装置の各部を制御するプロセスコントローラ100aと、ユーザインターフェース部100bと、記憶部100cとが設けられる。
ユーザインターフェース部100bは、工程管理者が成膜装置を管理するためにコマンドの入力操作を行うキーボードや、成膜装置の稼働状況を可視化して表示するディスプレイ等から構成される。
記憶部100cには、成膜装置で実行される各種処理をプロセスコントローラ100aの制御にて実現するための制御プログラム(ソフトウェア)や処理条件データ等が記憶されたレシピが格納される。そして、必要に応じて、ユーザインターフェース部100bからの指示等により任意のレシピを記憶部100cから呼び出してプロセスコントローラ100aに実行させることで、プロセスコントローラ100aの制御下で、成膜装置での所望の処理が行われる。また、制御プログラムや処理条件データ等のレシピは、コンピュータで読み取り可能なプログラム記録媒体(例えば、ハードディスク、コンパクトディスク、光磁気ディスク、メモリカード、フロッピーディスク等)に格納された状態のものをプロセスコントローラ100aにインストールして利用したり、或いは、他の装置から、例えば専用回線を介して随時伝送させオンラインで利用したりすることも可能である。
次に、図9、図11及び図12を用いて本実施の形態に係る成膜装置を用いた成膜方法について説明する。
図11は、本実施の形態に係る成膜装置を用いた成膜方法の手順を説明するための工程図である。また、図12は、本実施の形態に係る成膜装置を用いた成膜方法を説明するための図であり、第1の反応ガス、第2の反応ガス及び第1の分離ガスが流れる様子を示す図である。図12は、図3と同様に、真空容器1の天板11が分離された状態における平面図である。
本実施の形態における成膜方法は、図11に示されるように、真空容器内の回転テーブルに基板を載置する載置工程と、回転テーブルを回転させる回転工程と、第1の反応ガス供給部及び第2の反応ガス供給部の各々から第1の反応ガス及び第2の反応ガスを供給し、第1の分離ガス等を供給し、回転テーブル2の回転に伴って基板を移動させ、基板の表面への第1の反応ガスの供給、第1の反応ガスの停止、第2の反応ガスの供給及び第2の反応ガスの停止を繰り返して薄膜を成膜する成膜工程と、第1の反応ガス供給部及び第2の反応ガス供給部からの第1の反応ガス及び第2の反応ガスの供給を停止し、基板の加熱を停止し、各分離ガス、各保護ガスの供給を停止し、回転テーブルの回転を停止し、基板を搬送アームにより搬出する搬出工程を含む。
初めに、載置工程を行う。載置工程は、図11のステップS11に示されるように、真空容器内の回転テーブルに基板を載置する工程である。
具体的には、図9に示されるように、ゲートバルブを開き、外部から搬送アーム10により搬送口15を介してウェハWを回転テーブル2の凹部24に受け渡す。この受け渡しは、図9に示されるように、凹部24が搬送口15に臨む位置に停止したときに、凹部24の底面の貫通孔を介して真空容器の底部側から昇降ピン16が昇降することによって行われる。このようなウェハWの受け渡しを回転テーブル2を間欠的に回転させながら行い、回転テーブル2の5つの凹部24内に夫々ウェハWを載置する。
次に、回転工程を行う。回転工程は、図11のステップS12に示されるように、回転テーブル2を回転させる工程である。
次に、成膜工程を行う。成膜工程は、図11のステップS13乃至ステップS17に示されるように、第1の保護ガス供給部、第2の保護ガス供給部の各々から第1の保護ガス及び第2の保護ガスを供給する工程(S13)と、第1の分離ガス供給部、第2の分離ガス供給部及び第3の分離ガス供給部の各々から第1の分離ガス、第2の分離ガス及び第3の分離ガスを供給する工程(S14)と、ヒータユニットにより基板を加熱する工程(S15)と、第1の反応ガス供給部31及び第2の反応ガス供給部32の各々から第1の反応ガス及び第2の反応ガスを供給する工程(S16)と、回転テーブル2の回転に伴って基板を移動させ、基板の表面への第1の反応ガスの供給、第1の反応ガスの停止、第2の反応ガスの供給及び第2の反応ガスの停止を繰り返して薄膜を成膜する工程(S17)とを含む。
まず、真空ポンプ64により真空容器1内を予め設定した圧力に真空引きすると共に、第1の保護ガス供給部31、第2の保護ガス供給部32の各々から第1の保護ガス及び第2の保護ガスであるNを供給する(S13)。
次に、第1の分離ガス供給部、第2の分離ガス供給部及び第3の分離ガス供給部の各々から第1の分離ガス、第2の分離ガス及び第3の分離ガスであるNを供給する(S14)。
次に、ヒータユニットによりウェハWを加熱する(S15)。この工程では、ウェハWが回転テーブル2に載置された後、ヒータユニット7により例えば300℃に加熱される。一方、回転テーブル2が、ヒータユニット7により予め例えば300℃に加熱されており、ウェハWがこの回転テーブル2に載置されることで加熱される工程を行うこともできる。
次に、第1の反応ガス供給部31及び第2の反応ガス供給部32の各々から第1の反応ガス及び第2の反応ガスを供給する(S16)。ウェハWの温度が温度センサにより設定温度になったことを確認した後、第1の反応ガス供給部31及び第2の反応ガス供給部32から夫々BTBASガス及びOガスを吐出させる。
なお、S13、S14、S15、S16は、順を追って行う方法に限定されるものではなく、順番を入替えて開始することも可能であり、同時に開始することも可能である。例えば、第1の反応ガス供給部31及び第2の反応ガス供給部32から夫々BTBASガス及びOガスを吐出させると共に、第1の分離ガス供給部41、42から第1の分離ガスであるNガスを吐出するような手順で行うことも可能である。
このようにして、ステップS13乃至ステップS16の工程を行うことにより、回転テーブル2の回転に伴って基板を移動させ、基板の表面への第1の反応ガスの供給、第1の反応ガスの停止、第2の反応ガスの供給及び第2の反応ガスの停止を繰り返して薄膜を成膜する(S17)。
ウェハWは回転テーブル2の回転により、第1の反応ガス供給部31が設けられる第1の空間P1と第2の反応ガス供給部32が設けられる第2の空間P2とを交互に通過するため、BTBASガスが吸着し、次いでOガスが吸着して、BTBAS分子が酸化されて酸化シリコンの分子層が1層あるいは複数層形成され、こうして酸化シリコンの分子層が順次積層されて所定の膜厚のシリコン酸化膜が成膜される。
このとき第2の分離ガス供給部51からも分離ガスであるNガスを供給し、これにより中心部領域Cから即ち突出部53と回転テーブル2の中心部との間から回転テーブル2の表面に沿ってNガスが吐出する。この例では第1の反応ガス供給部31及び第2の反応ガス供給部32が配置される第1の下面部45及び第2の下面部45aの下方側の空間に沿った真空容器1の内周壁においては、既述したように内周壁が切り欠かれて広くなっており、この広い空間の下方には排気口61、62が位置しているので、第3の下面部44の下方側の狭隘な空間及び中心部領域Cの各圧力よりも第1の下面部45及び第2の下面部45aの下方側の空間の圧力の方が低くなる。この、第3の下面部44の下方側の空間及び中心部領域Cの各圧力よりも第1の下面部45及び第2の下面部45aの下方側の空間の圧力の方が低くなるのは、第3の下面部44の下方側の狭隘な空間が、第1(第2)の反応ガス供給部31(32)が配置されている空間、または第1(第2)の空間P1(P2)と狭隘な空間との間の圧力差が第3の高さH3によって維持され得るように形成されているためでもある。
ガスを各部位から吐出したときのガスの流れの状態を模式的に図12に示す。第2の反応ガス供給部32から下方側に吐出され、回転テーブル2の表面(凹部24に載置されたウェハWの表面、ウェハWの載置されない凹部24及び凹部24以外の表面)に当たり、回転テーブル2の表面に沿って回転方向上流側に向かうOガスは、回転方向上流側から流れてきたNガスに押し戻されながら、保護天板4の外周側と保護円筒49aの上端側との隙間又は保護円筒49aの下端側と保護底板49bとの外周側との隙間を通って排気空間6に流れ込み、排気口62により排気される。
また第2の反応ガス供給部32から下方側に吐出され、回転テーブル2の表面に当たり、回転テーブル2の表面に沿って回転方向下流側に向かうOガスは、中心部領域Cから吐出されるNガスの流れと排気口62の吸引作用により当該排気口62に向かおうとするが、一部は下流側に隣接する第3の空間Dに向かい、扇型の第3の下面部44の下方側に流入しようとする。ところがこの第3の下面部44の高さ及び回転方向の長さは、各ガスの流量などを含む運転時のプロセスパラメータにおいて第3の下面部44の下方側へのガスの侵入を防止できる寸法に設定されているため、図4(b)にも示されるように、Oガスは扇型第3の下面部44の下方側にほとんど流入できないかあるいは少し流入したとしても第1の分離ガス供給部41付近までには到達できるものではなく、第1の分離ガス供給部41から吐出したNガスにより回転方向上流側、つまり第2の空間P2側に押し戻されてしまい、中心部領域Cから吐出されているNガスと共に、保護天板4の外周側と保護円筒49aの上端側との隙間又は保護円筒49aの下端側と保護底板49bとの外周側との隙間を通って排気空間6を介して排気口62に排気される。
また第1の反応ガス供給部31から下方側に吐出され、回転テーブル2の表面に沿って回転方向上流側及び下流側に夫々向かうBTBASガスは、その回転方向上流側及び下流側に隣接する扇型の第3の下面部44の下方側に全く侵入できないかあるいは侵入したとしても第1の空間P1側に押し戻され、中心部領域Cから吐出されているNガスと共に、保護天板4の外周側と保護円筒49aの上端側との隙間又は保護円筒49aの下端側と保護底板49bとの外周側との隙間を通って排気空間6を介して排気口61に排気される。即ち、各第3の空間Dにおいては、雰囲気中を流れる反応ガスであるBTBASガスあるいはOガスの侵入を阻止するが、ウェハに吸着されているガス分子はそのまま分離領域つまり扇型の第3の下面部44の下方を通過し、成膜に寄与することになる。
更にまた第1の空間P1のBTBASガス及び第2の空間P2のOガスは、中心部領域C内に侵入しようとするが、図8及び図12に示されるように、中心部領域Cからは第2の分離ガスが回転テーブル2の周縁に向けて吐出されているので、第2の分離ガスにより侵入が阻止され、あるいは多少侵入したとしても押し戻され、この中心部領域Cを通って第1の空間P1及び第2の空間P2に流入することが阻止される。
そして第3の空間Dにおいては、保護円筒49aと回転テーブル2の外端面との間の隙間が既述のように狭くなっていてガスの通過を実質阻止しているので、第1の空間P1のBTBASガス(第2の空間P2のOガス)は、回転テーブル2の外側を介して第2の空間P2(第1の空間P1)に流入することも阻止される。従って2つの第3の空間Dによって第1の空間P1の雰囲気と第2の空間P2の雰囲気とが完全に分離され、BTBASガスは排気口61に、またOガスは排気口62に夫々排気される。この結果、第1の反応ガスBTBASガス及び第2の反応ガスOガスは、雰囲気中においてもウェハ上においても交じり合うことがない。なおこの例では、第2の分離ガスであるNガスが、回転テーブル2の下方側に供給されるため、排気空間6に流入したガスが回転テーブル2の下方側を潜り抜けて、例えば第2の反応ガスであるBTBASガスが第2の反応ガスであるOガスの供給領域に流れ込むといったおそれも全くない。
更に、保護天板4及び保護円筒49aと真空容器1の天板11及び容器本体12との間に第1の保護ガスを供給することによって、保護天板4及び保護円筒49aと真空容器1の天板11及び容器本体12との間の圧力を、保護天板4、保護円筒49a及び保護底板49bで囲繞される空間の圧力より例えば100Pa高くすることができ、第1の反応ガス及び第2の反応ガスが保護天板4及び保護円筒49aと真空容器1の天板11及び容器本体12との間に侵入することを阻止することができる。
なお、保護天板4及び保護円筒49aと真空容器1の天板11及び容器本体12との間の圧力、保護天板4、保護円筒49a及び保護底板49bで囲繞される空間の圧力を圧力計を用いて計測し、監視することによって、保護天板4及び保護円筒49aと真空容器1の天板11及び容器本体12との間の圧力を、保護天板4、保護円筒49a及び保護底板49bで囲繞される空間の圧力より100Pa高くすることができる。こうして、第1の反応ガス及び第2の反応ガスを互いに分離し、真空容器1を耐食保護しながら、成膜を行うことができる。
成膜処理の後、搬出工程を行う。搬出工程は、図11のステップS18乃至ステップS20に示されるように、第1の反応ガス供給部31及び第2の反応ガス供給部32からの第1の反応ガス及び第2の反応ガスの供給を停止する工程(S18)と、基板の加熱を停止し、第1の分離ガス、第2の分離ガス及び第3の分離ガスの供給を停止し、第1の保護ガス及び第2の保護ガスの供給を停止し、回転テーブル2の回転を停止する工程(S19)と、基板を搬送アーム10により搬送口15を通して搬出する工程(S20)とを含む。
また、複数回の成膜処理が終了した後、成膜装置内の不要な堆積物をクリーニングするクリーニング処理を行う。このとき、回転テーブル2等不要な部分に堆積された不要な堆積物をエッチングするためのエッチャントとして機能する腐食性の反応ガスを第1の反応ガス供給部31及び第2の反応ガス供給部32から供給する。エッチングガスとして、塩素等を含む腐食性を有するガスが用いられるが、回転テーブル2、第1の空間P1、第2の空間P2及び第3の空間Dが、保護天板4及び真空容器保護部49によって囲繞され、保護天板4及び真空容器保護部49と真空容器1との間に腐食性の反応ガスが侵入しないようになっているため、真空容器1を腐食劣化させることなくクリーニング処理を行うことができる。
ここで処理パラメータの一例について記載しておくと、回転テーブル2の回転数は、300mm径のウェハWを被処理基板とする場合、例えば1rpm〜500rpm、プロセス圧力は例えば1067Pa(8Torr)、ウェハWの加熱温度は例えば350℃、BTBASガス及びOガスの流量は例えば夫々100sccm及び10000sccm、分離ガスノズル41、42からのNガスの流量は例えば20000sccm、真空容器1の中心部の第2の分離ガス供給部51からのNガスの流量は例えば5000sccmである。また1枚のウェハに対する反応ガス供給のサイクル数、即ちウェハが第1の空間P1及び第2の空間P2の各々を通過する回数は目標膜厚に応じて変わるが、多数回例えば600回である。
本実施の形態によれば、回転テーブル2の回転方向に複数のウェハWを配置し、回転テーブル2を回転させて第1の空間P1と第2の空間P2とを順番に通過させていわゆるALD(あるいはMLD)を行うようにしているため、高いスループットで成膜処理を行うことができる。そして回転方向において第1の空間P1と第2の空間P2との間に低い天井面を備えた第3の空間Dを設けると共に回転テーブル2の回転中心部と真空容器1とにより区画した中心部領域Cから回転テーブル2の周縁に向けて分離ガスを吐出し、第3の空間Dの両側に拡散する分離ガス及び中心部領域Cから吐出する分離ガスと共に、反応ガスが、保護天板4と保護円筒49aとの隙間及び保護円筒49aと保護底板49bとの隙間を介して排気されるため、両反応ガスの混合を防止することができ、この結果良好な成膜処理を行うことができるし、回転テーブル2上において反応生成物が生じることが全くないか極力抑えられ、パーティクルの発生が抑えられる。なお本発明は、回転テーブル2に1個のウェハWを載置する場合にも適用できる。
また、本発明によれば、保護天板4及び真空容器保護部49によって真空容器1が耐食保護されているため、塩素等を含む腐食性を有するガスを用いて、回転テーブル等のクリーニングを行うことができる。
本発明で適用される処理ガスとしては、上述の例の他に、DCS(ジクロロシラン)、HCD(ヘキサクロロジシラン)、TMA(トリメチルアルミニウム)、3DMAS(トリジメチルアミノシラン)、TEMAZ(テトラキスエチルメチルアミノジルコニウム)、TEMAH(テトラキスエチルメチルアミノハフニウム)、Sr(THD)(ストロンチウムビステトラメチルヘプタンジオナト)、Ti(MPD)(THD)(チタニウムメチルペンタンジオナトビステトラメチルヘプタンジオナト)、モノアミノシランなどを挙げることができる。
また、シリコン酸化膜の代わりにシリコン窒化膜を形成することもでき、この場合、SiHCl等の塩素を含む腐食性を有するガスを第1の反応ガス及び第2の反応ガスとして用いることができる。また、回転テーブル等のクリーニングを行う場合、ClF等の塩素を含む腐食性を有するガスを第1の反応ガス供給部及び第2の反応ガス供給部から供給することができる。
以上、本実施の形態に係る成膜装置によれば、高いスループットが得られ、基板上にて複数の反応ガスが混合されることを防止して良好な処理を行うことができ、真空容器を複数の反応ガスに対して耐食保護することができる。
なお、本実施の形態に係る成膜装置において、2種類の反応ガスを用いる例を示すが、本発明は、2種類の反応ガスを用いることに限られず、3種類以上の反応ガスを順番に基板上に供給する場合にも適用することができる。例えば第1の反応ガス、第2の反応ガス及び第3の反応ガスの3種類のガスを反応ガスとして用いる場合、第1の反応ガス供給部、第1の分離ガス供給部、第2の反応ガス供給部、第1の分離ガス供給部、第3の反応ガス供給部及び第1の分離ガス供給部の順番になるように真空容器1の周方向に各ガス供給部を配置し、各ガス供給部を含む保護天板4の下面の領域が形成されるように配置することができる。
(第1の実施の形態の第1の変形例)
次に、図13を参照し、本発明の第1の実施の形態の第1の変形例に係る成膜装置を説明する。
図13は、本変形例に係る成膜装置を説明するための図であり、第3の下面部における保護天板の形状の他の例を示す縦断面図である。ただし、以下の文中では、先に説明した部分には同一の符号を付し、説明を省略する場合がある(以下の変形例、実施の形態についても同様)。
本変形例に係る成膜装置は、第3の空間Dにおける保護天板4の内部に第1の分離ガスの通流室47が回転テーブル2の半径方向に形成される点で、第1の実施の形態に係る成膜装置と相違する。
図13を参照するに、第1の実施の形態において、第1の分離ガス供給部の両側に第3の下面が配設されるよう、第1の分離ガス供給部に対応した部分に溝が形成されるのと相違し、本変形例においては、第3の空間Dにおける保護天板4の内部に第1の分離ガスの通流室47が回転テーブル2の半径方向に形成され、通流室47の底部に長さ方向に沿って多数のガス吐出孔40が穿設される。
従って、通流室47の他に、第1の分離ガス供給部を新たに設ける必要がなく、第1の実施の形態と同様な効果を得ることができると共に部品点数を減らすことができる。
なお、本変形例では、第1の分離ガス供給部が第3の下面部44を形成する保護天板4に埋め込まれる例を示したが、第3の空間D及び第1の分離ガス供給部の組み合わせ構造については、真空容器1の天板11と保護天板4との間に第1の反応ガス及び第2の反応ガスが侵入しないのであれば、保護天板44を、第1の分離ガス供給部において2つの部分に分割し、第1の分離ガス供給部を両側から挟みこむような配置をとることもできる。
(第1の実施の形態の第2の変形例)
次に、図14(a)乃至図14(c)を参照し、本発明の第1の実施の形態の第2の変形例に係る成膜装置を説明する。
図14(a)乃至図14(c)は、本変形例に係る成膜装置を説明するための図であり、第3の下面部における保護天板の下面の形状の他の例を示す縦断面図である。
本変形例に係る成膜装置は、第3の空間Dにおける第3の下面部が曲面である点で、第1の実施の形態に係る成膜装置と相違する。
図14(a)乃至図14(c)を参照するに、第1の実施の形態において、第1の分離ガス供給の両側における第3の下面部は平面であるのと相違し、本変形例においては、第1の分離ガス供給部41の両側における第3の下面部44は曲面である。
第3の下面部44は、第1の反応ガス及び第2の反応ガスを分離することができるのであれば、第1の実施の形態のように平面である場合に限られるものではなく、図14(a)に示されるように凹面でもよく、図14(b)に示されるように凸面でもよく、図14(c)に示されるように波型形状でもよい。例えば、図14(a)に示されるように凹面である場合、第3の下面部44が第1の下面部45又は第2の下面部45aと隣接する端部において、回転テーブル2から第3の下面部44までの高さを低くすることができるため、第3の下面部44への第1の反応ガス及び第2の反応ガスの侵入をより効率良く阻止することができる。また、例えば、図14(b)に示されるように凸面である場合、凸面の頂点に対応する第3の下面部44において、回転テーブル2から第3の下面部44までの高さを低くすることができるため、第3の下面部44への第1の反応ガス及び第2の反応ガスの侵入をより効率良く阻止することができる。また、例えば、図14(c)に示されるように波型形状である場合、図14(b)に示されるような凸面の頂点を複数設けることに対応するため、第3の下面部44への第1の反応ガス及び第2の反応ガスの侵入をより効率良く阻止することができる。
(第1の実施の形態の第3の変形例)
次に、図15A(a)乃至図15B(g)を参照し、本発明の第1の実施の形態の第3の変形例に係る成膜装置を説明する。
図15A(a)乃至図15A(c)は、本変形例に係る成膜装置を説明するための図であり、第1の反応ガス供給部のガス吐出孔の形状の他の例を示す底面図である。また、図15B(d)乃至図15B(g)は、本発明の第1の実施の形態の第3の変形例に係る成膜装置を説明するための図であり、第3の下面部の形状の他の例を示す底面図である。なお、図15A(a)乃至図15A(c)においては、第3の下面部44及び吐出孔33の配置位置が図示される。
本変形例に係る成膜装置は、第1の分離ガス供給部に形成される吐出孔が、回転テーブル2の周縁から回転中心に直線状に配列されない点で、第1の実施の形態に係る成膜装置と相違する。
図15A(a)乃至図15A(c)を参照するに、第1の分離ガス供給部に形成される吐出孔33が、第1の実施の形態において、回転テーブルの周縁から回転中心に直線状に並ぶように配置されるのと相違し、本変形例においては、回転テーブル2の周縁から回転中心に直線状に並ぶように配置されない。
吐出孔33は、基板に対して第1の分離ガスを均一に供給することができるのであれば、第1の実施の形態のように回転テーブル2の周縁から回転中心に直線状に並ぶように配置されるのに限定されるものではなく、以下のように配置されてもよい。
図15A(a)に示されるように、回転テーブル2の直径に対して斜めに向いた矩形形状を有するスリットからなる多数の吐出孔33が、直径方向に所定の間隔をおいて配置される。また、図15A(b)に示されるように、多数の円形形状を有する吐出孔33が蛇行するように配置される。また、図15A(c)に示されるように、多数の円弧形状を有するスリットからなる吐出孔33が回転テーブル2の回転中心に対し同心に配置される。
また、第3の下面部44は中空であって良く、中空内に第1の分離ガスを導入するように構成しても良い。この場合も、複数のガス吐出孔33を、図15A(a)、図15A(b)、図15A(c)に示すように配列することができる。
また、本変形例では、第3の下面部44はほぼ扇形の上面形状を有するが、図15B(d)に示す長方形、又は正方形の上面形状を有して良い。また、第3の下面部44は、図15B(e)に示すように、上面は全体として扇形であり、凹状に湾曲した側面44Scを有していても良い。加えて、第3の下面部44は、図15B(f)に示すように、上面は全体として扇形であり、凸状に湾曲した側面44Svを有していても良い。さらにまた、図15B(g)に示すとおり、第3の下面部44の回転テーブル2(図1)の回転方向の上流側の部分が凹状の側面44Scを有し、第3の下面部44の回転テーブル2(図1)の回転方向の下流側の部分が平面状の側面44Sfを有していても構わない。なお、図15B(d)から図15B(g)において、点線は第3の下面部44に形成された溝部43(図4(a)、図4(b))を示している。これらの場合、溝部43に収容される第1の分離ガス供給部41、42(図2)は真空容器1の中央部、例えば突出部53(図1)から伸びる。
このように吐出孔33が配置されることによって、第3の下面部44において第1の分離ガスがより均一に供給されるため、第3の下面部44への第1の反応ガス及び第2の反応ガスの侵入をより効率良く阻止することができる。
(第1の実施の形態の第4の変形例)
次に、図16を参照し、本発明の第1の実施の形態の第4の変形例に係る成膜装置を説明する。
図16は、本変形例に係る成膜装置の構成を模式的に示す横断平面図である。また、図16は、真空容器1の天板11が分離された状態における平面図である。
本変形例に係る成膜装置は、第2の反応ガス供給部が搬送口よりも回転テーブルの回転方向上流側に設けられる点で、第1の実施の形態に係る成膜装置と相違する。
図16を参照するに、第1の実施の形態において、第2の反応ガス供給部が搬送口よりも回転テーブルの回転方向下流側に設けられるのと相違し、本変形例においては、第2の反応ガス供給部32が搬送口15よりも回転テーブル2の回転方向上流側に設けられる。
このようなレイアウトであっても、第1の反応ガスと第2の反応ガスをより効率良く分離することができると共に、第1の分離ガスの第1の下面部45及び第2の下面部45aへの侵入を阻止することができるため、第1の下面部45及び第2の下面部45aにおいて、各々第1の反応ガス及び第2の反応ガスをより効率良くウェハに供給することができる。
(第1の実施の形態の第5の変形例)
次に、図17を参照し、本発明の第1の実施の形態の第5の変形例に係る成膜装置を説明する。
図17は、本変形例に係る成膜装置の構成を模式的に示す横断平面図である。また、図17は、真空容器1の天板11が分離された状態における平面図である。
本変形例に係る成膜装置は、第3の下面部が周方向に2つに分割され、その間に第1の分離ガス供給部が設けられる点で、第1の実施の形態に係る成膜装置と相違する。
図17を参照するに、第1の実施の形態において、第3の下面部の全ての部分で回転テーブルから保護天板の下面までの高さが同じであるのと相違し、本変形例においては、第1の分離ガス供給部41、42を含み、回転テーブル2から第3の高さH3より高く設けられる第3の下面部44aと、第3の下面部44aに隣接し、回転テーブルから第3の高さH3に設けられる第3の下面部44bとを備える。
このような領域を設けることによって、第1の反応ガスと第2の反応ガスをより効率良く分離することができると共に、第1の分離ガスの第1の下面部45及び第2の下面部45aへの侵入を阻止することができるため、第1の下面部45及び第2の下面部45aにおいて、各々第1の反応ガス及び第2の反応ガスをより効率良くウェハに供給することができる。
なお、第3の下面部44bと第1の分離ガス供給部41、42との距離や、第3の下面部44bの形状及び大きさは、第1の反応ガス、第2の反応ガス及び第1の分離ガスの吐出流量等を考慮して最適に設計することができる。
(第1の実施の形態の第6の変形例)
次に、図18を参照し、本発明の第1の実施の形態の第6の変形例に係る成膜装置を説明する。
図18は、本変形例に係る成膜装置の構成を模式的に示す斜視図である。
本変形例に係る成膜装置は、第2の下面部に代え、第6の下面部と第7の下面部とを備える点で、第1の実施の形態に係る成膜装置と相違する。
図18を参照するに、第1の実施の形態において、第2の下面部の全ての部分で回転テーブルから保護天板の下面までの高さが同じであるのと相違し、本変形例においては、第2の下面部に代え、第2の反応ガス供給部32を含み、回転テーブル2から第2の高さH2より低く設けられる第6の下面部45bと、第6の下面部45bに隣接し、回転テーブル2から第2の高さH2に設けられる第7の下面部45aとを備える。
従って、第6の下面部45bは、第1の分離ガス供給部41又は42の代わりに第2の反応ガス供給部32を設けた以外は、第3の下面部44と全く同様である。
このように、第6の下面部45bを設けることによって、第1の反応ガスと第2の反応ガスをより効率良く分離することができると共に、第1の分離ガス及び第1の反応ガスの第6の下面部45bへの侵入を阻止することができるため、第6の下面部45bにおいて、第2の反応ガスをより効率良くウェハに供給することができる。
なお、第6の下面部45bは、図15A(a)から図15A(c)に一例を示す中空の第3の下面部44と同様に構成されても良い。
また、本変形例では、第2の下面部に代え、第6の下面部と第7の下面部とを備えるが、第1の下面部に代え、第1の反応ガス供給部を含み、回転テーブルから第1の高さH1より低く設けられる第4の下面部と、第4の下面部に隣接し、回転テーブルから第1の高さH1に設けられる第5の下面部とを備えることもできる。第4の下面部を設けることによっても、第1の反応ガスと第2の反応ガスをより効率良く分離することができると共に、第1の分離ガス及び第1の反応ガスの第4の下面部への侵入を阻止することができるため、第4の下面部において、第1の反応ガスをより効率良くウェハに供給することができる。
(第1の実施の形態の第7の変形例)
次に、図19を参照し、本発明の第1の実施の形態の第7の変形例に係る成膜装置を説明する。
図19は、本変形例に係る成膜装置の構成を模式的に示す横断平面図である。また、図19は、真空容器の天板が分離された状態における平面図である。
本変形例に係る成膜装置は、第1の反応ガス供給部及び第2の反応ガス供給部の両側にも低い天井が設けられる点で、第1の実施の形態に係る成膜装置と相違する。
図19を参照するに、第1の実施の形態において、第1の分離ガス供給部の両側に狭隘な空間を形成するために第1の下面部及び第2の下面部より低い天井面である第3の下面部が設けられるのと相違し、本変形例においては、第1の反応ガス供給部31及び第2の反応ガス供給部32の両側にも第3の下面部と同様に低い天井面である第3の下面部44c〜44fが設けられ、これら第3の下面部44c〜44fが連続する構成を有する。
図19に示されるように、第1の分離ガス供給部41(42)、第1の反応ガス供給部31及び第2の反応ガス供給部32が設けられる領域以外は、回転テーブル2に対向する領域全面において、第3の下面部が設けられる構成を有する。この構成は、別の見方をすれば、第1の分離ガス供給部41(42)の両側の第3の下面部44が第1及び第2の反応ガス供給部31、32まで広がった例である。この場合には、第1の分離ガス供給部41(42)の両側に第1の分離ガスが拡散し、第1の反応ガス供給部31及び第2の反応ガス供給部32の両側に第1の反応ガス及び第2の反応ガスが拡散し、両ガスが第3の下面部44c〜44fの下方側であって第3の下面部44c〜44fと回転テーブル2との間の空間(狭隘な空間)にて合流するが、これらのガスは第1(第2)の反応ガス供給部31(32)と第1の分離ガス供給部42(41)との間に位置する排気口61(62)から排気される。このように、本変形例においても、第1の実施の形態と同様の効果が得られる。
なお、第3の下面部44c〜44fは、図15A(a)から図15A(c)のいずれかに示す中空の下面部を組合わせることにより構成し、第1の反応ガス供給部31、第2の反応ガス32、第1の分離ガス供給部41、42を用いずに、第1の反応ガス、第2の反応ガス及び分離ガスを、対応する中空の第3の下面部44c〜44fの吐出孔33からそれぞれガスを吐出するようにしても良い。
(第1の実施の形態の第8の変形例)
次に、図20を参照し、本発明の第1の実施の形態の第8の変形例に係る成膜装置を説明する。
図20は、本変形例に係る成膜装置の構成を模式的に示す縦断面図である。
本変形例に係る成膜装置は、真空容器の中心部において真空容器の底面部と保護天板との間に支柱を介在させて反応ガスの混合を防止する点で、第1の実施の形態に係る成膜装置と相違する。
図20を参照するに、第1の実施の形態において、回転テーブルの回転軸は真空容器の中心部に設けられ、回転テーブルの中心部と保護天板との間の空間に分離ガスがパージされるのと相違し、本変形例においては、真空容器1の中央領域の上面に凹部80aが形成され、真空容器1の中心部において収容空間80の底部と凹部80aの上面との間に支柱81が設けられる。
図20に示されるように、真空容器1の中央領域の底面部14が下方側に突出され、駆動部の収容空間80が形成されると共に、真空容器1の中央領域の上面に凹部80aが形成され、真空容器1の中心部において収容空間80の底部と凹部80aの上面との間に保護天板4を介して支柱81を介在させることによって、第1の反応ガス供給部31からのBTBASガスと第2の反応ガス供給部32からのOガスとが中心部を介して混ざり合うことを防止している。
回転テーブル2を回転させる機構については、支柱81を囲むように回転スリーブ82を設け、この回転スリーブ82に沿ってリング状の回転テーブル2を設けている。そして収容空間80にモータ83により駆動される駆動ギヤ部84を設け、この駆動ギヤ部84により、回転スリーブ82を回転させるようにしている。86、87及び88は軸受け部である。また収容空間80の底部に第3の分離ガスを供給する第3の分離ガス供給部72を接続すると共に、凹部80aの側面と回転スリーブ82の上端部との間の空間に第2の分離ガスを供給するための第2の分離ガス供給部51を真空容器1の上部に接続している。図20では、凹部80aの側面と回転スリーブ82の上端部との間の空間に第2の分離ガスを供給するための開口部51aは、左右2箇所に記載してあるが、回転スリーブ82の近傍領域を介してBTBASガスとOガスとが混じり合わないようにするために、開口部51a(第2の分離ガス供給部51)の配列数を設計することが好ましい。
また、図20の実施の形態では、回転テーブル2側から見ると、凹部80aの側面と回転スリーブ82の上端部との間の空間は分離ガス吐出孔に相当し、そしてこの分離ガス吐出孔、回転スリーブ82、支柱81及び保護天板4により、真空容器1の中心部に位置する中心部領域Cが構成される。
(第2の実施の形態)
次に、図21を参照し、本発明の第2の実施の形態に係る基板処理装置を説明する。
図21は本実施の形態に係る基板処理装置の構成を模式的に示す平面図である。
図21に示されるように、本実施の形態に係る基板処理装置は、搬送容器101、大気搬送室102、搬送アーム103、ロードロック室(本発明における予備真空室に該当する)104、105、真空搬送室106、搬送アーム107、成膜装置108、109を備える。
搬送容器101は、例えば25枚のウェハを収納するフープと呼ばれる密閉型の搬送容器である。大気搬送室102は、搬送アーム103が配置される大気搬送室である。ロードロック室104、105は、大気雰囲気と真空雰囲気との間で雰囲気が切換え可能である。真空搬送室106は、2基の搬送アーム107が配置された真空搬送室である。成膜装置108、109は、本発明の第1の実施の形態に係る成膜装置である。
搬送容器101は、図示しない載置台を備えた搬入搬出ポートに外部から搬送され、設置される。搬送容器101が設置された後、図示しない開閉機構により大気搬送室102の蓋が開けられ、搬送アーム103によって搬送容器101内からウェハが取出される。搬送容器101内から取出されたウェハは、ロードロック室104又は105内に搬入される。次に、ロードロック室104又は105の内部が大気雰囲気から真空雰囲気に切り換えられる。次に、搬送アーム107によってウェハがロードロック室104又は105から取出され、成膜装置108又は109に搬入される。その後、成膜装置108又は109において、既述した成膜方法を行うことにより、成膜処理が施される。
本実施の形態では、本発明の第1の実施の形態に係る例えば5枚処理用の成膜装置を複数個例えば2個備えることにより、ALD又はMLDの成膜処理を高いスループットで実施することが可能である。
また、本実施の形態では、本発明の第1の実施の形態に係る成膜装置108、109を用いるため、成膜装置の内部において回転テーブル、第1の空間、第2の空間、第3の空間を囲繞するように保護天板及び真空容器保護部が設けられることにより、真空容器を第1の反応ガス及び第2の反応ガスに対して耐食保護することが可能である。
以上、本発明の好ましい実施の形態について記述したが、本発明はかかる特定の実施の形態に限定されるものではなく、特許請求の範囲内に記載された本発明の要旨の範囲内において、種々の変形・変更が可能である。
本発明の第1の実施の形態に係る成膜装置の構成を模式的に示す縦断面図である。 本発明の第1の実施の形態に係る成膜装置の構成を模式的に示す斜視図である。 本発明の第1の実施の形態に係る成膜装置の構成を模式的に示す横断平面図である。 本発明の第1の実施の形態に係る成膜装置を説明するための図であり、第1乃至第3の空間を示す断面図である。 本発明の第1の実施の形態に係る成膜装置を説明するための図であり、第1の反応ガス供給部を示す斜視図である。 本発明の第1の実施の形態に係る成膜装置を説明するための図であり、第3の下面部の寸法例を説明するための横断面図及び縦断面図である。 本発明の第1の実施の形態に係る成膜装置の一部を説明するための図であり、図3におけるA−A線に伴う縦断面図である。 本発明の第1の実施の形態に係る成膜装置の一部を第2の分離ガス、第3の分離ガス及び第2の保護ガスが流れる様子を説明するための図であり、図3におけるB−B線に伴う縦断面図である。 本発明の第1の実施の形態に係る成膜装置の一部を示す破断斜視図である。 本発明の第1の実施の形態に係る成膜装置の制御部の構成を模式的に示す図である。 本発明の第1の実施の形態に係る成膜装置を用いた成膜方法の手順を説明するための工程図である。 本発明の第1の実施の形態に係る成膜装置を用いた成膜方法を説明するための図であり、第1の反応ガス、第2の反応ガス及び第1の分離ガスが流れる様子を示す図である。 本発明の第1の実施の形態の第1の変形例に係る成膜装置を説明するための図であり、第3の下面部における保護天板の形状の他の例を示す縦断面図である。 本発明の第1の実施の形態の第2の変形例に係る成膜装置を説明するための図であり、第3の下面部における保護天板の下面の形状の他の例を示す縦断面図である。 本発明の第1の実施の形態の第3の変形例に係る成膜装置を説明するための図であり、第1の反応ガス供給部のガス吐出孔の形状の他の例を示す底面図である。 本発明の第1の実施の形態の第3の変形例に係る成膜装置を説明するための図であり、第3の下面部の形状の他の例を示す底面図である。 本発明の第1の実施の形態の第4の変形例に係る成膜装置の構成を模式的に示す横断平面図である。 本発明の第1の実施の形態の第5の変形例に係る成膜装置の構成を模式的に示す横断平面図である。 本発明の第1の実施の形態の第6の変形例に係る成膜装置の構成を模式的に示す斜視図である。 本発明の第1の実施の形態の第7の変形例に係る成膜装置の構成を模式的に示す横断平面図である。 本発明の第1の実施の形態の第8の変形例に係る成膜装置の構成を模式的に示す縦断面図である。 本発明の第2の実施の形態に係る基板処理装置の構成を模式的に示す平面図である。
符号の説明
1 真空容器
2 回転テーブル
4 保護天板
5 回転中心側部
6 排気空間
7 ヒータユニット
11 天板
12 容器本体
15 搬送口
21 コア部
24 凹部
31 第1の反応ガス供給部
32 第2の反応ガス供給部
33、40 吐出孔
41、42 第1の分離ガス供給部
44 第3の下面部(第3の下面の領域)
45 第1の下面部(第1の下面の領域)
45a 第2の下面部(第2の下面の領域)
47 通流室
49 真空容器保護部
49a 保護円筒
49b 保護底板
51 第2の分離ガス供給部
53 突出部
55 第1の保護ガス供給部
61、62 排気口
72 第3の分離ガス供給部
73 第2の保護ガス供給部

W ウェハ
P1 第1の空間
P2 第2の空間
C 中心部領域
D 第3の空間

Claims (30)

  1. 真空容器内で第1の反応ガス及び第2の反応ガスを含む少なくとも2種類の原料ガスを順番に供給しかつ前記少なくとも2種類の前記原料ガスを順番に供給する供給サイクルを実行することにより薄膜を成膜する成膜装置において、
    前記真空容器内に回転可能に設けられ、基板を載置する基板載置部を備える回転テーブルと、
    前記真空容器を前記第1の反応ガス及び前記第2の反応ガスに対して耐食保護するために、前記回転テーブルの上に対向して設けられる保護天板と、
    前記第1の反応ガス及び前記第2の反応ガスを供給するために、前記回転テーブルの周縁の互いに異なる位置から回転中心に向かって各々設けられる第1の反応ガス供給部及び第2の反応ガス供給部と、
    前記第1の反応ガスと前記第2の反応ガスとを分離する第1の分離ガスを供給するために、前記第1の反応ガス供給部と前記第2の反応ガス供給部との間の前記回転テーブルの周縁の位置から回転中心に向かって設けられる第1の分離ガス供給部と、
    前記第1の反応ガス供給部を含む前記保護天板の下面であって、前記回転テーブルから第1の高さに設けられる第1の下面の領域と、
    前記第1の下面の領域と前記回転テーブルとの間に形成される第1の空間と、
    前記第2の反応ガス供給部を含む前記保護天板の下面であって、前記第1の下面の領域と離れた位置に前記回転テーブルから第2の高さに設けられる第2の下面の領域と、
    前記第2の下面の領域と前記回転テーブルとの間に形成される第2の空間と、
    前記第1の分離ガス供給部を含み前記回転テーブルの回転方向に沿って前記第1の分離ガス供給部の両側に位置する前記保護天板の下面であって、前記回転テーブルから前記第1の高さ及び前記第2の高さより低い第3の高さに設けられる第3の下面の領域と、
    前記第3の下面の領域と前記回転テーブルとの間に形成され、前記第1の分離ガス供給部から供給された前記第1の分離ガスが前記第1の空間及び前記第2の空間に流れるための前記第3の高さを有し狭隘な第3の空間と、
    前記真空容器を前記第1の反応ガス及び前記第2の反応ガスに対して耐食保護するために、前記保護天板と共に前記回転テーブル、前記第1の空間、前記第2の空間及び前記第3の空間を囲繞するように設けられる真空容器保護部と、
    前記保護天板の下面であって、前記回転テーブルの回転中心の前記基板載置部側に前記第1の反応ガスと前記第2の反応ガスとを分離する第2の分離ガスを供給する第2の分離ガス供給部が設けられる中心部領域と、
    前記第3の空間の両側に吐出される前記第1の分離ガス及び前記中心部領域から吐出される前記第2の分離ガスと共に前記第1の反応ガス及び前記第2の反応ガスを排気するための排気口と
    を備えることを特徴とする成膜装置。
  2. 前記保護天板及び前記真空容器保護部は、石英又はセラミックよりなることを特徴とする請求項1記載の成膜装置。
  3. 前記真空容器の天板と前記保護天板との間に前記真空容器を耐食保護する第1の保護ガスを供給する第1の保護ガス供給部を備えることを特徴とする請求項1又は2に記載の成膜装置。
  4. 前記真空容器の底面と前記真空容器保護部との間に前記真空容器を保護する第2の保護ガスを供給する第2の保護ガス供給部を備えることを特徴とする請求項1乃至3の何れか一項に記載の成膜装置。
  5. 前記回転テーブルの回転中心の下側に前記第1の反応ガスと前記第2の反応ガスとを分離する第3の分離ガスを供給する第3の分離ガス供給部を備えることを特徴とする請求項1乃至4の何れか一項に記載の成膜装置。
  6. 前記真空容器の中心部であって前記保護天板の下面と前記真空容器の底面との間に設けられた支柱と、
    前記支柱を囲み、鉛直軸の周りに回転自在な回転スリーブと
    を備え、
    前記回転スリーブは、前記回転テーブルの回転軸であることを特徴とする請求項1乃至5の何れか一項に記載の成膜装置。
  7. 前記第1の下面の領域に代え、
    前記第1の反応ガス供給部を含み、前記回転テーブルから前記第1の高さより低く設けられる第4の下面の領域と、
    前記第4の下面の領域に隣接し、前記回転テーブルから前記第1の高さに設けられる第5の下面の領域と
    を備えることを特徴とする請求項1乃至6の何れか一項に記載の成膜装置。
  8. 前記第2の下面の領域に代え、
    前記第2の反応ガス供給部を含み、前記回転テーブルから前記第2の高さより低く設けられる第6の下面の領域と、
    前記第6の下面の領域に隣接し、前記回転テーブルから前記第2の高さに設けられる第7の下面の領域と
    を備えることを特徴とする請求項1乃至7の何れか一項に記載の成膜装置。
  9. 前記基板載置部に載置された前記基板の表面は前記回転テーブルの表面と同じ高さであるか、前記回転テーブルの前記表面より前記基板の前記表面が低い位置であることを特徴とする請求項1乃至8の何れか一項に記載の成膜装置。
  10. 前記第1の反応ガス供給部、前記第2の反応ガス供給部及び前記第1の分離ガス供給部へガスを各々導入するためのガス導入ポートは、前記回転テーブルの回転中心側又は周縁側に設けられることを特徴とする請求項1乃至9の何れか一項に記載の成膜装置。
  11. 前記第1の分離ガス供給部には、前記回転テーブルの回転中心側から周縁側に向かって吐出孔が配列されることを特徴とする請求項1乃至10の何れか一項に記載の成膜装置。
  12. 前記第3の下面の領域であって、該第3の下面の領域に含まれる前記第1の分離ガス供給部の前記吐出孔によって二分される二つの領域は、
    前記基板載置部に載置される前記基板の中心が通過する部分の前記回転テーブルの回転方向に沿った幅寸法の各々が50mm以上であることを特徴とする請求項11記載の成膜装置。
  13. 前記第3の下面の領域における前記保護天板の下面は、平面又は曲面であることを特徴とする請求項1乃至12の何れか一項に記載の成膜装置。
  14. 前記真空容器の底面の周縁であって、前記第1の空間及び前記第2の空間の近くに各々設けられた第1の排気口及び第2の排気口を備えることを特徴とする請求項1乃至13の何れか一項に記載の成膜装置。
  15. 前記第3の空間の圧力は、前記第1の空間の圧力及び前記第2の空間の圧力より高いことを特徴とする請求項1乃至14の何れか一項に記載の成膜装置。
  16. 前記真空容器保護部の下に、前記回転テーブルを加熱する加熱部を備えることを特徴とする請求項1乃至15の何れか一項に記載の成膜装置。
  17. 前記真空容器の外に前記基板の搬入出を行うために、前記真空容器の側面に設けられ、ゲートバルブにより開閉可能な搬送口を備えることを特徴とする請求項1乃至16の何れか一項に記載の成膜装置。
  18. 前記第3の下面の領域は、前記回転テーブルの回転中心から周縁に位置するほど幅が広い形状を有することを特徴とする請求項1乃至17の何れか一項に記載の成膜装置。
  19. 前記第3の下面の領域は、平面視において扇型の形状を有することを特徴とする請求項1乃至18の何れか一項に記載の成膜装置。
  20. 請求項1乃至19の何れか一項に記載の成膜装置と、
    前記成膜装置に気密に接続され、内部に基板搬送部が設けられた真空搬送室と、
    前記真空搬送室に気密に接続され、真空雰囲気と大気雰囲気との間で雰囲気が切換え可能な予備真空室と
    を備えることを特徴とする基板処理装置。
  21. 真空容器を耐食保護するための真空容器保護部で囲繞される空間において第1の反応ガス及び第2の反応ガスを含む少なくとも2種類の原料ガスを順番に供給しかつ前記少なくとも2種類の前記原料ガスを順番に供給する供給サイクルを実行することにより基板上に薄膜を成膜する際に、前記基板が載置される回転テーブル上側の前記第1の反応ガスと前記第2の反応ガスとを分離するための第1の分離ガスを供給する領域における前記回転テーブル上面から前記真空容器保護部の天板までの高さを、前記第1の反応ガス及び前記第2の反応ガスを供給する領域における前記回転テーブル上面から前記真空容器保護部の前記天板までの高さに比べて低くすることによって、前記回転テーブル上面と前記真空容器保護部の前記天板との間に形成される狭隘な空間に前記第1の分離ガスを供給し、前記真空容器保護部の前記天板の下面であって前記回転テーブルの回転中心上側の中心部領域に前記第1の反応ガス及び前記第2の反応ガスを分離する第2の分離ガスを供給し、前記第1の分離ガス及び前記第2の分離ガスと共に前記第1の反応ガス及び前記第2の反応ガスを排気することによって、前記第1の反応ガス及び前記第2の反応ガスを分離して供給しながら薄膜を成膜する成膜方法であって、
    前記真空容器内の前記回転テーブルに基板を載置する載置工程と、
    前記回転テーブルを回転させる回転工程と、
    前記回転テーブルの互いに異なる位置に設けられる第1の反応ガス供給部及び第2の反応ガス供給部の各々から前記第1の反応ガス及び前記第2の反応ガスを供給し、前記第1の反応ガス供給部と前記第2の反応ガス供給部との間に設けられる第1の分離ガス供給部から前記第1の分離ガスを供給し、前記回転テーブルの回転に伴って前記基板を移動させ、前記基板の表面への前記第1の反応ガスの供給、前記第1の反応ガスの停止、前記第2の反応ガスの供給及び前記第2の反応ガスの停止を繰り返して薄膜を成膜する成膜工程と
    を含むことを特徴とする成膜方法。
  22. 石英又はセラミックよりなる前記真空容器保護部を用いて行うことを特徴とする請求項21記載の成膜方法。
  23. 前記真空容器保護部と前記真空容器との間の空間である保護空間に前記真空容器を耐食保護する第1の保護ガスを流通させ、前記保護空間の圧力を前記真空容器保護部で囲繞される空間の圧力より高くして行うことを特徴とする請求項21又は22記載の成膜方法。
  24. 前記第1の反応ガスを供給する際に、前記回転テーブル上側の前記第1の反応ガスを供給する領域の一部であって前記第1の反応ガス供給部を含む部分における前記回転テーブル上面から前記真空容器保護部の前記天板までの高さを、前記第1の反応ガスを供給する領域の他の部分における前記回転テーブル上面から前記真空容器保護部の前記天板までの高さより低くして行うことを特徴とする請求項21乃至23の何れか一項に記載の成膜方法。
  25. 前記第2の反応ガスを供給する際に、前記回転テーブル上側の前記第2の反応ガスを供給する領域の一部であって前記第2の反応ガス供給部を含む部分における前記回転テーブル上面から前記真空容器保護部の前記天板までの高さを、前記第2の反応ガスを供給する領域の他の部分における前記回転テーブル上面から前記真空容器保護部の前記天板までの高さより低くして行うことを特徴とする請求項21乃至24の何れか一項に記載の成膜方法。
  26. 前記回転テーブルに載置された前記基板の表面が、前記回転テーブルの表面と高さが同じであるか又は前記回転テーブルの前記表面より低くなるように、前記回転テーブルに凹部が設けられることを特徴とする請求項21乃至25の何れか一項に記載の成膜方法。
  27. 前記回転テーブルを加熱しながら行うことを特徴とする請求項21乃至26の何れか一項に記載の成膜方法。
  28. 前記真空容器を、前記第1の反応ガス及び前記第2の反応ガスを各々専用に排気するために設けられた第1の排気口及び第2の排気口を通して排気しながら行うことを特徴とする請求項21乃至27の何れか一項に記載の成膜方法。
  29. 前記真空容器保護部と前記真空容器の底面との間に第2の保護ガスを流通させることを特徴とする請求項21乃至28の何れか一項に記載の成膜方法。
  30. コンピュータに請求項21乃至29の何れか一項に記載の成膜方法を実行させるためのプログラムを記録したコンピュータ読み取り可能な記録媒体。
JP2008227024A 2008-09-04 2008-09-04 成膜装置、基板処理装置、成膜方法及びこの成膜方法を実行させるためのプログラムを記録した記録媒体 Active JP5107185B2 (ja)

Priority Applications (5)

Application Number Priority Date Filing Date Title
JP2008227024A JP5107185B2 (ja) 2008-09-04 2008-09-04 成膜装置、基板処理装置、成膜方法及びこの成膜方法を実行させるためのプログラムを記録した記録媒体
US12/549,446 US9267204B2 (en) 2008-09-04 2009-08-28 Film deposition apparatus, substrate processing apparatus, film deposition method, and storage medium
KR1020090082872A KR101596045B1 (ko) 2008-09-04 2009-09-03 성막 장치, 기판 처리 장치, 성막 방법 및 기록 매체
TW098129629A TWI512133B (zh) 2008-09-04 2009-09-03 成膜裝置、基板處理裝置、成膜方法及記錄媒體
CN2009101721181A CN101665921B (zh) 2008-09-04 2009-09-04 成膜装置、基板处理装置及成膜方法

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
JP2008227024A JP5107185B2 (ja) 2008-09-04 2008-09-04 成膜装置、基板処理装置、成膜方法及びこの成膜方法を実行させるためのプログラムを記録した記録媒体

Publications (2)

Publication Number Publication Date
JP2010059495A JP2010059495A (ja) 2010-03-18
JP5107185B2 true JP5107185B2 (ja) 2012-12-26

Family

ID=41725831

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2008227024A Active JP5107185B2 (ja) 2008-09-04 2008-09-04 成膜装置、基板処理装置、成膜方法及びこの成膜方法を実行させるためのプログラムを記録した記録媒体

Country Status (5)

Country Link
US (1) US9267204B2 (ja)
JP (1) JP5107185B2 (ja)
KR (1) KR101596045B1 (ja)
CN (1) CN101665921B (ja)
TW (1) TWI512133B (ja)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9920427B2 (en) 2015-02-02 2018-03-20 Toshiba Memory Corporation Semiconductor manufacturing apparatus and manufacturing method of semiconductor device

Families Citing this family (298)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9416448B2 (en) * 2008-08-29 2016-08-16 Tokyo Electron Limited Film deposition apparatus, substrate processing apparatus, film deposition method, and computer-readable storage medium for film deposition method
JP5423205B2 (ja) * 2008-08-29 2014-02-19 東京エレクトロン株式会社 成膜装置
JP5107185B2 (ja) * 2008-09-04 2012-12-26 東京エレクトロン株式会社 成膜装置、基板処理装置、成膜方法及びこの成膜方法を実行させるためのプログラムを記録した記録媒体
JP5445044B2 (ja) * 2008-11-14 2014-03-19 東京エレクトロン株式会社 成膜装置
US9297072B2 (en) 2008-12-01 2016-03-29 Tokyo Electron Limited Film deposition apparatus
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
JP5131240B2 (ja) * 2009-04-09 2013-01-30 東京エレクトロン株式会社 成膜装置、成膜方法及び記憶媒体
US8802201B2 (en) 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
JP5257328B2 (ja) * 2009-11-04 2013-08-07 東京エレクトロン株式会社 基板処理装置、基板処理方法及び記憶媒体
KR20110054840A (ko) * 2009-11-18 2011-05-25 주식회사 아토 샤워헤드 어셈블리 및 이를 구비한 박막증착장치
JP5310512B2 (ja) * 2009-12-02 2013-10-09 東京エレクトロン株式会社 基板処理装置
JP5553588B2 (ja) * 2009-12-10 2014-07-16 東京エレクトロン株式会社 成膜装置
EP2549522A4 (en) * 2010-03-15 2013-07-31 Sumitomo Electric Industries PRODUCTION METHOD FOR SEMICONDUCTOR THIN LAYERS, DEVICE FOR PRODUCING SEMICONDUCTOR THIN LAYERS, SUSCEPTOR AND SUSCEPTOR TOOL
JP5572515B2 (ja) * 2010-10-15 2014-08-13 東京エレクトロン株式会社 成膜装置および成膜方法
JP5599350B2 (ja) * 2011-03-29 2014-10-01 東京エレクトロン株式会社 成膜装置及び成膜方法
JP2012237026A (ja) * 2011-05-10 2012-12-06 Tokyo Electron Ltd 成膜装置
US9312155B2 (en) 2011-06-06 2016-04-12 Asm Japan K.K. High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules
US10854498B2 (en) 2011-07-15 2020-12-01 Asm Ip Holding B.V. Wafer-supporting device and method for producing same
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
JP6000665B2 (ja) * 2011-09-26 2016-10-05 株式会社日立国際電気 半導体装置の製造方法、基板処理装置及びプログラム
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
JP6095172B2 (ja) * 2012-03-30 2017-03-15 株式会社日立国際電気 半導体装置の製造方法、基板処理方法及び基板処理装置
JP5794194B2 (ja) * 2012-04-19 2015-10-14 東京エレクトロン株式会社 基板処理装置
JP5857896B2 (ja) * 2012-07-06 2016-02-10 東京エレクトロン株式会社 成膜装置の運転方法及び成膜装置
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
TWI627305B (zh) * 2013-03-15 2018-06-21 應用材料股份有限公司 用於轉盤處理室之具有剛性板的大氣蓋
JP6115244B2 (ja) * 2013-03-28 2017-04-19 東京エレクトロン株式会社 成膜装置
JP6058515B2 (ja) * 2013-10-04 2017-01-11 漢民科技股▲分▼有限公司 気相成膜装置
JP6262115B2 (ja) 2014-02-10 2018-01-17 東京エレクトロン株式会社 基板処理方法及び基板処理装置
US10683571B2 (en) 2014-02-25 2020-06-16 Asm Ip Holding B.V. Gas supply manifold and method of supplying gases to chamber using same
US10167557B2 (en) 2014-03-18 2019-01-01 Asm Ip Holding B.V. Gas distribution system, reactor including the system, and methods of using the same
US20150267295A1 (en) * 2014-03-19 2015-09-24 Asm Ip Holding B.V. Removable substrate tray and assembly and reactor including same
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
JP6221932B2 (ja) * 2014-05-16 2017-11-01 東京エレクトロン株式会社 成膜装置
KR20230116078A (ko) * 2014-05-21 2023-08-03 어플라이드 머티어리얼스, 인코포레이티드 열 처리 서셉터
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
JP6298383B2 (ja) 2014-08-19 2018-03-20 株式会社日立国際電気 基板処理装置及び半導体装置の製造方法
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
JP6294194B2 (ja) * 2014-09-02 2018-03-14 東京エレクトロン株式会社 基板処理方法及び基板処理装置
US9657845B2 (en) 2014-10-07 2017-05-23 Asm Ip Holding B.V. Variable conductance gas distribution apparatus and method
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US10096495B2 (en) * 2014-12-26 2018-10-09 Tokyo Electron Limited Substrate processing apparatus
JP6398761B2 (ja) * 2015-02-04 2018-10-03 東京エレクトロン株式会社 基板処理装置
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US10954597B2 (en) * 2015-03-17 2021-03-23 Asm Ip Holding B.V. Atomic layer deposition apparatus
US10837106B2 (en) * 2015-05-12 2020-11-17 Corner Star Limited Clamping assembly for a reactor system
JP6412466B2 (ja) * 2015-06-02 2018-10-24 東京エレクトロン株式会社 基板処理装置及び基板処理方法
JP6468955B2 (ja) * 2015-06-23 2019-02-13 東京エレクトロン株式会社 シリコン含有膜の成膜方法及び成膜装置
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10600673B2 (en) 2015-07-07 2020-03-24 Asm Ip Holding B.V. Magnetic susceptor to baseplate seal
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
JP6548586B2 (ja) 2016-02-03 2019-07-24 東京エレクトロン株式会社 成膜方法
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10032628B2 (en) 2016-05-02 2018-07-24 Asm Ip Holding B.V. Source/drain performance through conformal solid state doping
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
JP6608332B2 (ja) * 2016-05-23 2019-11-20 東京エレクトロン株式会社 成膜装置
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
CN109478494B (zh) * 2016-06-03 2023-07-18 应用材料公司 扩散腔室内部的气流的设计
KR102483547B1 (ko) 2016-06-30 2023-01-02 삼성전자주식회사 가스 공급 유닛 및 이를 포함하는 박막 증착 장치
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10643904B2 (en) 2016-11-01 2020-05-05 Asm Ip Holdings B.V. Methods for forming a semiconductor device and related semiconductor device structures
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10134757B2 (en) 2016-11-07 2018-11-20 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by using the method
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
JP6733516B2 (ja) 2016-11-21 2020-08-05 東京エレクトロン株式会社 半導体装置の製造方法
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
KR20180070971A (ko) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10655221B2 (en) 2017-02-09 2020-05-19 Asm Ip Holding B.V. Method for depositing oxide film by thermal ALD and PEALD
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
USD876504S1 (en) 2017-04-03 2020-02-25 Asm Ip Holding B.V. Exhaust flow control ring for semiconductor deposition apparatus
KR102457289B1 (ko) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US10685834B2 (en) 2017-07-05 2020-06-16 Asm Ip Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
KR102481410B1 (ko) * 2017-07-31 2022-12-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US10249524B2 (en) 2017-08-09 2019-04-02 Asm Ip Holding B.V. Cassette holder assembly for a substrate cassette and holding member for use in such assembly
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
USD900036S1 (en) 2017-08-24 2020-10-27 Asm Ip Holding B.V. Heater electrical connector and adapter
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
KR102630301B1 (ko) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10319588B2 (en) 2017-10-10 2019-06-11 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
KR102443047B1 (ko) 2017-11-16 2022-09-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 방법 및 그에 의해 제조된 장치
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
JP7214724B2 (ja) 2017-11-27 2023-01-30 エーエスエム アイピー ホールディング ビー.ブイ. バッチ炉で利用されるウェハカセットを収納するための収納装置
US11639811B2 (en) 2017-11-27 2023-05-02 Asm Ip Holding B.V. Apparatus including a clean mini environment
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
US11482412B2 (en) 2018-01-19 2022-10-25 Asm Ip Holding B.V. Method for depositing a gap-fill layer by plasma-assisted deposition
TW202325889A (zh) 2018-01-19 2023-07-01 荷蘭商Asm 智慧財產控股公司 沈積方法
USD903477S1 (en) 2018-01-24 2020-12-01 Asm Ip Holdings B.V. Metal clamp
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
CN111699278B (zh) 2018-02-14 2023-05-16 Asm Ip私人控股有限公司 通过循环沉积工艺在衬底上沉积含钌膜的方法
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10658181B2 (en) 2018-02-20 2020-05-19 Asm Ip Holding B.V. Method of spacer-defined direct patterning in semiconductor fabrication
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102501472B1 (ko) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
TW202344708A (zh) 2018-05-08 2023-11-16 荷蘭商Asm Ip私人控股有限公司 藉由循環沉積製程於基板上沉積氧化物膜之方法及相關裝置結構
TW202349473A (zh) 2018-05-11 2023-12-16 荷蘭商Asm Ip私人控股有限公司 用於基板上形成摻雜金屬碳化物薄膜之方法及相關半導體元件結構
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
CN112292478A (zh) 2018-06-27 2021-01-29 Asm Ip私人控股有限公司 用于形成含金属的材料的循环沉积方法及包含含金属的材料的膜和结构
KR20210027265A (ko) 2018-06-27 2021-03-10 에이에스엠 아이피 홀딩 비.브이. 금속 함유 재료를 형성하기 위한 주기적 증착 방법 및 금속 함유 재료를 포함하는 막 및 구조체
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
KR20200002519A (ko) 2018-06-29 2020-01-08 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
CN110767567B (zh) * 2018-07-25 2022-03-22 北京北方华创微电子装备有限公司 反应腔室和半导体热处理设备
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
US10847365B2 (en) 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
US10811256B2 (en) 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10559458B1 (en) 2018-11-26 2020-02-11 Asm Ip Holding B.V. Method of forming oxynitride film
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
TW202037745A (zh) 2018-12-14 2020-10-16 荷蘭商Asm Ip私人控股有限公司 形成裝置結構之方法、其所形成之結構及施行其之系統
KR20200086582A (ko) * 2019-01-09 2020-07-17 삼성전자주식회사 원자층 증착 장치 및 이를 이용한 박막 형성 방법
TWI819180B (zh) 2019-01-17 2023-10-21 荷蘭商Asm 智慧財產控股公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
TW202104632A (zh) 2019-02-20 2021-02-01 荷蘭商Asm Ip私人控股有限公司 用來填充形成於基材表面內之凹部的循環沉積方法及設備
KR102638425B1 (ko) 2019-02-20 2024-02-21 에이에스엠 아이피 홀딩 비.브이. 기판 표면 내에 형성된 오목부를 충진하기 위한 방법 및 장치
US11482533B2 (en) 2019-02-20 2022-10-25 Asm Ip Holding B.V. Apparatus and methods for plug fill deposition in 3-D NAND applications
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
TW202100794A (zh) 2019-02-22 2021-01-01 荷蘭商Asm Ip私人控股有限公司 基材處理設備及處理基材之方法
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
KR20200108248A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOCN 층을 포함한 구조체 및 이의 형성 방법
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
JP2020167398A (ja) 2019-03-28 2020-10-08 エーエスエム・アイピー・ホールディング・ベー・フェー ドアオープナーおよびドアオープナーが提供される基材処理装置
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
KR20200123380A (ko) 2019-04-19 2020-10-29 에이에스엠 아이피 홀딩 비.브이. 층 형성 방법 및 장치
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141002A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 배기 가스 분석을 포함한 기상 반응기 시스템을 사용하는 방법
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP2021015791A (ja) 2019-07-09 2021-02-12 エーエスエム アイピー ホールディング ビー.ブイ. 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
CN112242296A (zh) 2019-07-19 2021-01-19 Asm Ip私人控股有限公司 形成拓扑受控的无定形碳聚合物膜的方法
TW202113936A (zh) 2019-07-29 2021-04-01 荷蘭商Asm Ip私人控股有限公司 用於利用n型摻雜物及/或替代摻雜物選擇性沉積以達成高摻雜物併入之方法
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
KR20210018759A (ko) 2019-08-05 2021-02-18 에이에스엠 아이피 홀딩 비.브이. 화학물질 공급원 용기를 위한 액체 레벨 센서
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
TW202129060A (zh) 2019-10-08 2021-08-01 荷蘭商Asm Ip控股公司 基板處理裝置、及基板處理方法
TW202115273A (zh) 2019-10-10 2021-04-16 荷蘭商Asm Ip私人控股有限公司 形成光阻底層之方法及包括光阻底層之結構
KR20210045930A (ko) 2019-10-16 2021-04-27 에이에스엠 아이피 홀딩 비.브이. 실리콘 산화물의 토폴로지-선택적 막의 형성 방법
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
WO2021081221A1 (en) * 2019-10-22 2021-04-29 Purplesun Inc. Automated deposition of microfilms, systems and methods
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
US11450529B2 (en) 2019-11-26 2022-09-20 Asm Ip Holding B.V. Methods for selectively forming a target film on a substrate comprising a first dielectric surface and a second metallic surface
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN112992667A (zh) 2019-12-17 2021-06-18 Asm Ip私人控股有限公司 形成氮化钒层的方法和包括氮化钒层的结构
US11527403B2 (en) 2019-12-19 2022-12-13 Asm Ip Holding B.V. Methods for filling a gap feature on a substrate surface and related semiconductor structures
KR20210095050A (ko) 2020-01-20 2021-07-30 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법 및 박막 표면 개질 방법
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
KR20210100010A (ko) 2020-02-04 2021-08-13 에이에스엠 아이피 홀딩 비.브이. 대형 물품의 투과율 측정을 위한 방법 및 장치
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
TW202146715A (zh) 2020-02-17 2021-12-16 荷蘭商Asm Ip私人控股有限公司 用於生長磷摻雜矽層之方法及其系統
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
KR20210116249A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 록아웃 태그아웃 어셈블리 및 시스템 그리고 이의 사용 방법
CN113394086A (zh) 2020-03-12 2021-09-14 Asm Ip私人控股有限公司 用于制造具有目标拓扑轮廓的层结构的方法
JP7098677B2 (ja) 2020-03-25 2022-07-11 株式会社Kokusai Electric 基板処理装置、半導体装置の製造方法及びプログラム
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
TW202146831A (zh) 2020-04-24 2021-12-16 荷蘭商Asm Ip私人控股有限公司 垂直批式熔爐總成、及用於冷卻垂直批式熔爐之方法
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
KR20210132576A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐 나이트라이드 함유 층을 형성하는 방법 및 이를 포함하는 구조
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
KR20210143653A (ko) 2020-05-19 2021-11-29 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
KR20220010438A (ko) 2020-07-17 2022-01-25 에이에스엠 아이피 홀딩 비.브이. 포토리소그래피에 사용하기 위한 구조체 및 방법
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
US11725280B2 (en) 2020-08-26 2023-08-15 Asm Ip Holding B.V. Method for forming metal silicon oxide and metal silicon oxynitride layers
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
TW202217037A (zh) 2020-10-22 2022-05-01 荷蘭商Asm Ip私人控股有限公司 沉積釩金屬的方法、結構、裝置及沉積總成
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
TW202235675A (zh) 2020-11-30 2022-09-16 荷蘭商Asm Ip私人控股有限公司 注入器、及基板處理設備
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate
CN114768578B (zh) * 2022-05-20 2023-08-18 北京北方华创微电子装备有限公司 混气装置及半导体工艺设备

Family Cites Families (105)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4854266A (en) * 1987-11-02 1989-08-08 Btu Engineering Corporation Cross-flow diffusion furnace
US5225366A (en) 1990-06-22 1993-07-06 The United States Of America As Represented By The Secretary Of The Navy Apparatus for and a method of growing thin films of elemental semiconductors
JPH04287912A (ja) 1991-02-19 1992-10-13 Mitsubishi Electric Corp 半導体製造装置
US5338362A (en) * 1992-08-29 1994-08-16 Tokyo Electron Limited Apparatus for processing semiconductor wafer comprising continuously rotating wafer table and plural chamber compartments
JP3144664B2 (ja) 1992-08-29 2001-03-12 東京エレクトロン株式会社 処理装置及び処理方法
US5620523A (en) * 1994-04-11 1997-04-15 Canon Sales Co., Inc. Apparatus for forming film
US5744049A (en) * 1994-07-18 1998-04-28 Applied Materials, Inc. Plasma reactor with enhanced plasma uniformity by gas addition, and method of using same
JP3507614B2 (ja) * 1996-04-04 2004-03-15 株式会社ルネサステクノロジ 薄膜成膜装置
CN1144198C (zh) 1997-05-08 2004-03-31 松下电器产业株式会社 光记录媒体制造装置和制造方法
JP3480280B2 (ja) * 1997-10-28 2003-12-15 東京エレクトロン株式会社 縦型処理装置
KR100253089B1 (ko) 1997-10-29 2000-05-01 윤종용 반도체소자 제조용 화학기상증착장치 및 이의 구동방법, 그 공정챔버 세정공정 레시피 최적화방법
US5906354A (en) 1998-01-12 1999-05-25 Sigma Scientific Technology, Inc. Ball valve for lethal gas or fluid service
US5849088A (en) 1998-01-16 1998-12-15 Watkins-Johnson Company Free floating shield
WO1999045167A1 (en) * 1998-03-06 1999-09-10 Asm America, Inc. Method of depositing silicon with high step coverage
JP2000117039A (ja) * 1998-10-15 2000-04-25 Toshiba Corp 気体分離装置
JP4286981B2 (ja) * 1999-07-22 2009-07-01 東京エレクトロン株式会社 枚葉式熱処理装置
JP4817210B2 (ja) 2000-01-06 2011-11-16 東京エレクトロン株式会社 成膜装置および成膜方法
US20020195056A1 (en) 2000-05-12 2002-12-26 Gurtej Sandhu Versatile atomic layer deposition apparatus
KR100458982B1 (ko) 2000-08-09 2004-12-03 주성엔지니어링(주) 회전형 가스분사기를 가지는 반도체소자 제조장치 및 이를이용한 박막증착방법
KR100531629B1 (ko) * 2000-08-11 2005-11-29 동경 엘렉트론 주식회사 기판의 처리장치 및 처리방법
DE10118130A1 (de) * 2001-04-11 2002-10-17 Aixtron Ag Vorrichtung oder Verfahren zum Abscheiden von insbesondere kristallinen Schichten auf insbesondere kristallinen Substraten aus der Gasphase
JP3616366B2 (ja) * 2001-10-23 2005-02-02 東京エレクトロン株式会社 基板処理装置および基板処理方法
US6869641B2 (en) 2002-07-03 2005-03-22 Unaxis Balzers Ltd. Method and apparatus for ALD on a rotary susceptor
US7153542B2 (en) * 2002-08-06 2006-12-26 Tegal Corporation Assembly line processing method
KR100497748B1 (ko) * 2002-09-17 2005-06-29 주식회사 무한 반도체소자 제조용 원자층 증착 장치 및 원자층 증착 방법
US7306707B2 (en) * 2003-06-04 2007-12-11 Tokyo Electron Limited Adaptable processing element for a processing system and a method of making the same
US7647886B2 (en) 2003-10-15 2010-01-19 Micron Technology, Inc. Systems for depositing material onto workpieces in reaction chambers and methods for removing byproducts from reaction chambers
US20060073276A1 (en) * 2004-10-04 2006-04-06 Eric Antonissen Multi-zone atomic layer deposition apparatus and method
JP4502198B2 (ja) * 2004-10-21 2010-07-14 ルネサスエレクトロニクス株式会社 エッチング装置およびエッチング方法
KR100558922B1 (ko) 2004-12-16 2006-03-10 (주)퓨전에이드 박막 증착장치 및 방법
WO2006070689A1 (ja) 2004-12-28 2006-07-06 Tokyo Electron Limited 半導体製造装置、当該半導体製造装置における異常の検出、異常の原因の特定或いは異常の予測を行う方法、並びに当該方法を実施するためのコンピュータプログラムを記録した記憶媒体
US8475624B2 (en) * 2005-09-27 2013-07-02 Lam Research Corporation Method and system for distributing gas for a bevel edge etcher
US20070218702A1 (en) 2006-03-15 2007-09-20 Asm Japan K.K. Semiconductor-processing apparatus with rotating susceptor
US20070215036A1 (en) * 2006-03-15 2007-09-20 Hyung-Sang Park Method and apparatus of time and space co-divided atomic layer deposition
US20070218701A1 (en) 2006-03-15 2007-09-20 Asm Japan K.K. Semiconductor-processing apparatus with rotating susceptor
US8257503B2 (en) * 2008-05-02 2012-09-04 Lam Research Corporation Method and apparatus for detecting plasma unconfinement
US20090324826A1 (en) 2008-06-27 2009-12-31 Hitoshi Kato Film Deposition Apparatus, Film Deposition Method, and Computer Readable Storage Medium
US8465592B2 (en) 2008-08-25 2013-06-18 Tokyo Electron Limited Film deposition apparatus
US8465591B2 (en) 2008-06-27 2013-06-18 Tokyo Electron Limited Film deposition apparatus
US8808456B2 (en) 2008-08-29 2014-08-19 Tokyo Electron Limited Film deposition apparatus and substrate process apparatus
JP5423205B2 (ja) 2008-08-29 2014-02-19 東京エレクトロン株式会社 成膜装置
JP5195175B2 (ja) 2008-08-29 2013-05-08 東京エレクトロン株式会社 成膜装置、成膜方法及び記憶媒体
US9416448B2 (en) 2008-08-29 2016-08-16 Tokyo Electron Limited Film deposition apparatus, substrate processing apparatus, film deposition method, and computer-readable storage medium for film deposition method
JP5253932B2 (ja) 2008-09-04 2013-07-31 東京エレクトロン株式会社 成膜装置、基板処理装置、成膜方法及び記憶媒体
JP5276388B2 (ja) 2008-09-04 2013-08-28 東京エレクトロン株式会社 成膜装置及び基板処理装置
JP2010084230A (ja) 2008-09-04 2010-04-15 Tokyo Electron Ltd 成膜装置、基板処理装置及び回転テーブル
JP2010087467A (ja) 2008-09-04 2010-04-15 Tokyo Electron Ltd 成膜装置、基板処理装置、成膜方法及びこの成膜方法を実行させるためのプログラムを記録した記録媒体
JP5253933B2 (ja) 2008-09-04 2013-07-31 東京エレクトロン株式会社 成膜装置、基板処理装置、成膜方法及び記憶媒体
JP5280964B2 (ja) 2008-09-04 2013-09-04 東京エレクトロン株式会社 成膜装置、基板処理装置、成膜方法及び記憶媒体
US8961691B2 (en) 2008-09-04 2015-02-24 Tokyo Electron Limited Film deposition apparatus, film deposition method, computer readable storage medium for storing a program causing the apparatus to perform the method
JP5107185B2 (ja) * 2008-09-04 2012-12-26 東京エレクトロン株式会社 成膜装置、基板処理装置、成膜方法及びこの成膜方法を実行させるためのプログラムを記録した記録媒体
JP5062144B2 (ja) 2008-11-10 2012-10-31 東京エレクトロン株式会社 ガスインジェクター
JP5445044B2 (ja) 2008-11-14 2014-03-19 東京エレクトロン株式会社 成膜装置
JP2010153769A (ja) 2008-11-19 2010-07-08 Tokyo Electron Ltd 基板位置検出装置、基板位置検出方法、成膜装置、成膜方法、プログラム及びコンピュータ可読記憶媒体
JP2010126797A (ja) 2008-11-28 2010-06-10 Tokyo Electron Ltd 成膜装置、半導体製造装置、これらに用いられるサセプタ、プログラム、およびコンピュータ可読記憶媒体
US9297072B2 (en) 2008-12-01 2016-03-29 Tokyo Electron Limited Film deposition apparatus
JP5056735B2 (ja) 2008-12-02 2012-10-24 東京エレクトロン株式会社 成膜装置
JP5083193B2 (ja) 2008-12-12 2012-11-28 東京エレクトロン株式会社 成膜装置、成膜方法及び記憶媒体
JP5107285B2 (ja) 2009-03-04 2012-12-26 東京エレクトロン株式会社 成膜装置、成膜方法、プログラム、およびコンピュータ可読記憶媒体
US20100227059A1 (en) 2009-03-04 2010-09-09 Tokyo Electron Limited Film deposition apparatus, film deposition method, and computer readable storage medium
JP5131240B2 (ja) 2009-04-09 2013-01-30 東京エレクトロン株式会社 成膜装置、成膜方法及び記憶媒体
JP5181100B2 (ja) 2009-04-09 2013-04-10 東京エレクトロン株式会社 基板処理装置、基板処理方法及び記憶媒体
JP5287592B2 (ja) 2009-08-11 2013-09-11 東京エレクトロン株式会社 成膜装置
JP5444961B2 (ja) 2009-09-01 2014-03-19 東京エレクトロン株式会社 成膜装置及び成膜方法
JP5434484B2 (ja) 2009-11-02 2014-03-05 東京エレクトロン株式会社 成膜装置、成膜方法及び記憶媒体
JP5257328B2 (ja) 2009-11-04 2013-08-07 東京エレクトロン株式会社 基板処理装置、基板処理方法及び記憶媒体
JP5310512B2 (ja) 2009-12-02 2013-10-09 東京エレクトロン株式会社 基板処理装置
JP5553588B2 (ja) 2009-12-10 2014-07-16 東京エレクトロン株式会社 成膜装置
JP5482196B2 (ja) 2009-12-25 2014-04-23 東京エレクトロン株式会社 成膜装置、成膜方法及び記憶媒体
US8034723B2 (en) 2009-12-25 2011-10-11 Tokyo Electron Limited Film deposition apparatus and film deposition method
JP5392069B2 (ja) 2009-12-25 2014-01-22 東京エレクトロン株式会社 成膜装置
JP5327147B2 (ja) 2009-12-25 2013-10-30 東京エレクトロン株式会社 プラズマ処理装置
JP5497423B2 (ja) 2009-12-25 2014-05-21 東京エレクトロン株式会社 成膜装置
JP5423529B2 (ja) 2010-03-29 2014-02-19 東京エレクトロン株式会社 成膜装置、成膜方法及び記憶媒体
JP2012054508A (ja) 2010-09-03 2012-03-15 Tokyo Electron Ltd 成膜装置
JP5524139B2 (ja) 2010-09-28 2014-06-18 東京エレクトロン株式会社 基板位置検出装置、これを備える成膜装置、および基板位置検出方法
JP5579009B2 (ja) 2010-09-29 2014-08-27 東京エレクトロン株式会社 成膜装置および成膜方法
JP5599350B2 (ja) 2011-03-29 2014-10-01 東京エレクトロン株式会社 成膜装置及び成膜方法
JP5630393B2 (ja) * 2011-07-21 2014-11-26 東京エレクトロン株式会社 成膜装置及び基板処理装置
JP5780062B2 (ja) * 2011-08-30 2015-09-16 東京エレクトロン株式会社 基板処理装置及び成膜装置
JP5712874B2 (ja) 2011-09-05 2015-05-07 東京エレクトロン株式会社 成膜装置、成膜方法及び記憶媒体
JP5765154B2 (ja) * 2011-09-12 2015-08-19 東京エレクトロン株式会社 基板処理装置及び成膜装置
JP5679581B2 (ja) 2011-12-27 2015-03-04 東京エレクトロン株式会社 成膜方法
JP5993154B2 (ja) * 2012-01-20 2016-09-14 東京エレクトロン株式会社 パーティクル低減方法
JP5803706B2 (ja) * 2012-02-02 2015-11-04 東京エレクトロン株式会社 成膜装置
JP5803714B2 (ja) * 2012-02-09 2015-11-04 東京エレクトロン株式会社 成膜装置
JP5794194B2 (ja) * 2012-04-19 2015-10-14 東京エレクトロン株式会社 基板処理装置
JP5823922B2 (ja) 2012-06-14 2015-11-25 東京エレクトロン株式会社 成膜方法
JP6011417B2 (ja) * 2012-06-15 2016-10-19 東京エレクトロン株式会社 成膜装置、基板処理装置及び成膜方法
JP5842750B2 (ja) * 2012-06-29 2016-01-13 東京エレクトロン株式会社 成膜方法、成膜装置及び記憶媒体
JP2014017296A (ja) * 2012-07-06 2014-01-30 Tokyo Electron Ltd 成膜方法
JP5857896B2 (ja) * 2012-07-06 2016-02-10 東京エレクトロン株式会社 成膜装置の運転方法及び成膜装置
JP5859927B2 (ja) * 2012-07-13 2016-02-16 東京エレクトロン株式会社 成膜方法及び成膜装置
JP5861583B2 (ja) * 2012-07-13 2016-02-16 東京エレクトロン株式会社 成膜装置及び成膜方法
JP5939147B2 (ja) * 2012-12-14 2016-06-22 東京エレクトロン株式会社 成膜装置、基板処理装置及び成膜方法
JP6118102B2 (ja) 2012-12-21 2017-04-19 東京エレクトロン株式会社 基板位置検出装置及びこれを用いた基板処理装置、成膜装置
JP6101083B2 (ja) 2013-01-16 2017-03-22 東京エレクトロン株式会社 成膜方法及び成膜装置
JP5971144B2 (ja) * 2013-02-06 2016-08-17 東京エレクトロン株式会社 基板処理装置及び成膜方法
JP6114708B2 (ja) 2013-05-27 2017-04-12 東京エレクトロン株式会社 基板脱離検出装置及び基板脱離検出方法、並びにこれらを用いた基板処理装置及び基板処理方法
JP6118197B2 (ja) 2013-07-02 2017-04-19 東京エレクトロン株式会社 成膜方法
JP2015056632A (ja) 2013-09-13 2015-03-23 東京エレクトロン株式会社 シリコン酸化膜の製造方法
JP6114668B2 (ja) 2013-09-18 2017-04-12 東京エレクトロン株式会社 基板処理装置及び基板処理方法
JP2015090916A (ja) * 2013-11-06 2015-05-11 東京エレクトロン株式会社 基板処理装置及び基板処理方法
JP6204213B2 (ja) 2014-01-28 2017-09-27 東京エレクトロン株式会社 基板処理方法及び基板処理装置
JP6262115B2 (ja) * 2014-02-10 2018-01-17 東京エレクトロン株式会社 基板処理方法及び基板処理装置

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9920427B2 (en) 2015-02-02 2018-03-20 Toshiba Memory Corporation Semiconductor manufacturing apparatus and manufacturing method of semiconductor device

Also Published As

Publication number Publication date
US9267204B2 (en) 2016-02-23
CN101665921B (zh) 2013-05-22
CN101665921A (zh) 2010-03-10
TW201024450A (en) 2010-07-01
US20100055316A1 (en) 2010-03-04
TWI512133B (zh) 2015-12-11
JP2010059495A (ja) 2010-03-18
KR101596045B1 (ko) 2016-02-19
KR20100028498A (ko) 2010-03-12

Similar Documents

Publication Publication Date Title
JP5107185B2 (ja) 成膜装置、基板処理装置、成膜方法及びこの成膜方法を実行させるためのプログラムを記録した記録媒体
JP5276387B2 (ja) 成膜装置、基板処理装置、成膜方法及びこの成膜方法を実行させるためのプログラムを記録した記録媒体
JP5056735B2 (ja) 成膜装置
JP5276388B2 (ja) 成膜装置及び基板処理装置
JP5253932B2 (ja) 成膜装置、基板処理装置、成膜方法及び記憶媒体
JP5062143B2 (ja) 成膜装置
KR101522739B1 (ko) 성막 장치, 성막 방법 및 기억 매체
KR101558606B1 (ko) 성막 장치, 성막 방법 및 기억 매체
JP5031013B2 (ja) 成膜装置、成膜装置のクリーニング方法、プログラム、プログラムを記憶するコンピュータ可読記憶媒体
JP5195174B2 (ja) 成膜装置及び成膜方法
TWI470112B (zh) 成膜裝置、基板處理裝置、成膜方法及記憶媒體(二)
JP5553588B2 (ja) 成膜装置
TWI423367B (zh) 成膜裝置及基板處理裝置
JP5262452B2 (ja) 成膜装置及び基板処理装置
JP2010126797A (ja) 成膜装置、半導体製造装置、これらに用いられるサセプタ、プログラム、およびコンピュータ可読記憶媒体
KR101373946B1 (ko) 성막 장치
KR20100103416A (ko) 성막 장치
JP2010084230A (ja) 成膜装置、基板処理装置及び回転テーブル
JP5195176B2 (ja) 成膜装置
JP2010135510A (ja) 成膜装置
JP2010129983A (ja) 成膜装置

Legal Events

Date Code Title Description
A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20110523

A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20120920

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20120925

A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20121003

R150 Certificate of patent or registration of utility model

Ref document number: 5107185

Country of ref document: JP

Free format text: JAPANESE INTERMEDIATE CODE: R150

Free format text: JAPANESE INTERMEDIATE CODE: R150

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20151012

Year of fee payment: 3

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250