JP5257328B2 - 基板処理装置、基板処理方法及び記憶媒体 - Google Patents

基板処理装置、基板処理方法及び記憶媒体 Download PDF

Info

Publication number
JP5257328B2
JP5257328B2 JP2009253321A JP2009253321A JP5257328B2 JP 5257328 B2 JP5257328 B2 JP 5257328B2 JP 2009253321 A JP2009253321 A JP 2009253321A JP 2009253321 A JP2009253321 A JP 2009253321A JP 5257328 B2 JP5257328 B2 JP 5257328B2
Authority
JP
Japan
Prior art keywords
gas
exhaust
pressure
vacuum vessel
processing
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Active
Application number
JP2009253321A
Other languages
English (en)
Other versions
JP2011100786A (ja
Inventor
康一 織戸
学 本間
辰也 田村
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Tokyo Electron Ltd
Original Assignee
Tokyo Electron Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Tokyo Electron Ltd filed Critical Tokyo Electron Ltd
Priority to JP2009253321A priority Critical patent/JP5257328B2/ja
Priority to US12/916,667 priority patent/US8746170B2/en
Priority to TW099137710A priority patent/TWI531019B/zh
Priority to KR1020100108491A priority patent/KR101291872B1/ko
Priority to CN201010534982.4A priority patent/CN102054663B/zh
Publication of JP2011100786A publication Critical patent/JP2011100786A/ja
Application granted granted Critical
Publication of JP5257328B2 publication Critical patent/JP5257328B2/ja
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67242Apparatus for monitoring, sorting or marking
    • H01L21/67253Process monitoring, e.g. flow or thickness monitoring
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45502Flow conditions in reaction chamber
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45544Atomic layer deposition [ALD] characterized by the apparatus
    • C23C16/45548Atomic layer deposition [ALD] characterized by the apparatus having arrangements for gas injection at different locations of the reactor for each ALD half-reaction
    • C23C16/45551Atomic layer deposition [ALD] characterized by the apparatus having arrangements for gas injection at different locations of the reactor for each ALD half-reaction for relative movement of the substrate and the gas injectors or half-reaction reactor compartments
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45557Pulsed pressure or control pressure
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45587Mechanical means for changing the gas flow
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/687Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches
    • H01L21/68714Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support
    • H01L21/68764Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support characterised by a movable susceptor, stage or support, others than those only rotating on their own vertical axis, e.g. susceptors on a rotating caroussel
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/687Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches
    • H01L21/68714Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support
    • H01L21/68771Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support characterised by supporting more than one semiconductor substrate
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10TTECHNICAL SUBJECTS COVERED BY FORMER US CLASSIFICATION
    • Y10T137/00Fluid handling
    • Y10T137/8376Combined

Description

本発明は、真空雰囲気にて基板に対して処理ガスにより処理を行う基板処理装置、基板処理方法及び記憶媒体に関する。
半導体製造プロセスにおいて、半導体ウエハ(以下「ウエハ」という)等の基板に対して成膜処理やエッチング処理などの真空処理を行うにあたり、複数の排気ラインから真空容器内の雰囲気を夫々排気しながら処理を行う場合がある。この場合には、例えば各々の排気ラインに真空ポンプなどを夫々接続すると共に、真空容器と各々の真空ポンプとの間にバタフライバルブなどの圧力調整弁を介設して、各排気ラインの各々における排気流量を調整することになる。
上記のように真空容器に複数の排気ラインを設けて基板処理を行う装置の一例としては、真空容器の周方向に沿ってウエハの載置台を設けると共に、載置台の上方側に複数の処理ガス供給部を設け、載置台側あるいは処理ガス供給部側を周方向に回転させて真空処理を行ういわばミニバッチタイプの成膜装置が知られている。このような装置は、第1の反応ガス及び第2の反応ガスを交互にウエハに供給して原子層あるいは分子層を積層していく例えばALD(Atomic Layer Deposition)やMLD(Molecular Layer Deposition)などと呼ばれる手法を行う場合に好適な成膜手法である。このような装置としては、例えば特許文献1〜4に記載の装置が知られており、これらの装置では、反応ガスがウエハ上で混合しないように処理領域が区画されている。そして、この装置に排気ラインを複数設けて、これらの排気ラインから複数の処理ガスを夫々個別に排気する場合には、処理ガスが互いに混じり合わないのでパーティクルの発生が抑えられ、また真空容器内におけるガス流が安定化するので、面内及び面間において高い均一性で処理を行うことができると考えられる。
ところで、上記のように各々の処理ガスを複数の排気ラインから個別に排気するにあたり、ハード構成上、各々の排気ラインを通流するガス流量を直接測定するのは非常に困難である。そのため、各々の排気ラインの排気流量を調整するために、例えば排気ライン毎に圧力計を設けて、これらの圧力計による測定値がほぼ同じ圧力値となるように各々の排気ラインの圧力調整弁の開度を調整したとすると、処理ガス同士が混ざり合ってしまう場合がある。つまり、例えば複数の真空ポンプのうち1つが故障などにより動いていない時あるいは排気能力が低い時であっても、上記のように真空雰囲気で処理を行う場合には、各々の圧力計の測定値はほぼ同じ値となってしまう。また、排気ライン内に例えば堆積物が堆積して排気流量に経時変化が起こり、各々の排気ラインの排気能力のバランスが崩れてしまった場合であっても、圧力計ではそのような状態を把握できない。
従って、各々の排気ラインの圧力値を測定しても、真空容器内において処理ガスが実際にどのように通流しているのか確認することができない。そのため、処理ガスが混ざり合ったり真空容器内におけるガス流が乱れたりすると、パーティクルが発生したり、面内及び面間の処理の均一性が悪くなったりしてしまう。また、複数の処理ガスのうち例えば1種類の処理ガスの流量が他の処理ガスの流量に比べて極めて多い場合には、より一層処理ガス同士が混ざり合ってしまうおそれが大きくなる。
また、1つの真空容器に対して複数の排気ラインを接続する例としては、例えば1辺が数m程度の大型のLCD(Liquid Crystal Display)基板やFPD(Flat Panel Display)基板に対して例えばエッチング処理などを行う場合が挙げられる。この場合には、真空容器内において、例えばLCD基板の4辺に沿って複数箇所に形成された排気口から処理ガスを排気することになるが、上記の例と同様に各々の排気口から均等の排気流量で排気されない場合には、面内に亘って均一な処理が行われなくなってしまうおそれがある。
上記の各特許文献には、このような課題を解決する技術については記載されていない。
米国特許公報7,153,542号:図6(a)、(b) 特許3144664号公報:図1、図2、請求項1 米国特許公報6,869,641号:図1 特開2007−247066号
本発明はこのような事情に基づいて行われたものであり、その目的は、複数の排気路から真空容器内の雰囲気を各々真空排気して基板に対して真空処理を行うにあたり、前記複数の排気路の各々の排気流量を調整することのできる基板処理装置、基板処理方法及びこの方法を実施するプログラムを格納した記憶媒体を提供することにある。
本発明の基板処理装置は、
真空容器内の載置台上に基板を載置し、前記基板に対して処理ガス供給部から処理ガスを供給して真空雰囲気下で処理を行う基板処理装置において、
前記真空容器内を各々真空排気するための第1の排気路及び第2の排気路と、
前記第1の排気路及び前記第2の排気路に夫々介設された第1の圧力調整弁及び第2の圧力調整弁と、
前記第2の排気路における前記第2の圧力調整弁の1次側に設けられ、当該第2の排気路のコンダクタンスを調整するためのコンダクタンス調整部と、
前記真空容器内の圧力を測定するための第1の圧力測定手段と、
前記コンダクタンス調整部の1次側と2次側とにおける差圧を測定する差圧測定手段と、
前記真空容器内の圧力値、前記コンダクタンス調整部の調整値、前記第2の排気路の排気流量及び前記差圧の関係を規定したデータを記憶する記憶部と、
この記憶部に記憶されたデータから、前記第2の排気路の排気流量の設定値に対応する前記真空容器内の圧力値、前記コンダクタンス調整部の調整値及び前記差圧を読み出し、前記圧力値となるように前記第1の圧力調整弁を調整すると共に前記調整値となるように前記コンダクタンス調整部により前記第2の排気路のコンダクタンスを調整し、次いで前記差圧となるように前記第2の圧力調整弁を調整するように制御信号を出力する制御部と、を備えたことを特徴とする。
前記コンダクタンス調整部はバタフライバルブであり、コンダクタンスの調整値はバタフライバルブの開度であることが好ましい。
前記処理は、互いに反応する少なくとも2種類の反応ガスを順番に基板の表面に供給しかつこの供給サイクルを実行することにより反応生成物の層を多数積層して薄膜を形成する成膜処理であり、
前記真空容器の周方向に互いに離れて設けられ、前記載置台上の基板に夫々第1の反応ガス及び第2の反応ガスを供給するための第1の反応ガス供給手段及び第2の反応ガス供給手段と、
前記第1の反応ガスが供給される第1の処理領域と前記第2の反応ガスが供給される第2の処理領域との雰囲気を分離するために、前記周方向においてこれら処理領域の間に設けられ、分離ガス供給手段から分離ガスを供給するための分離領域と、
前記基板が前記第1の処理領域と前記第2の処理領域とをこの順番で前記分離領域を介して位置するように、前記第1の反応ガス供給手段、前記第2の反応ガス供給手段及び前記分離領域と前記載置台とを相対的に前記周方向に回転させる回転機構と、を備え、
前記第1の排気路の排気口は第1の反応ガス及び分離ガスを排気するために設けられ、前記第2の排気路の排気口は第2の反応ガス及び分離ガスを排気するために設けられていることが好ましい。
前記記憶部内のデータは、
(a)基板に対して処理を行う前に、前記第1の圧力調整弁を閉じて前記第2の圧力調整弁を開放し、
(b)流量調整用ガスを前記真空容器内に供給すると共に、前記真空容器内の圧力が所定の圧力となるように前記コンダクタンス調整部により前記第2の排気路のコンダクタンスを調整して、この時の前記コンダクタンス調整部の調整値と前記差圧とを求め、
(c)このような試行を流量調整用ガスの供給流量と真空容器内の圧力との組み合わせを種々変更して
得られたものであることが好ましい。
本発明の基板処理方法は、
真空容器内の載置台上に基板を載置し、前記基板に対して処理ガスを供給する工程と、
第1の圧力調整弁が介設された第1の排気路と、第2の圧力調整弁が介設された第2の排気路と、から前記真空容器内を各々真空排気する工程と、
記憶部からデータを読み出す工程と、
次いで、前記真空容器内の圧力が前記データに記憶された圧力値となるように前記第1の圧力調整弁を調整すると共に、前記第2の排気路における前記第2の圧力調整弁の1次側に設けられたコンダクタンス調整部の調整値が前記データに記憶された調整値となるように当該コンダクタンス調整部により前記第2の排気路のコンダクタンスを調整する工程と、
続いて、前記コンダクタンス調整部の1次側と2次側とにおける差圧が前記データに記憶された差圧となるように前記第2の圧力調整弁を調整する工程と、を含み、
前記読み出す工程は、前記第2の排気路の排気流量の設定値に対応する前記真空容器内の圧力値、前記コンダクタンス調整部の調整値及び前記差圧を前記データから読み出す工程であることを特徴とする。
前記処理は、互いに反応する少なくとも2種類の反応ガスを順番に基板の表面に供給しかつこの供給サイクルを実行することにより反応生成物の層を多数積層して薄膜を形成する成膜処理であり、
前記処理ガスを供給する工程は、前記真空容器の周方向に互いに離れて設けられた第1の反応ガス供給手段及び第2の反応ガス供給手段から、前記載置台上の基板に夫々第1の反応ガス及び第2の反応ガスを供給する工程であり、
前記コンダクタンスを調整する工程の前に、前記第1の反応ガスが供給される第1の処理領域と前記第2の反応ガスが供給される第2の処理領域との雰囲気を分離するために、前記周方向においてこれら処理領域の間に設けられた分離領域に分離ガス供給手段から分離ガスを供給すると共に、前記基板が前記第1の処理領域と前記第2の処理領域とをこの順番で前記分離領域を介して位置するように、前記第1の反応ガス供給手段、前記第2の反応ガス供給手段及び前記分離領域と前記載置台とを相対的に前記周方向に回転させる工程を行い、
前記真空排気する工程は、第1の反応ガス及び分離ガスを排気するために設けられた前記第1の排気路の排気口と、は第2の反応ガス及び分離ガスを排気するために設けられた前記第2の排気路の排気口と、から前記真空容器内を各々真空排気する工程であることが好ましい。
本発明の記憶媒体は、
真空容器内の載置台上に基板を載置して、前記基板に対して処理ガスを供給して真空雰囲気下で処理を行う基板処理装置に用いられるプログラムを格納する記憶媒体であって、
前記プログラムは、上記記載の基板処理方法を実施するようにステップ群が組まれていることを特徴とする。
本発明は、真空容器内を第1の排気路及び第2の排気路により排気するにあたって、第1の排気路に設けた第1の圧力調整弁により真空容器内の圧力を設定値に調整すると共に、第2の排気路に設けたコンダクタンス調整部を所定の調整値に調整し、その上でコンダクタンス調整部の1次側(上流側)と2次側(下流側)との差圧を、当該コンダクタンス調整部の下流側に設けた第2の圧力調整弁により調整している。このような調整は、真空容器内の圧力値、コンダクタンス調整部の調整値、第2の排気路の排気流量及び前記差圧の関係を規定したデータを用いて行っており、こうして第1の排気路側で圧力調整を、また第2の排気路側で前記差圧を制御することで排気流量調整を夫々行っている。真空容器内に供給されるガスの流量は分かっていることから、本発明によれば第1の排気路及び第2の排気路の各排気流量を調整することができる。
本発明の実施の形態に係る基板処理装置の縦断面図である。 上記の基板処理装置の内部の概略構成を示す斜視図である。 上記の基板処理装置の横断平面図である。 上記の基板処理装置における処理領域及び分離領域を示す縦断面図である。 上記の基板処理装置の一部を示す縦断面図である。 上記の基板処理装置の一部破断斜視図である。 上記の基板処理装置における分離ガスあるいはパージガスの流れる様子を示す説明図である。 上記の基板処理装置の一部破断斜視図である。 上記の基板処理装置の制御部の一例を示す概略図である。 上記の基板処理装置においてテーブルを作成する時の真空容器内などの圧力を示す模式図である。 上記のテーブルの一例を示す概略図である。 上記のテーブルを作成する時の工程を示すフロー図である。 上記のフロー図に基づいて成膜処理を行う時のフロー図である。 上記の成膜処理における真空容器内のガス流を示す概略図である。 上記の他の実施の形態を示す平面図である。 上記の他の実施の形態を示す模式図である。 上記の他の実施の形態においてテーブルを作成する手順を示す概略図である。 分離領域に用いられる凸状部の寸法例を説明するための説明図である。 分離領域の他の例を示す縦断面図である。 本発明の他の実施の形態に係る成膜装置を示す横断平面図である。 本発明の他の実施の形態の基板処理装置の一例を示す側面図である。 上記の他の実施の形態を示す平面図である。
[第1の実施の形態]
本発明の第1の実施の形態の基板処理装置である成膜装置は、図1(図3のI−I’線に沿った断面図)〜図3に示すように、平面形状が概ね円形である扁平な真空容器(チャンバー)1と、この真空容器1内に設けられ、当該真空容器1の中心に回転中心を有する載置台である回転テーブル2と、を備えている。真空容器1は天板11が容器本体12から着脱できるように構成されている。この天板11は、真空容器1内が減圧されることにより、容器本体12の上面の周縁部にリング状に設けられたシール部材例えばOリング13を介して容器本体12側に引きつけられて気密状態を維持しているが、容器本体12から分離するときには図示しない駆動機構により上方に持ち上げられる。
回転テーブル2は、中心部にて円筒形状のコア部21に固定され、このコア部21は、鉛直方向に伸びる回転軸22の上端に固定されている。回転軸22は真空容器1の底面部14を貫通し、その下端が当該回転軸22を鉛直軸回りにこの例では時計回りに回転させる駆動部23に取り付けられている。回転軸22及び駆動部23は、上面が開口した筒状のケース体20内に収納されている。このケース体20はその上面に設けられたフランジ部分が真空容器1の底面部14の下面に気密に取り付けられており、ケース体20の内部雰囲気と外部雰囲気との気密状態が維持されている。
回転テーブル2の表面部には、図2及び図3に示すように回転方向(周方向)に沿って複数枚例えば5枚の基板である半導体ウエハ(以下「ウエハ」という)Wを載置するための円形状の凹部24が設けられている。なお図3には便宜上1個の凹部24だけにウエハWを描いてある。ここで図4は、回転テーブル2を同心円に沿って切断しかつ横に展開して示す展開図であり、凹部24は、図4(a)に示すようにその直径がウエハWの直径よりも僅かに例えば4mm大きく、またその深さはウエハWの厚みと同等の大きさに設定されている。従ってウエハWを凹部24に落とし込むと、ウエハWの表面と回転テーブル2の表面(ウエハWが載置されない領域)とが揃うことになる。ウエハWの表面と回転テーブル2の表面との間の高さの差が大きいとその段差部分で圧力変動が生じることから、ウエハWの表面と回転テーブル2の表面との高さを揃えることが、膜厚の面内均一性を揃える観点から好ましい。ウエハWの表面と回転テーブル2の表面との高さを揃えるとは、同じ高さであるかあるいは両面の差が5mm以内であることをいうが、加工精度などに応じてできるだけ両面の高さの差をゼロに近づけることが好ましい。凹部24の底面には、ウエハWの裏面を支えて当該ウエハWを昇降させるための例えば後述する3本の昇降ピン16(図8参照)が貫通する貫通孔(図示せず)が形成されている。
凹部24はウエハWを位置決めして回転テーブル2の回転に伴なう遠心力により飛び出さないようにするためのものであり、本発明の基板載置領域に相当する部位であるが、この基板載置領域(ウエハ載置領域)は、凹部に限らず例えば回転テーブル2の表面にウエハWの周縁をガイドするガイド部材をウエハWの周方向に沿って複数並べた構成であってもよく、あるいは回転テーブル2側に静電チャックなどのチャック機構を持たせてウエハWを吸着する場合には、その吸着によりウエハWが載置される領域が基板載置領域となる。
図2及び図3に示すように真空容器1には、回転テーブル2における凹部24の通過領域と各々対向する上位置に、第1の反応ガスノズル31及び第2の反応ガスノズル32からなる処理ガス供給部と2本の分離ガスノズル41、42とが真空容器1の周方向(回転テーブル2の回転方向)に互いに間隔をおいて中心部から放射状に伸びている。この例では、後述の搬送口15から見て時計回りに第2の反応ガスノズル32、分離ガスノズル41、第1の反応ガスノズル31及び分離ガスノズル42がこの順に配列されている。これら反応ガスノズル31、32及び分離ガスノズル41、42は、例えば真空容器1の側周壁に取り付けられており、その基端部であるガス導入ポート31a、32a、41a、42aは当該側壁を貫通している。
ガスノズル31、32、41、42は図示の例では、真空容器1の周壁部から真空容器1内に導入されているが、後述する環状の突出部5から導入してもよい。この場合、突出部5の外周面と天板11の外表面とに開口するL字型の導管を設け、真空容器1内でL字型の導管の一方の開口にガスノズル31、(32、41、42)を接続し、真空容器1の外部でL字型の導管の他方の開口にガス導入ポート31a(32a、41a、42a)を接続する構成を採用することができる。
図3に示すように、反応ガスノズル31は、バルブ36a及び流量調整部37aが介設されたガス供給管31bにより、第1の反応ガス(処理ガス)であるBTBAS(ビスターシャルブチルアミノシラン)ガスが貯留された第1のガス供給源38aに接続されている。反応ガスノズル32は、バルブ36b及び流量調整部37bが介設されたガス供給管32bにより、第2の反応ガス(処理ガス)であるO3(オゾン)ガスが貯留された第2のガス供給源38bに接続されている。また、分離ガスノズル41は、バルブ36c及び流量調整部37cが介設されたガス供給管41bにより、分離ガス(不活性ガス)であるN2(窒素)ガスが貯留されたN2ガス供給源38cに接続されており、分離ガスノズル42は、バルブ36d及び流量調整部37dが介設されたガス供給管42bにより、このN2ガス供給源38cに接続されている。
反応ガスノズル31とバルブ36aとの間におけるガス供給管31bには、バルブ36e及び流量調整部37eを介して既述のN2ガス供給源38cが接続されており、同様に反応ガスノズル32とバルブ36bとの間におけるガス供給管32bには、バルブ36f及び流量調整部37fを介してN2ガス供給源38cが接続されている。これらのバルブ36a〜36f及び流量調整部37a〜37fによりガス供給系39が構成される。
反応ガスノズル31、32には、下方側に反応ガスを吐出するための処理ガス供給口として例えば口径が0.5mmの吐出孔330が真下を向いてノズルの長さ方向に例えば10mmの間隔を置いて配列されている。また分離ガスノズル41、42には、下方側に分離ガスを吐出するための例えば口径が0.5mmの吐出孔40が真下を向いて長さ方向に例えば10mm程度の間隔を置いて穿設されている。反応ガスノズル31、32は夫々第1の反応ガス供給手段及び第2の反応ガス供給手段に相当し、分離ガスノズル41、42は分離ガス供給手段に相当する。また、反応ガスノズル31、32の下方領域は夫々BTBASガスをウエハWに吸着させるための第1の処理領域91及びO3ガスをウエハWに吸着させるための第2の処理領域92となる。
分離ガスノズル41、42は、第1の処理領域91と第2の処理領域92とを分離するための分離領域Dを形成するためのものであり、この分離領域Dにおける真空容器1の天板11には図2〜図4に示すように、回転テーブル2の回転中心を中心としかつ真空容器1の内周壁の近傍に沿って描かれる円を周方向に分割してなる、平面形状が扇型で下方に突出した凸状部4が設けられている。分離ガスノズル41、42は、この凸状部4における前記円の周方向中央にて当該円の半径方向に伸びるように形成された溝部43内に収められている。即ち分離ガスノズル41(42)の中心軸から凸状部4である扇型の両縁(回転テーブル2の回転方向上流側の縁及び下流側の縁)までの距離は同じ長さに設定されている。
なお、溝部43は、本実施形態では凸状部4を二等分するように形成されているが、他の実施形態においては、例えば溝部43から見て凸状部4における回転テーブル2の回転方向上流側が前記回転方向下流側よりも広くなるように溝部43を形成してもよい。
従って分離ガスノズル41、42における前記回転方向両側には、前記凸状部4の下面である例えば平坦な低い天井面44(第1の天井面)が存在し、この天井面44の前記回転方向両側には、当該天井面44よりも高い天井面45(第2の天井面)が存在することになる。この凸状部4の役割は、回転テーブル2との間に第1の反応ガス及び第2の反応ガスの侵入を阻止してこれら反応ガスの混合を阻止するための狭隘な空間である分離空間を形成することにある。
即ち、分離ガスノズル41を例にとると、回転テーブル2の回転方向上流側からO3ガスが侵入することを阻止し、また回転方向下流側からBTBASガスが侵入することを阻止する。「ガスの侵入を阻止する」とは、分離ガスノズル41から吐出した分離ガスであるN2ガスが第1の天井面44と回転テーブル2の表面との間に拡散して、この例では当該第1の天井面44に隣接する第2の天井面45の下方側空間に吹き出し、これにより当該隣接空間からのガスが侵入できなくなることを意味する。そして「ガスが侵入できなくなる」とは、隣接空間から凸状部4の下方側空間に全く入り込むことができない場合のみを意味するのではなく、多少侵入はするが、両側から夫々侵入したO3ガス及びBTBASガスが凸状部4内で交じり合わない状態が確保される場合も意味し、このような作用が得られる限り、分離領域Dの役割である第1の処理領域91の雰囲気と第2の処理領域92の雰囲気との分離作用が発揮できる。従って狭隘な空間における狭隘の程度は、狭隘な空間(凸状部4の下方空間)と当該空間に隣接した領域(この例では第2の天井面45の下方空間)との圧力差が「ガスが侵入できなくなる」作用を確保できる程度の大きさになるように設定され、その具体的な寸法は凸状部4の面積などにより異なるといえる。またウエハWに吸着したガスについては当然に分離領域D内を通過することができ、ガスの侵入阻止は、気相中のガスを意味している。
この例では直径300mmのウエハWを被処理基板としており、この場合凸状部4は、回転テーブル2の回転中心から140mm外周側に離れた部位(後述の突出部5との境界部位)においては、周方向の長さ(回転テーブル2と同心円の円弧の長さ)が例えば146mmであり、ウエハWの載置領域(凹部24)の最も外側部位においては、周方向の長さが例えば502mmである。なお図4(a)に示すように、当該外側部位において分離ガスノズル41(42)の両脇から夫々左右に位置する凸状部4の周方向の長さLでみれば、長さLは246mmである。
また図4(a)に示すように凸状部4の下面即ち天井面44における回転テーブル2の表面までの高さhは、例えば0.5mmから10mmであってもよく、約4mmであると好適である。この場合、回転テーブル2の回転数は例えば1rpm〜500rpmに設定されている。そのため分離領域Dの分離機能を確保するためには、回転テーブル2の回転数の使用範囲などに応じて、凸状部4の大きさや凸状部4の下面(第1の天井面44)と回転テーブル2の表面との高さhを例えば実験などに基づいて設定することになる。なお分離ガスとしては、窒素(N2)ガスに限られずアルゴン(Ar)ガスなどの不活性ガスなどを用いることができるが、このようなガスに限らず水素(H2)ガスなどであってもよく、成膜処理に影響を与えないガスであれば、ガスの種類に関しては特に限定されるものではない。また、後述の排気流量の調整に用いるガスとしては、上記のN2ガスなどの不活性ガスに限られず、同様に成膜処理に影響を与えないガスであれば良い。
天板11の下面には、回転テーブル2におけるコア部21よりも外周側の部位と対向するようにかつ当該コア部21の外周に沿って突出部5が設けられている。この突出部5は凸状部4における回転テーブル2の回転中心側の部位と連続して形成されており、その下面が凸状部4の下面(天井面44)と同じ高さに形成されている。図2及び図3は、前記天井面45よりも低くかつ分離ガスノズル41、42よりも高い位置にて天板11を水平に切断して示している。なお突出部5と凸状部4とは、必ずしも一体であることに限られるものではなく、別体であってもよい。
凸状部4及び分離ガスノズル41(42)の組み合わせ構造の作り方については、凸状部4をなす1枚の扇型プレートの中央に溝部43を形成してこの溝部43内に分離ガスノズル41(42)を配置する構造に限らず、2枚の扇型プレートを用い、分離ガスノズル41(42)の両側位置にて天板11の下面にボルト締めなどにより固定する構成などであってもよい。
真空容器1の天板11の下面、つまり回転テーブル2のウエハ載置領域(凹部24)から見た天井面は既述のように第1の天井面44とこの天井面44よりも高い第2の天井面45とが周方向に存在するが、図1では、高い天井面45が設けられている領域についての縦断面を示しており、図5では、低い天井面44が設けられている領域についての縦断面を示している。扇型の凸状部4の周縁部(真空容器1の外縁側の部位)は図2及び図5に示されているように回転テーブル2の外端面に対向するようにL字型に屈曲して屈曲部46を形成している。扇型の凸状部4は天板11側に設けられていて、容器本体12から取り外せるようになっていることから、前記屈曲部46の外周面と容器本体12との間には僅かに隙間がある。この屈曲部46も凸状部4と同様に両側から反応ガスが侵入することを防止して、両反応ガスの混合を防止する目的で設けられており、屈曲部46の内周面と回転テーブル2の外端面との隙間、及び屈曲部46の外周面と容器本体12との隙間は、回転テーブル2の表面に対する天井面44の高さhと同様の寸法に設定されている。この例においては、回転テーブル2の表面側領域からは、屈曲部46の内周面が真空容器1の内周壁を構成していると見ることができる。
容器本体12の内周壁は、分離領域Dにおいては図5に示すように前記屈曲部46の外周面と接近して垂直面に形成されているが、分離領域D以外の部位においては、図1に示すように例えば回転テーブル2の外端面と対向する部位から底面部14に亘って縦断面形状が矩形に切り欠かれて外方側に窪んだ構造になっている。この窪んだ部位における既述の第1の処理領域91及び第2の処理領域92に連通する領域を夫々第1の排気領域E1及び第2の排気領域E2と呼ぶことにすると、これらの第1の排気領域E1及び第2の排気領域E2の底部には、図1及び図3に示すように、夫々第1の排気口61及び第2の排気口62が形成されている。
上記のように、排気口61、62は、分離領域Dの分離作用が働くように、平面で見たときに前記分離領域Dの前記回転方向両側に設けられている。詳しく言えば、回転テーブル2の回転中心から見て第1の処理領域91とこの第1の処理領域91に対して例えば回転方向下流側に隣接する分離領域Dとの間に第1の排気口61が形成され、回転テーブル2の回転中心から見て第2の処理領域92とこの第2の処理領域92に対して例えば回転方向下流側に隣接する分離領域Dとの間に第2の排気口62が形成されており、これらの排気口61、62は、夫々各反応ガス(BTBASガス及びO3ガス)の排気を専用に(個別に)行うように配置されている。この例では一方の排気口61は、第1の反応ガスノズル31とこの反応ガスノズル31に対して前記回転方向下流側に隣接する分離領域Dの第1の反応ガスノズル31側の縁の延長線との間に設けられ、また他方の排気口61は、第2の反応ガスノズル32とこの反応ガスノズル32に対して前記回転方向下流側に隣接する分離領域Dの第2の反応ガスノズル32側の縁の延長線との間に設けられている。即ち、第1の排気口61は、図3中に一点鎖線で示した回転テーブル2の中心と第1の処理領域91とを通る直線L1と、回転テーブル2の中心と前記第1の処理領域91の下流側に隣接する分離領域Dの上流側の縁を通る直線L2との間に設けられ、第2の排気口62は、この図3に二点鎖線で示した回転テーブル2の中心と第2の処理領域92とを通る直線L3と、回転テーブル2の中心と前記第2の処理領域92の下流側に隣接する分離領域Dの上流側の縁を通る直線L4との間に位置している。
排気口61、62の設置数は2個に限られるものではなく、例えば分離ガスノズル42を含む分離領域Dと当該分離領域Dに対して前記回転方向下流側に隣接する第2の反応ガスノズル32との間に更に排気口を設置して3個としてもよいし、4個以上であってもよい。この例では排気口61、62は回転テーブル2よりも低い位置に設けることで真空容器1の内周壁と回転テーブル2の周縁との間の隙間から排気するようにしているが、真空容器1の底面部に設けることに限られず、真空容器1の側壁に設けてもよい。また排気口61、62は、真空容器1の側壁に設ける場合には、回転テーブル2よりも高い位置に設けるようにしてもよい。このように排気口61、62を設けることにより回転テーブル2上のガスは、回転テーブル2の外側に向けて流れるため、回転テーブル2に対向する天井面から排気する場合に比べてパーティクルの巻上げが抑えられるという観点において有利である。
第1の排気口61から伸びる第1の排気路63aには、既述の図1に示すように、第1の圧力調整弁である第1のバルブ65aを介して、第1の真空排気手段である例えば真空ポンプ64aが接続されている。この第1のバルブ65aは、例えば当該第1のバルブ65aの開度を調整することにより、第1の排気路63a内を通流するガス流量を調整できるように構成されている。この第1のバルブ65aと真空容器1との間における第1の排気路63aには、第1のバルブ65aの1次側(上流側)である真空容器1内の圧力を測定するために、圧力計などからなる圧力測定手段66aが設けられている。
また、既述の第2の排気口62から伸びる第2の排気路63bには、第1のバルブ65aと同様に構成された第2のバルブ65bを介して、第2の真空排気手段である例えば真空ポンプ64bが接続されている。この第2のバルブ65bと真空容器1との間における第2の排気路63bには、コンダクタンス調整部である例えばバタフライバルブ67が介設されている。このバタフライバルブ67は、開度を調整することにより第2の排気路63bのコンダクタンスを調整し、これにより当該バタフライバルブ67における排気流量を調整できるように構成されている。そのため、バタフライバルブ67の開度を調整することによってバタフライバルブ67の前後(真空容器1側である1次側及び第2のバルブ65b側である2次側)では圧力差が生じることから、この圧力差を測定するために、第2の排気路63bには差圧測定手段として差圧計68が設けられている。また、バタフライバルブ67と真空容器1との間における第2の排気路63bには、バタフライバルブ67の上流側(真空容器1内)の圧力を測定するために、圧力計などからなる圧力測定手段66bが設けられている。この例において、上記の圧力測定手段66a、66bは、第1の圧力測定手段をなす。尚、以下の例において、第1のバルブ65aをバルブM1、第2のバルブ65bをバルブM2として説明する場合がある。
前記回転テーブル2と真空容器1の底面部14との間の空間には、図1及び図6に示すように加熱手段であるヒータユニット7が設けられており、回転テーブル2を介して回転テーブル2上のウエハWをプロセスレシピで決められた温度に加熱するように構成されている。前記回転テーブル2の周縁付近の下方側には、回転テーブル2の上方空間から排気領域Eに至るまでの雰囲気とヒータユニット7が置かれている雰囲気とを区画するために、ヒータユニット7を全周に亘って囲むようにカバー部材71が設けられている。このカバー部材71は上縁が外側に屈曲されてフランジ形状に形成され、その屈曲面と回転テーブル2の下面との間の隙間を小さくして、カバー部材71内に外方からガスが侵入することを抑えている。
ヒータユニット7が配置されている空間よりも回転中心寄りの部位における底面部14は、回転テーブル2の下面の中心部付近、コア部21に接近してその間は狭い空間になっており、また当該底面部14を貫通する回転軸22の貫通穴についてもその内周面と回転軸22との隙間が狭くなっていて、これら狭い空間は前記ケース体20内に連通している。そして前記ケース体20にはパージガスであるN2ガスを前記狭い空間内に供給してパージするためのパージガス供給管72が設けられている。また真空容器1の底面部14には、ヒータユニット7の下方側位置にて周方向の複数部位に、ヒータユニット7の配置空間をパージするためのパージガス供給管73が設けられている。
このようにパージガス供給管72、73を設けることにより図7にパージガスの流れを矢印で示すように、ケース体20内からヒータユニット7の配置空間に至るまでの空間がN2ガスでパージされ、このパージガスが回転テーブル2とカバー部材71との間の隙間から排気領域Eを介して排気口61、62に排気される。これによって既述の第1の処理領域91と第2の処理領域92との一方から回転テーブル2の下方を介して他方にBTBASガスあるいはO3ガスの回り込みが防止されるため、このパージガスは分離ガスの役割も果たしている。
また真空容器1の天板11の中心部には分離ガス供給管51が接続されていて、天板11とコア部21との間の空間52に分離ガスであるN2ガスを供給するように構成されている。この空間52に供給された分離ガスは、前記突出部5と回転テーブル2との狭い隙間50を介して回転テーブル2のウエハ載置領域側の表面に沿って周縁に向けて吐出されることになる。この突出部5で囲まれる空間には分離ガスが満たされているので、第1の処理領域91と第2の処理領域92との間で回転テーブル2の中心部を介して反応ガス(BTBASガス及びO3ガス)が混合することを防止している。即ち、この成膜装置は、第1の処理領域91と第2の処理領域92との雰囲気を分離するために回転テーブル2の回転中心部と真空容器1とにより区画され、分離ガスがパージされると共に当該回転テーブル2の表面に分離ガスを吐出する吐出口が前記回転方向に沿って形成された中心部領域Cを備えているということができる。なおここでいう吐出口は前記突出部5と回転テーブル2との狭い隙間50に相当する。
更に真空容器1の側壁には図2、図3及び図8に示すように外部の搬送アーム10と回転テーブル2との間でウエハWの受け渡しを行うための搬送口15が形成されており、この搬送口15は図示しないゲートバルブにより開閉されるようになっている。また回転テーブル2におけるウエハ載置領域である凹部24はこの搬送口15に臨む位置にて搬送アーム10との間でウエハWの受け渡しが行われることから、回転テーブル2の下方側において当該受け渡し位置に対応する部位に、凹部24を貫通してウエハWを裏面から持ち上げるための受け渡し用の昇降ピン16の昇降機構(図示せず)が設けられる。
また、この成膜装置は、図9に示すように、装置全体の動作のコントロールを行うためのコンピュータからなる制御部80を備えており、制御部80は、CPU81、記憶部であるメモリ82、処理プログラム83及びワークメモリ84を備えている。このメモリ82には、ウエハWに対して行う処理の種別毎にレシピ(処理条件)が記憶された第1メモリ82aと、後述のテーブル86が記憶された第2メモリ82bと、が格納されている。第1メモリ82aのレシピには、成膜処理を行う時の真空容器1内の処理圧力P、各ガスノズル31、32から夫々供給する反応ガスの流量fa、fb及び各排気路63a、63bから夫々排気する排気流量Fa、Fbなどの処理条件が夫々記憶されている。また、第2メモリ82bのデータであるテーブル86には、後述するように、第2の排気路63bの排気流量(排気ガスの流量)Fbの設定値と、バタフライバルブ67の開度Vの調整値及び差圧計68により測定された差圧ΔPと、の相関関係が例えば処理圧力P毎に記憶されている。尚、図9では、このテーブル86を簡略化して示している。
処理プログラム83は、第1の排気路63a及び第2の排気路63bの各々の排気流量を算出するための排気流量用プログラム83aと、ウエハWに対して成膜処理を行うための成膜処理用プログラム83bと、を備えている。排気流量用プログラム83aを設けた理由について、以下に説明する。
上記のように共通の真空容器1内に互いに反応する反応ガス(BTBASガス及びO3ガス)を供給する場合に、真空容器1内あるいは排気路63内において反応ガス同士が互いに混じり合うことを抑えるためには、これらの反応ガスを夫々排気口61、62から個別に排気する必要がある。そのためには、例えば各反応ガスノズル31、32から真空容器1内に供給される各反応ガスの流量に応じて、各々の排気口61、62から排気される夫々の排気流量を調整する(割り振る)必要がある。例えば反応ガスノズル31、32の夫々から真空容器1内に供給される反応ガスの流量が夫々fa、fbの場合には、各排気口61、62から夫々流量fa、fb(より詳しくはこれらの流量fa、fbに、分離領域Dなどから真空容器1内に供給されるN2ガスの総量を各々分担して個別に排気するガス量を各々足した流量であるFa、Fb)の流量で個別に排気することになる。
この時、ハード構成上、各々の排気路63a、63b内を通流する排気流量を直接測定することは極めて困難である。ここで、圧力測定手段66a、66bを用いて排気流量を調整しようとすると、圧力測定手段66a、66bによる測定値がほぼ同じ値となるように、あるいは各反応ガスの供給流量に応じて圧力測定手段66a、66bの測定値が所定の比になるように、バルブ65a、65bの開度を調整することになる。一方、上記の成膜装置のように、高真空の下で処理を行う場合には、例えば一方の排気路63のコンダクタンスが通常時よりも小さくなっていたりする時であっても、あるいは2つの真空ポンプ64a、64bの排気能力に差がある場合であっても、これらの圧力測定手段66a、66bの測定値がほぼ同じ値となってしまう。そのため、圧力計(圧力測定手段66a、66b)だけに頼る手法では、各反応ガスの供給量に応じて各排気路63a、63bから夫々排気する排気流量を調整するのは実際には極めて困難である。
そこで、本発明では、例えば成膜処理を行う前、あるいは装置のメンテナンス時に、上記のプログラム83aにより排気路63a、63bの夫々の排気流量(より詳しくは排気路63bの排気流量)を予め直接算出するようにしている。具体的には、第1のバルブ65aを閉じて、第2のバルブ65bを開放(全開に)する。次いで、N2ガス供給ラインに介設された図示しない流量計の測定値が例えばFbとなるように流量調整部37c、37d、37fを調整して、真空容器1内に流量Fbで流量調整用のガスである不活性ガス例えばN2ガスを供給する。そして、真空容器1内の圧力(圧力測定手段66bの測定値)が例えば設定圧力(処理圧力)Pで一定となるようにバタフライバルブ67の開度を調整すると、第2の排気路63bから流量FbでN2ガスが排気されていくことになる。この時のバタフライバルブ67の開度(設定開度)をVとする。また、第2のバルブ65bを開放し、バタフライバルブ67の開度により真空容器1内の圧力を調整していることから、真空容器1内の圧力である第1の圧力測定値よりもバタフライバルブ67と第2のバルブ65b(真空ポンプ64b)との間の圧力である第2の圧力測定値の方が低く(真空度が高く)なり、従ってバタフライバルブ67の前後(差圧計68の測定値)では、差圧(設定圧力差)ΔPが生じることになる。
以上の過程を経ることにより、真空容器1内の圧力が処理圧力Pの時において、バタフライバルブ67の開度がV、バタフライバルブ67の前後の差圧(差圧計68の測定値)がΔPの場合には、第2の排気路63bの排気流量がFbとなることが分かる。そのため、例えば流量F(F=Fa+Fb)の反応ガス及びN2ガスを真空容器1に供給して2つの排気路63a、63bから夫々排気する場合であっても、真空容器1内の圧力、バタフライバルブ67の開度及び差圧計68の測定値を夫々P、V及びΔPに設定すると、第2の排気路63bの排気流量がFbとなるので、第1の排気路63aの排気流量は残りの流量Fa(Fa=F−Fb)となる。従って、排気路63a、63bの夫々の排気流量を調整できることが分かる。
そこで、真空容器1内に流量Fで反応ガス及びN2ガスを供給して2つの排気路63a、63bから各々排気する時には、先ずバタフライバルブ67の開度をVに設定しておく。次いで図10に示すように、第1のバルブ65aの開度を調整して真空容器1の圧力(圧力測定手段66aの測定値)を処理圧力Pに保ちながら、差圧計68の測定値が差圧ΔPとなるように第2のバルブ65bの開度を調整する。従って、流量Fbのガスが第2の排気路63bから排気され、残りの流量Faのガスが第1の排気路63aから排気されることになる。
排気流量用プログラム83aは、上記のように排気路63a、63bの各々における排気流量を調整するために、既述のテーブル86を作成するようにステップ群が組まれており、このテーブル86の具体的な作成手法については後述の作用説明の箇所で詳述する。成膜処理用プログラム83bは、ウエハWに対して成膜処理を行う時に、第1メモリ82aから処理の種別に応じたレシピを読み出すと共に、このレシピに記載された処理圧力Pに対応するテーブル86を第2メモリ82bから選択する。そして、このテーブル86から、第2の排気路63bの排気流量Fbに対応するバタフライバルブ67の開度V及び差圧計68の差圧ΔPを読み出し、こうして読み出したパラメータの値に基づいてバタフライバルブ67、第1のバルブ65a及び第2のバルブ65bのアクチュエータに制御信号を送り、後述の各ステップを進行させることでウエハWに対して成膜処理を行う。
上記の処理プログラム83は、ハードディスク、コンパクトディスク、光磁気ディスク、メモリカード、フレキシブルディスクなどの記憶媒体85から制御部80内にインストールされる。
次に、上述の第1の実施の形態の作用について、図12〜図14を参照して説明する。先ず、既述の排気流量用プログラム83aにより行われる処理の概略について説明すると、このプログラム83aは、例えば装置の立ち上げ時(成膜処理を行う前)、あるいは装置のメンテナンス時に、例えばN2ガスなどの不活性ガスを用いて、設定圧力Pと第2の排気路63bから排気されるガスの流量Fbとを種々変更し、各条件に対応するバタフライバルブ67の開度V及び差圧計68の差圧ΔPを夫々測定して、テーブル86として既述の第2メモリ82bに記憶する。図11には、例えば設定圧力Pが1.07kPa(8Torr)の場合において測定される上記のテーブル86の一例を示している。尚、この図11のテーブル86には、一部についてバタフライバルブ67の開度Vなどの記載を省略しているが、これらの値についても同様に求められる。
具体的には、図12に示すように、テーブル86を作成するにあたり、処理圧力Pと、第2の排気路63b側から排気する排気流量Fbと、を設定する(ステップS11)。次いで、バルブ65a、65b及びバタフライバルブ67を全開にして真空容器1内を引き切りの状態にした後(ステップS12)、第1のバルブ65aを閉じて、反応ガスノズル32、2本の分離ガスノズル42、分離ガス供給管51及びパージガス供給管72、73から総ガス流量が上記の流量FbとなるようにN2ガスを供給する。そして、真空容器1内の圧力が上記の処理圧力Pとなるように、バタフライバルブ67の開度Vを調整する(ステップS13)。また、この時にバタフライバルブ67の前後で生じる差圧ΔPを差圧計68により読みとり、この差圧ΔPとバタフライバルブ67の開度Vとを、処理圧力P及び第2の排気路63bから排気する排気流量Fbに対応させてテーブル86に記憶する(ステップS14)。こうして処理圧力P及び流量Fbの設定と、バタフライバルブ67の開度V及び差圧ΔPの取得と、をこれらの処理圧力P及び流量Fbを様々に変えて行うことにより、テーブル86が作成されることになる。
続いて、ウエハWに対して成膜処理を行う場合には、図13に示すように、先ず第1メモリ82aからレシピを読み出し(ステップS21)、このレシピに記載された処理圧力Pと、第2の排気路63bから排気する排気流量Fbと、に対応するバタフライバルブ67の開度V及び差圧ΔPをテーブル86から読み出す(ステップS22)。また、図示しないゲートバルブを開き、外部から搬送アーム10により搬送口15を介してウエハWを回転テーブル2の凹部24内に受け渡す。この受け渡しは、凹部24が搬送口15に臨む位置に停止したときに図8に示すように凹部24の底面の貫通孔を介して真空容器1の底部側から昇降ピン16が昇降することにより行われる。このようなウエハWの受け渡しを回転テーブル2を間欠的に回転させて行い、回転テーブル2の5つの凹部24内に夫々ウエハWを載置する。続いて、バルブ65a、65b及びバタフライバルブ67を全開にして真空容器1内を引き切りの状態にして(ステップS23)、回転テーブル2を所定の回転数で時計回りに回転させると共に、ヒータユニット7によりウエハW(回転テーブル2)を例えば300℃に加熱する。
そして、バタフライバルブ67の開度Vをテーブル86から読み出した値に設定する(ステップS24)。また、第1の反応ガスノズル31から流量fa例えば100sccmでBTBASガス供給すると共に、第2の反応ガスノズル32から流量fb例えば10000sccmでO3ガスを供給する。また、分離ガスノズル41、42からいずれも20000sccmでN2ガスを供給して(ステップS25)、分離ガス供給管51及びパージガス供給管72、73からも所定の流量でN2ガスを真空容器1内に供給する。また、既述の図10に示すように、真空容器1内の圧力が処理圧力Pとなるように第1のバルブ65aの開度を調整する(開度が小さくなる方向に調整する)と共に、差圧計68の測定値が差圧ΔPとなるように第2のバルブ65bの開度を調整する(ステップS26)。具体的には、初めは第2のバルブ65bが全開状態となっていて差圧計68の測定値が差圧ΔPよりも大きくなっているので、開度が小さくなる(閉じる)方向に第2のバルブ65bの開度を調整する。また、この第2のバルブ65bの開度を調整することにより、真空容器1内の圧力が高くなろうとするので、当該圧力が処理圧力Pに維持されるように、第1のバルブ65aの開度を調整する(開度が大きくなるように調整する)。
こうして真空容器1内の圧力及び差圧計68の測定値が夫々処理圧力P及び差圧ΔPとなるようにバルブ65a、65bの開度を瞬時に例えば交互に調整していくことにより、図14に示すように、第1の排気路63aからは流量Fa(BTBASガスの流量fa及びN2ガスの総流量のうち所定の分量)のガスが排気され、第2の排気路63bからは流量Fb(O3ガスの流量fb及びN2ガスの残りの量)のガスが排気されて、真空容器1内及び排気路63a、63b内において反応ガス同士の混合が抑えられることになる。そのため、パーティクルの発生が抑えられることになる。尚、この図14において、2つの排気路63a、63bについて記載を簡略化して描画している。
そして、回転テーブル2の回転により、ウエハWが第1の処理領域91と第2の処理領域92とを分離領域Dを介して交互に通過するため、ウエハWの表面にはBTBASガスが吸着し、次いでO3ガスが吸着してBTBAS分子が酸化されて酸化シリコンの分子層が1層あるいは複数層形成され、こうして酸化シリコンの分子層が順次積層されて所定の膜厚のシリコン酸化膜からなる薄膜が成膜される。この時、排気路63a、63bの各々の排気流量を調整していることから、ウエハWへのガス流の変動が抑えられると共に、ウエハWの面内及び面間に亘ってガス流が安定化する。また、各々の排気路63a、63bの排気流量を調整することによって、排気流量を調整しない場合に比べて、回転テーブル2の回転により各処理領域91、92及び分離領域DにウエハWが出入りする時に当該ウエハWが感じる圧力差が小さくなるので、例えばこれらの領域91、92、DにウエハWが出入りする時の当該ウエハWの凹部24からの飛び出しや位置ずれが抑えられることになる。
この時、分離領域DにおいてN2ガスを供給し、また中心部領域Cにおいても分離ガスであるN2ガスを供給しているので、BTBASガスとO3ガスとが混合しないように各ガスが排気されることとなる。また、分離領域Dにおいては、屈曲部46と回転テーブル2の外端面との間の隙間が既述のように狭くなっているので、BTBASガスとO3ガスとは、回転テーブル2の外側を介しても混合しない。従って、第1の処理領域91の雰囲気と第2の処理領域92の雰囲気とが完全に分離され、BTBASガスは排気口61に、またO3ガスは排気口62に夫々排気される。この結果、BTBASガス及びO3ガスが雰囲気中においてもウエハW上においても混じり合うことがない。
また、回転テーブル2の下方側をN2ガスによりパージしているため、排気領域Eに流入したガスが回転テーブル2の下方側を潜り抜けて、例えばBTBASガスがO3ガスの供給領域に流れ込むといったおそれは全くない。こうして成膜処理が終了すると、ガスの供給を停止して真空容器1内を真空排気し、その後回転テーブル2の回転を停止して各ウエハWを搬入時と逆の動作によって順次搬送アーム10により搬出する。
ここで処理パラメータの一例について記載しておくと、回転テーブル2の回転数は、300mm径のウエハWを被処理基板とする場合は例えば1rpm〜500rpm、真空容器1の中心部の分離ガス供給管51からのN2ガスの流量は例えば5000sccmである。また1枚のウエハWに対する反応ガス供給のサイクル数、即ちウエハWが処理領域91、92の各々を通過する回数は目標膜厚に応じて変わるが、多数回例えば600回である。
上述の実施の形態によれば、真空容器1内の回転テーブル2上にウエハWを載置して、このウエハWに対して処理ガスを供給して真空雰囲気下において処理を行うにあたり、第1のバルブ65aが介設された第1の排気路63aと、第2のバルブ65bが介設された第2の排気路63bと、から真空容器1内の雰囲気を夫々真空排気できるようにして、真空容器1内の圧力が処理圧力Pとなるように第1のバルブ65aの開度を調整すると共に、第1の排気路63aの排気流量と第2の排気路63bの排気流量とをレシピに応じた設定値にするために、バタフライバルブ67の開度Vをテーブル86に記載された値に設定し、次いで差圧計68の測定値がテーブル86に記載された差圧ΔPとなるように第2のバルブ65bの開度を調整している。そのため、排気路63a、63bの各々の排気流量を調整できるので、分離領域Dの両側において適切な気流を安定して形成することができる。従って、ウエハWの表面における反応ガス(BATASガス、O3ガス)の気流が一定化することから、BTBASガスの吸着の状態が安定化すると共にO3ガスによる吸着分子の酸化反応も安定化し、結果としてウエハWの面内及び面間において膜厚が均一で膜質が均質且つ良好な薄膜を得ることができる。また、分離領域Dの両側における排気の偏りを防止できるので、分離領域Dを通り抜けてBTBASガスとO3ガスとが混合することを避けることができ、これによりウエハWの表面以外における反応生成物の生成が抑えられ、そのためパーティクルの発生を抑えることができる。
また、成膜処理を行う前に、排気路63bの排気流量Fb及び処理圧力Pに対応するバタフライバルブ67の開度V及び差圧ΔPを算出しているので、真空ポンプ64a、64bの排気能力に個体差がある場合であっても排気流量を調整できる。また、バタフライバルブ67の開度V及び差圧ΔPを算出するにあたり、BTBASガスやO3ガスではなくN2ガスを用いているので、真空容器1内の部材などへの悪影響(付着物の付着やパーティクルの発生など)を防止することができる。
このように、本発明では、圧力計(圧力測定手段66a、66b)では直接測定することのできない排気流量について、排気側(排気路63)において測定するのではなく、供給側から供給するガス流量に対応する排気側の各設定値(バタフライバルブ67の開度V、差圧ΔP)を予め算出し、この算出結果(テーブル86)に基づいて各排気路63を通流する排気流量を調整して、いわば排気流量をガスの供給側において調整している。そのため、従来の圧力計に頼って排気流量を調整しようとしていた手法に比べて、排気流量を正確に調整することができ、従って既述のように反応ガス同士の混合を抑えると共に面内及び面間において均一な成膜処理を行うことができる。
上記の例では、テーブル86を作成する例として装置の立ち上げ時について説明したが、例えば装置のメンテナンス時に定期的にテーブル86を作成しても良い。その場合には、例えば排気路63a、63bや真空ポンプ64a、64bの内部に反応生成物が付着したり、真空ポンプ64a、64bの排気能力が経時的に変化したりしていても排気流量を算出できる。
更にまた、上記のように回転テーブル2の回転方向に複数のウエハWを配置し、回転テーブル2を回転させて第1の処理領域91と第2の処理領域92とを順番に通過させていわゆるALD(あるいはMLD)を行うようにしているため、高いスループットで成膜処理を行うことができる。そして、前記回転方向において第1の処理領域91と第2の処理領域92との間に低い天井面を備えた分離領域Dを設けると共に、回転テーブル2の回転中心部と真空容器1とにより区画した中心部領域Cから回転テーブル2の周縁に向けて分離ガスを吐出し、前記分離領域Dの両側に拡散する分離ガス及び前記中心部領域Cから吐出する分離ガスと共に前記反応ガスが回転テーブル2の周縁と真空容器の内周壁との隙間を介して排気されるようにしているため、両反応ガスの混合を防止することができ、この結果良好な成膜処理を行うことができるし、回転テーブル2上において反応生成物が生じることが全くないか極力抑えられ、パーティクルの発生が抑えられる。尚、本発明は、回転テーブル2に1個のウエハWを載置する場合にも適用できる。
上記の第1の反応ガスとしては、上述の例の他に、DCS[ジクロロシラン]、HCD[ヘキサクロロジシラン]、TMA[トリメチルアルミニウム]、3DMAS[トリスジメチルアミノシラン]、TEMAZ[テトラキスエチルメチルアミノジルコニウム]、TEMHF[テトラキスエチルメチルアミノハフニウム]、Sr(THD)2 [ストロンチウムビステトラメチルヘプタンジオナト]、Ti(MPD)(THD)[チタニウムメチルペンタンジオナトビステトラメチルヘプタンジオナト]、モノアミノシランなどを挙げることができる。
[第2の実施の形態]
上記の第1の実施の形態においては、2本の排気路63a、63bが設けられている装置について説明したが、排気路63は複数本例えば3本設けられていても良い。このような装置について、第2の実施の形態として図15及び図16を参照して説明する。尚、この実施の形態において、上記の第1の実施の形態で説明した部位については同じ符号を付して説明を省略する。
この装置には、3本の反応ガスノズル31、32、33と、これらの反応ガスノズル31、32、33から夫々反応ガスが供給される処理領域91、92、93間に夫々配置された分離領域Dに対して分離ガスを供給するための分離ガス供給ノズル41、42、300と、が設けられている。これらのノズル31〜33、41、42、300は、搬送口15から時計回りに見て分離ガス供給ノズル41、反応ガスノズル31、分離ガスノズル42、反応ガスノズル33、分離ガスノズル300及び反応ガスノズル32がこの順に配置されている。
反応ガスノズル31には、例えばSr(THD)(ストロンチウムビステトラメチルヘプタンジオナト)やSr(MeCp)(ビスペンタメチルシクロペンタジエニエルストロンチウム)等のSr原料が貯留された第1のガス供給源38aが接続されている。反応ガスノズル32には、既述のO3ガスが貯留された第2のガス供給源38bが接続されている。また、反応ガスノズル33には、例えばTi(OiPr)(THD)(チタニウムビスイソプロポキサイドビステトラメチルヘプタンジオナト)やTi(OiPr)(チタニウムテトライソプロポキサイド)等のTi原料が貯留された第3のガス供給源38dが接続されている。この反応ガスノズル33には、既述の反応ガスノズル32と同様に、N2供給源38cからN2ガスが供給されるように構成されている。尚、図15中33bはガス供給路、36はバルブ、37は流量調整部、301はガス導入ポートである。
各々の処理領域91〜93と、各処理領域91〜93における回転テーブル2の回転方向下流側に隣接する分離領域Dと、の間には、夫々排気口61、62b、62cが形成されている。排気口62bから伸びる第2の排気路63bには、図16に示すように、バタフライバルブ67b、差圧計68b及び第2のバルブ65bや真空ポンプ64bが設けられている。排気口62cから伸びる第3の排気路63cには、第2の排気路63bと同様に、圧力測定手段66c、バタフライバルブ67c、差圧計68c、第3のバルブ65c及び真空ポンプ64cが接続されている。尚、図16では、成膜装置を簡略化して描画している。
この実施の形態において既述のテーブル86を作成する場合には、先ず図17(a)に示すように、第1のバルブ65aと、第2のバルブ65b及び第3のバルブ65cのいずれか一方この例では第3のバルブ65cとを閉じる。次いで、既述のように、真空容器1内に流量FbでN2ガスを供給すると共に、真空容器1内の圧力が処理圧力Pとなり、また第2の排気路63bの排気流量がFbとなるようにバタフライバルブ67bの開度Vを調整する。そして、この時の当該開度Vと差圧計68bの測定値(差圧ΔP)とをテーブル86に記憶する。続いて、図17(b)に示すように、第1のバルブ65aを閉じたまま、第2のバルブ65bを閉じると共に、第3のバルブ65cを開放する。そして、真空容器1内に流量FcでN2ガスを供給すると共に、真空容器1内の圧力が処理圧力Pとなるように、また第3の排気路63cの排気流量がFcとなるように、バタフライバルブ67cの開度Vを調整し、この時の当該開度Vと差圧計68cの測定値(差圧ΔP)とをテーブル86に記憶する。尚、図17では、各バルブ65a、65b、65cについて、開放した状態を白色、閉じた状態を黒色で示している。また、上記のN2ガスは、実際には各ノズル32、33以外の分離ガスノズル41、42、300などからも真空容器1内に供給しているが、図17では便宜上ノズル32、33から供給しているように描画している。
その後、ウエハWに対して成膜処理を行う時には、レシピと共に、このレシピに対応するバルブ65b、65cの開度V及び差圧計68b、68cの差圧ΔPをテーブル86から読み出す。次いで、バタフライバルブ67b、67cの開度Vをテーブル86から読み出した値に夫々設定すると共に、各反応ガスノズル31、32、33から夫々既述の反応ガスを真空容器1内に夫々流量fa、fb、fcで供給し、またノズル41、42、300及び供給管51、72、73からも所定の流量でN2ガスを供給する。そして、第1のバルブ65aにより真空容器1内の圧力が処理圧力Pとなるように調整しながら、差圧計68b、68cにおける夫々の差圧ΔPがテーブル86から読み出した値となるように第2のバルブ65bの開度及び第3のバルブ65cの開度を夫々調整すると、図17(c)に示すように、第2の排気路63bの排気流量がFb(流量fb及びN2ガスの総流量のうち所定の分量)となり、第3の排気路63cの排気流量がFc(流量fc及びN2ガスの総流量のうち所定の分量)となる。従って、第1の排気路63aの排気流量は、真空容器1内に供給される反応ガス及びN2ガスの合計の流量Fのうち、流量Fb、Fcを除いた流量Fa、つまり流量fa及びN2ガスの残りの量となる。そのため、各反応ガスは、真空容器1内及び各排気路63内において互いに混じり合わずに個別に排気されていくことになる。
こうして回転テーブル2の回転により、ウエハW上にはSrを含む反応ガスの吸着と、Tiを含む反応ガスの吸着と、これら反応ガスの酸化と、が複数回に亘ってこの順番で繰り返されて、SrとTiとを含む酸化膜であるSTO膜からなる薄膜が積層されることになる。
この第2の実施の形態においても、既述の第1の実施の形態と同様の効果が得られる。尚、上記の反応ガスの流量fa、fb、fcは、例えば5000sccm、5000sccm、5000sccmに夫々設定される。また、排気路63b、63cの各々の排気流量が同じで且つ真空ポンプ64b、64cの排気能力が同じである場合には、排気路63b、63cのいずれか一方についてテーブル86の作成を行い、この時に得られたテーブル86を他方の排気路63b、63cにおいて成膜処理を行う時に読み出すテーブル86として用いても良い。更に、この実施の形態では、真空容器1内に3種類の反応ガスを供給する例について説明したが、複数種類例えば4種類以上の反応ガスを供給する場合に本発明を適用しても良い。その場合においても、上記の実施の形態と同様に、夫々の処理領域に排気口が連通する排気路の夫々について、個別にテーブル86が作成されることになる。
また前記分離領域Dの天井面44において、前記分離ガスノズル41(42、300)に対して回転テーブル2の回転方向の上流側部位は、外縁に位置する部位ほど前記回転方向の幅が大きいことが好ましい。その理由は回転テーブル2の回転によって上流側から分離領域Dに向かうガスの流れが外縁に寄るほど速いためである。この観点からすれば、上述のように凸状部4を扇型に構成することは得策である。
そして前記分離ガスノズル41(42、300)の両側に各々位置する狭隘な空間を形成する前記第1の天井面44は、図18(a)、(b)に前記分離ガスノズル41を代表して示すように例えば300mm径のウエハWを被処理基板とする場合、ウエハWの中心WOが通過する部位において回転テーブル2の回転方向に沿った幅寸法Lが50mm以上であることが好ましい。凸状部4の両側から当該凸状部4の下方(狭隘な空間)に反応ガスが侵入することを有効に阻止するためには、前記幅寸法Lが短い場合にはそれに応じて第1の天井面44と回転テーブル2との間の距離hも小さくする必要がある。更に第1の天井面44と回転テーブル2との間の距離hをある寸法に設定したとすると、回転テーブル2の回転中心から離れる程、回転テーブル2の速度が速くなってくるので、反応ガスの侵入阻止効果を得るために要求される幅寸法Lは回転中心から離れる程長くなってくる。このような観点から考察すると、ウエハWの中心WOが通過する部位における前記幅寸法Lが50mmよりも小さいと、第1の天井面44と回転テーブル2との距離hをかなり小さくする必要があるため、回転テーブル2を回転したときに回転テーブル2あるいはウエハWと天井面44との衝突を防止するために、回転テーブル2の振れを極力抑える工夫が要求される。更にまた回転テーブル2の回転数が高い程、凸状部4の上流側から当該凸状部4の下方側に反応ガスが侵入しやすくなるので、前記幅寸法Lを50mmよりも小さくすると、回転テーブル2の回転数を低くしなければならず、スループットの点で得策ではない。従って幅寸法Lが50mm以上であることが好ましいが、50mm以下であっても本発明の効果が得られないというものではない。即ち、前記幅寸法LがウエハWの直径の1/10〜1/1であることが好ましく、約1/6以上であることがより好ましい。
また本発明では分離ガス供給手段における回転方向両側に低い天井面44が位置することが必要であるが、分離ガスノズル41、42、300の両側に凸状部4が配置されている上述の構成に限らず、凸状部4の内部に分離ガスの通流室47を回転テーブル2の直径方向に伸びるように形成し、この通流室47の底部に長さ方向に沿って多数のガス吐出孔40が穿設される構成を採用してもよい。
分離領域Dは、扇型の凸状部4を周方向に2つに分割し、その間に分離ガスノズル41(42、300)を設ける構成であってもよいことを既に述べたが、図19は、既述の第1の実施の形態の成膜装置を例にこのような構成を示す平面図である。この場合、扇型の凸状部4と分離ガスノズル41(42、300)との距離や扇型の凸状部4の大きさなどは、分離ガスの吐出流量や反応ガスの吐出流量などを考慮して分離領域Dが有効な分離作用が発揮できるように設定される。
上述の実施の形態では、各処理領域91、92、93は、その天井面が前記分離領域Dの天井面よりも高い領域に相当するものであったが、本発明は、処理領域91、92、93の少なくとも一つは、分離領域Dと同様に反応ガス供給手段の前記回転方向両側にて前記回転テーブル2に対向して設けられ、当該回転テーブル2との間にガスの侵入を阻止するための空間を形成するようにかつ前記分離領域Dの前記回転方向両側の天井面(第2の天井面45)よりも低い天井面例えば分離領域Dにおける第1の天井面44と同じ高さの天井面を備えている構成としてもよい。
本発明は、分離ガスノズル41(42、300)の両側に狭隘な空間を形成するために低い天井面(第1の天井面)44を設けることが必要であるが、反応ガスノズル31(32、33)の両側にも同様の低い天井面を設け、これら天井面を連続させる構成、つまり分離ガスノズル41(42、300)及び反応ガスノズル31(32、33)が設けられる箇所以外は、回転テーブル2に対向する領域全面に凸状部4を設ける構成としても同様の効果が得られる。この構成は別の見方をすれば、分離ガスノズル41(42、300)の両側の第1の天井面44が反応ガスノズル31(32、33)にまで広がった例である。この場合には、分離ガスノズル41(42、300)の両側に分離ガスが拡散し、反応ガスノズル31(32、33)の両側に反応ガスが拡散し、両ガスが凸状部4の下方側(狭隘な空間)にて合流するが、これらのガスは排気口61(62、63)から排気されることになる。
以上の実施の形態では、回転テーブル2の回転軸22が真空容器1の中心部に位置し、回転テーブル2の中心部と真空容器1の上面部との間の空間に分離ガスをパージしているが、本発明は図20に示すように構成してもよい。このような構成について、既述の第1の実施の形態の成膜装置を例に挙げて説明すると、図20の成膜装置においては、真空容器1の中央領域の底面部14が下方側に突出していて駆動部の収容空間100を形成していると共に、真空容器1の中央領域の上面に凹部100aが形成され、真空容器1の中心部において収容空間100の底部と真空容器1の前記凹部100aの上面との間に支柱101を介在させて、第1の反応ガスノズル31からのBTBASガスと第2の反応ガスノズル32からのOガスとが前記中心部を介して混ざり合うことを防止している。
回転テーブル2を回転させる機構については、支柱101を囲むように回転スリーブ102を設けてこの回転スリーブ102に沿ってリング状の回転テーブル2を設けている。そして前記収容空間100にモータ103により駆動される駆動ギヤ部104を設け、この駆動ギヤ部104により、回転スリーブ102の下部の外周に形成されたギヤ部105を介して当該回転スリーブ102を回転させるようにしている。106、107及び108は軸受け部である。また前記収容空間100の底部にパージガス供給管74を接続すると共に、前記凹部100aの側面と回転スリーブ102の上端部との間の空間にパージガスを供給するためのパージガス供給管75を真空容器1の上部に接続している。図20では、前記凹部100aの側面と回転スリーブ102の上端部との間の空間にパージガスを供給するための開口部は左右2箇所に記載してあるが、回転スリーブ102の近傍領域を介してBTBASガスとOガスとが混じり合わないようにするために、開口部(パージガス供給口)の配列数を設計することが好ましい。
図20の実施の形態では、回転テーブル2側から見ると、前記凹部100aの側面と回転スリーブ102の上端部との間の空間は分離ガス吐出孔に相当し、そしてこの分離ガス吐出孔、回転スリーブ102及び支柱101により、真空容器1の中心部に位置する中心部領域が構成される。
また、上記の各例においては、ガス供給系(ノズル31、32、33、41、42、300)に対して回転テーブル2を回転させるようにしたが、回転テーブル2に対してガス供給系を周方向に回転させる構成としても良い。
[第3の実施の形態]
上記の各実施の形態では、共通の真空容器1内に互いに反応する複数種類の反応ガスを供給して成膜処理を行う例について説明したが、例えば真空容器内に1種類の処理ガス例えばエッチングガスを供給して基板処理例えばエッチング処理を行う場合に本発明を適用しても良い。このエッチング処理を行う基板処理装置の例について、第3の実施の形態として図21及び図22を参照して説明する。
図21中201は真空容器、202は例えば1辺が数m程度の角型のガラスなどからなるLCD(Liquid Crystal Display)基板やFPD(Flat Panel Display)基板などの基板Sを載置するための下部電極をなす載置台であり、真空容器201の上方には、載置台202上の基板Sに対して処理ガスであるエッチングガス例えば塩素ガスなどのハロゲン系の負性ガスを供給するための処理ガス供給部であるガスシャワーヘッド203が設けられている。ガスシャワーヘッド203には、当該ガスシャワーヘッド203の内部領域にエッチングガスを供給するための処理ガス供給路220と、流量調整用ガス例えばN2ガスを供給するためのN2ガス供給路221と、が図示しないバルブや流量調整部を介して夫々接続されている。また、この図21中、204、205、206、207、208は、夫々ガスシャワーヘッド203の下面に形成された処理ガス供給口をなすガス吐出口、真空容器201に対して基板Sの搬入出を行う搬送口、載置台202に対してプラズマ発生用の高周波を供給するための高周波電源、インピーダンス調整機構、絶縁体である。
また、図22にも示すように、載置台202の周囲における真空容器201の床面には、当該載置台202の四辺に沿うように、複数箇所例えば8箇所に例えば等間隔に排気口209が形成されている。これらの排気口209の各々から伸びる排気路210のうちの一つを第1の排気路211と呼び、残りの7つの排気路210を第2の排気路212と呼ぶことにすると、第1の排気路211には第1のバルブ65aが介設された真空ポンプ64aが接続されている。また、第2の排気路212には、バタフライバルブ67、差圧計68及び第2のバルブ65bが介設された真空ポンプ64bが接続されている。これらのバルブ65、真空ポンプ64には、第1の実施の形態に対応して符号を付しており、第1の実施の形態と同符号のものは、第1の実施の形態における機器と同様の役割を持っている。
この基板処理装置においても、既述のテーブル86を作成するときは、既述の第2の実施の形態で説明した手法と同様に処理が行われる。具体的には、第1の排気路211の第1のバルブ65aと、第2の排気路212のうち一の排気路212以外の第2のバルブ65bと、を閉じる。即ち、第2のバルブ65bに関しては、当該一の排気路212における第2のバルブ65bだけを開くことになる。そして、真空容器201内に流量FbでN2ガスを供給し、真空容器201内の圧力が処理圧力Pとなるように当該一の排気路212のバタフライバルブ67の開度Vを調整し、この時の開度Vと差圧ΔPとをテーブル86に記憶する。このような操作を、第2の排気路212の各々において、当該一の排気路212以外の他の6つの排気路212に対しても順次行い、6つの排気路212の全てについて順次開度Vと差圧ΔPとのデータを取得してテーブル86を作成する。
そして、この基板処理装置においてエッチング処理を行う時には、レシピ及びレシピに対応するテーブル86を読み出し、搬送口205を介して基板Sを真空容器201内に搬入して載置台202に載置して、各バルブ65a、65bを全開にして真空容器201内を引き切りの状態にする。次いで、各バタフライバルブ67の開度をテーブル86に記載された値に設定して、処理ガス供給路220から既述のエッチングガスをガスシャワーヘッド203に供給すると、このエッチングガスはガス吐出孔204から基板Sに向かって下降していく。続いて、真空容器201内の圧力が処理圧力Pとなるように第1のバルブ65aの開度を調整しながら、各差圧計68の測定値が夫々の差圧ΔPとなるように、各第2のバルブ65bの開度を調整する。また、高周波電源206から載置台202に対して所定の周波数の高周波を所定の電力で供給すると、エッチングガスがプラズマ化され、基板S上に形成された例えばアルミニウムからなる薄膜がエッチングされていくことになる。この時、上記のように各排気口209の排気流量をテーブル86に基づいて調整していることから、各々の排気路210の排気流量が夫々所定の量この例では同じ流量に調整される。従って、基板Sへのプラズマの供給と、エッチングにより生成する副生成物の排出と、が基板Sの面内に亘って安定化して、均一なエッチング処理が行われることになる。この第3の実施の形態においても、上記の各例と同様の効果が得られる。
ここで、上記の各実施の形態において、既述の圧力測定手段66a、66b、66cにて測定される圧力はほとんど同じであることから、テーブル86を作成する時や真空容器内の圧力が処理圧力Pとなるように第1のバルブ65aの開度を調整する時に用いる真空容器内の圧力としては、これらの圧力測定手段66a、66b、66cのいずれか一つの圧力検出値を用いても良いし、真空容器1内に別途設けた圧力測定手段の圧力検出値を用いても良い。更に、バタフライバルブ67の前後における差圧ΔPを測定するために差圧計68を設けたが、バタフライバルブ67とこのバタフライバルブ67の下流側の第2のバルブ65bとの間における排気路63bの圧力を測定するための圧力計を設けて、この圧力計の測定値と真空容器1内の圧力(圧力測定手段66a、66b、66cのいずれか一つの圧力検出値あるいは真空容器1内に別途設けた図示しない圧力測定手段の圧力検出値)とにより差圧ΔPを算出しても良い。この場合には、前記圧力計、第1の圧力測定手段及びこれらの圧力計と第1の圧力測定手段とにより各々測定された圧力値からバタフライバルブ67の前後の圧力差を測定する演算部により、既述の差圧測定手段が構成されることになる。
更にまた、テーブル86を作成するにあたり、既述の図11に示すように、処理圧力Pと、差圧計68により測定されるバタフライバルブ67の真空容器1側の圧力と、がほぼ等しいことから、テーブル86には差圧ΔPに代えて、バタフライバルブ67と第2のバルブ65bとの間の圧力値を記憶し、テーブル86とレシピとに基づいて各排気路63の流量を調整する時には、バタフライバルブ67と第2のバルブ65との間における圧力値がテーブル86に記憶された圧力値となるように第2のバルブ65bの開度を調整しても良い。
また、第2の排気路63b(212)の排気流量についてテーブル86を作成したが、「第1」及び「第2」の用語は夫々の排気路63(210)を区別するために付したものであり、従って複数の排気路63(210)のうち、一の排気路63(210)を第1の排気路63a(211)に設定し、他の排気路63(210)を第2の排気路63b(212)として設定すると共にバタフライバルブ67及び差圧計68を設けて、第2の排気路63b(212)の夫々についてテーブル86を作成すれば良い。更に、複数の排気路63(210)において、真空ポンプ64を共通化して用いても良い。更にまた、既述の図21及び図22のように真空容器201内に載置台202を固定して設ける例においても、排気路210は例示の個数に限らず例えば2つであっても良い。
また、上記の第3の実施の形態では、基板Sに対してエッチング処理を行う例について説明したが、本発明はこのようなエッチング処理や既述の成膜処理以外の処理に適用しても良い。例えば、真空容器内において載置台上の基板を加熱すると共に、不活性ガスを真空容器内に供給しながら真空容器内を複数の排気路から真空排気して熱処理を行う場合に本発明を適用しても良い。この場合においても、予めテーブルを作成しておくことにより、不活性ガスが基板の面内に亘って均等に供給されるので、熱処理により基板から生成するガスなどが当該基板の表面から均等に排出されていくため、均一な熱処理を行うことができる。
D 分離領域
E 排気領域
f、F 流量
P 処理圧力
ΔP 差圧
V 開度
1 真空容器
W ウエハ
31、32 反応ガスノズル
41、42 分離ガスノズル
61、62 排気口
63 排気路
64 真空ポンプ
65 バルブ
66 圧力測定手段
67 バタフライバルブ
68 差圧計
82 メモリ
83 プログラム
91、92 処理領域

Claims (7)

  1. 真空容器内の載置台上に基板を載置し、前記基板に対して処理ガス供給部から処理ガスを供給して真空雰囲気下で処理を行う基板処理装置において、
    前記真空容器内を各々真空排気するための第1の排気路及び第2の排気路と、
    前記第1の排気路及び前記第2の排気路に夫々介設された第1の圧力調整弁及び第2の圧力調整弁と、
    前記第2の排気路における前記第2の圧力調整弁の1次側に設けられ、当該第2の排気路のコンダクタンスを調整するためのコンダクタンス調整部と、
    前記真空容器内の圧力を測定するための第1の圧力測定手段と、
    前記コンダクタンス調整部の1次側と2次側とにおける差圧を測定する差圧測定手段と、
    前記真空容器内の圧力値、前記コンダクタンス調整部の調整値、前記第2の排気路の排気流量及び前記差圧の関係を規定したデータを記憶する記憶部と、
    この記憶部に記憶されたデータから、前記第2の排気路の排気流量の設定値に対応する前記真空容器内の圧力値、前記コンダクタンス調整部の調整値及び前記差圧を読み出し、前記圧力値となるように前記第1の圧力調整弁を調整すると共に前記調整値となるように前記コンダクタンス調整部により前記第2の排気路のコンダクタンスを調整し、次いで前記差圧となるように前記第2の圧力調整弁を調整するように制御信号を出力する制御部と、を備えたことを特徴とする基板処理装置。
  2. 前記コンダクタンス調整部はバタフライバルブであり、コンダクタンスの調整値はバタフライバルブの開度であることを特徴とする請求項1に記載の基板処理装置。
  3. 前記処理は、互いに反応する少なくとも2種類の反応ガスを順番に基板の表面に供給しかつこの供給サイクルを実行することにより反応生成物の層を多数積層して薄膜を形成する成膜処理であり、
    前記真空容器の周方向に互いに離れて設けられ、前記載置台上の基板に夫々第1の反応ガス及び第2の反応ガスを供給するための第1の反応ガス供給手段及び第2の反応ガス供給手段と、
    前記第1の反応ガスが供給される第1の処理領域と前記第2の反応ガスが供給される第2の処理領域との雰囲気を分離するために、前記周方向においてこれら処理領域の間に設けられ、分離ガス供給手段から分離ガスを供給するための分離領域と、
    前記基板が前記第1の処理領域と前記第2の処理領域とをこの順番で前記分離領域を介して位置するように、前記第1の反応ガス供給手段、前記第2の反応ガス供給手段及び前記分離領域と前記載置台とを相対的に前記周方向に回転させる回転機構と、を備え、
    前記第1の排気路の排気口は第1の反応ガス及び分離ガスを排気するために設けられ、前記第2の排気路の排気口は第2の反応ガス及び分離ガスを排気するために設けられていることを特徴とする請求項1または2に記載の基板処理装置。
  4. 前記記憶部内のデータは、
    (a)基板に対して処理を行う前に、前記第1の圧力調整弁を閉じて前記第2の圧力調整弁を開放し、
    (b)流量調整用ガスを前記真空容器内に供給すると共に、前記真空容器内の圧力が所定の圧力となるように前記コンダクタンス調整部により前記第2の排気路のコンダクタンスを調整して、この時の前記コンダクタンス調整部の調整値と前記差圧とを求め、
    (c)このような試行を流量調整用ガスの供給流量と真空容器内の圧力との組み合わせを種々変更して
    得られたものであることを特徴とする請求項1ないし3のいずれか一つに記載の基板処理装置。
  5. 真空容器内の載置台上に基板を載置し、前記基板に対して処理ガスを供給する工程と、
    第1の圧力調整弁が介設された第1の排気路と、第2の圧力調整弁が介設された第2の排気路と、から前記真空容器内を各々真空排気する工程と、
    記憶部からデータを読み出す工程と、
    次いで、前記真空容器内の圧力が前記データに記憶された圧力値となるように前記第1の圧力調整弁を調整すると共に、前記第2の排気路における前記第2の圧力調整弁の1次側に設けられたコンダクタンス調整部の調整値が前記データに記憶された調整値となるように当該コンダクタンス調整部により前記第2の排気路のコンダクタンスを調整する工程と、
    続いて、前記コンダクタンス調整部の1次側と2次側とにおける差圧が前記データに記憶された差圧となるように前記第2の圧力調整弁を調整する工程と、を含み、
    前記読み出す工程は、前記第2の排気路の排気流量の設定値に対応する前記真空容器内の圧力値、前記コンダクタンス調整部の調整値及び前記差圧を前記データから読み出す工程であることを特徴とする基板処理方法。
  6. 前記処理は、互いに反応する少なくとも2種類の反応ガスを順番に基板の表面に供給しかつこの供給サイクルを実行することにより反応生成物の層を多数積層して薄膜を形成する成膜処理であり、
    前記処理ガスを供給する工程は、前記真空容器の周方向に互いに離れて設けられた第1の反応ガス供給手段及び第2の反応ガス供給手段から、前記載置台上の基板に夫々第1の反応ガス及び第2の反応ガスを供給する工程であり、
    前記コンダクタンスを調整する工程の前に、前記第1の反応ガスが供給される第1の処理領域と前記第2の反応ガスが供給される第2の処理領域との雰囲気を分離するために、前記周方向においてこれら処理領域の間に設けられた分離領域に分離ガス供給手段から分離ガスを供給すると共に、前記基板が前記第1の処理領域と前記第2の処理領域とをこの順番で前記分離領域を介して位置するように、前記第1の反応ガス供給手段、前記第2の反応ガス供給手段及び前記分離領域と前記載置台とを相対的に前記周方向に回転させる工程を行い、
    前記真空排気する工程は、第1の反応ガス及び分離ガスを排気するために設けられた前記第1の排気路の排気口と、は第2の反応ガス及び分離ガスを排気するために設けられた前記第2の排気路の排気口と、から前記真空容器内を各々真空排気する工程であることを特徴とする請求項5に記載の基板処理方法。
  7. 真空容器内の載置台上に基板を載置して、前記基板に対して処理ガスを供給して真空雰囲気下で処理を行う基板処理装置に用いられるプログラムを格納する記憶媒体であって、
    前記プログラムは、請求項5または6に記載の基板処理方法を実施するようにステップ群が組まれていることを特徴とする記憶媒体。
JP2009253321A 2009-11-04 2009-11-04 基板処理装置、基板処理方法及び記憶媒体 Active JP5257328B2 (ja)

Priority Applications (5)

Application Number Priority Date Filing Date Title
JP2009253321A JP5257328B2 (ja) 2009-11-04 2009-11-04 基板処理装置、基板処理方法及び記憶媒体
US12/916,667 US8746170B2 (en) 2009-11-04 2010-11-01 Substrate process apparatus, substrate process method, and computer readable storage medium
TW099137710A TWI531019B (zh) 2009-11-04 2010-11-03 基板處理裝置、基板處理方法及儲存媒體
KR1020100108491A KR101291872B1 (ko) 2009-11-04 2010-11-03 기판 처리 장치, 기판 처리 방법 및 기억 매체
CN201010534982.4A CN102054663B (zh) 2009-11-04 2010-11-04 基板处理装置和基板处理方法

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
JP2009253321A JP5257328B2 (ja) 2009-11-04 2009-11-04 基板処理装置、基板処理方法及び記憶媒体

Publications (2)

Publication Number Publication Date
JP2011100786A JP2011100786A (ja) 2011-05-19
JP5257328B2 true JP5257328B2 (ja) 2013-08-07

Family

ID=43924118

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2009253321A Active JP5257328B2 (ja) 2009-11-04 2009-11-04 基板処理装置、基板処理方法及び記憶媒体

Country Status (5)

Country Link
US (1) US8746170B2 (ja)
JP (1) JP5257328B2 (ja)
KR (1) KR101291872B1 (ja)
CN (1) CN102054663B (ja)
TW (1) TWI531019B (ja)

Families Citing this family (352)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP5423205B2 (ja) * 2008-08-29 2014-02-19 東京エレクトロン株式会社 成膜装置
US9416448B2 (en) * 2008-08-29 2016-08-16 Tokyo Electron Limited Film deposition apparatus, substrate processing apparatus, film deposition method, and computer-readable storage medium for film deposition method
JP5107185B2 (ja) 2008-09-04 2012-12-26 東京エレクトロン株式会社 成膜装置、基板処理装置、成膜方法及びこの成膜方法を実行させるためのプログラムを記録した記録媒体
JP5445044B2 (ja) * 2008-11-14 2014-03-19 東京エレクトロン株式会社 成膜装置
US9297072B2 (en) 2008-12-01 2016-03-29 Tokyo Electron Limited Film deposition apparatus
JP5131240B2 (ja) * 2009-04-09 2013-01-30 東京エレクトロン株式会社 成膜装置、成膜方法及び記憶媒体
JP5257328B2 (ja) * 2009-11-04 2013-08-07 東京エレクトロン株式会社 基板処理装置、基板処理方法及び記憶媒体
JP5310512B2 (ja) * 2009-12-02 2013-10-09 東京エレクトロン株式会社 基板処理装置
JP5553588B2 (ja) * 2009-12-10 2014-07-16 東京エレクトロン株式会社 成膜装置
JP4815538B2 (ja) 2010-01-15 2011-11-16 シーケーディ株式会社 真空制御システムおよび真空制御方法
US9324576B2 (en) 2010-05-27 2016-04-26 Applied Materials, Inc. Selective etch for silicon films
US10283321B2 (en) 2011-01-18 2019-05-07 Applied Materials, Inc. Semiconductor processing system and methods using capacitively coupled plasma
US9064815B2 (en) 2011-03-14 2015-06-23 Applied Materials, Inc. Methods for etch of metal and metal-oxide films
US8999856B2 (en) 2011-03-14 2015-04-07 Applied Materials, Inc. Methods for etch of sin films
CN102828167B (zh) * 2011-06-13 2015-02-25 北京北方微电子基地设备工艺研究中心有限责任公司 一种排气方法、装置及基片处理设备
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
JP5977002B2 (ja) * 2011-08-25 2016-08-24 東京エレクトロン株式会社 トレンチの埋め込み方法および半導体集積回路装置の製造方法
US8808563B2 (en) 2011-10-07 2014-08-19 Applied Materials, Inc. Selective etch of silicon by way of metastable hydrogen termination
US20130237063A1 (en) * 2012-03-09 2013-09-12 Seshasayee Varadarajan Split pumping method, apparatus, and system
US9267739B2 (en) 2012-07-18 2016-02-23 Applied Materials, Inc. Pedestal with multi-zone temperature control and multiple purge capabilities
US9373517B2 (en) 2012-08-02 2016-06-21 Applied Materials, Inc. Semiconductor processing with DC assisted RF power for improved control
US9034770B2 (en) 2012-09-17 2015-05-19 Applied Materials, Inc. Differential silicon oxide etch
US9023734B2 (en) 2012-09-18 2015-05-05 Applied Materials, Inc. Radical-component oxide etch
US9390937B2 (en) 2012-09-20 2016-07-12 Applied Materials, Inc. Silicon-carbon-nitride selective etch
US9132436B2 (en) 2012-09-21 2015-09-15 Applied Materials, Inc. Chemical control features in wafer process equipment
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US20140124788A1 (en) * 2012-11-06 2014-05-08 Intermolecular, Inc. Chemical Vapor Deposition System
US8969212B2 (en) 2012-11-20 2015-03-03 Applied Materials, Inc. Dry-etch selectivity
US8980763B2 (en) 2012-11-30 2015-03-17 Applied Materials, Inc. Dry-etch for selective tungsten removal
US9111877B2 (en) 2012-12-18 2015-08-18 Applied Materials, Inc. Non-local plasma oxide etch
US8921234B2 (en) 2012-12-21 2014-12-30 Applied Materials, Inc. Selective titanium nitride etching
US10256079B2 (en) 2013-02-08 2019-04-09 Applied Materials, Inc. Semiconductor processing systems having multiple plasma configurations
US9362130B2 (en) 2013-03-01 2016-06-07 Applied Materials, Inc. Enhanced etching processes using remote plasma sources
US9040422B2 (en) 2013-03-05 2015-05-26 Applied Materials, Inc. Selective titanium nitride removal
US20140271097A1 (en) 2013-03-15 2014-09-18 Applied Materials, Inc. Processing systems and methods for halide scavenging
JP6115244B2 (ja) * 2013-03-28 2017-04-19 東京エレクトロン株式会社 成膜装置
US20140311581A1 (en) * 2013-04-19 2014-10-23 Applied Materials, Inc. Pressure controller configuration for semiconductor processing applications
US9493879B2 (en) 2013-07-12 2016-11-15 Applied Materials, Inc. Selective sputtering for pattern transfer
US9773648B2 (en) 2013-08-30 2017-09-26 Applied Materials, Inc. Dual discharge modes operation for remote plasma
JP2015056632A (ja) * 2013-09-13 2015-03-23 東京エレクトロン株式会社 シリコン酸化膜の製造方法
US9576809B2 (en) 2013-11-04 2017-02-21 Applied Materials, Inc. Etch suppression with germanium
US9520303B2 (en) 2013-11-12 2016-12-13 Applied Materials, Inc. Aluminum selective etch
US9245762B2 (en) 2013-12-02 2016-01-26 Applied Materials, Inc. Procedure for etch rate consistency
US9287095B2 (en) 2013-12-17 2016-03-15 Applied Materials, Inc. Semiconductor system assemblies and methods of operation
JP6268469B2 (ja) * 2013-12-18 2018-01-31 株式会社Screenホールディングス 基板処理装置、基板処理装置の制御方法、および記録媒体
US9287134B2 (en) 2014-01-17 2016-03-15 Applied Materials, Inc. Titanium oxide etch
US9396989B2 (en) 2014-01-27 2016-07-19 Applied Materials, Inc. Air gaps between copper lines
US9293568B2 (en) 2014-01-27 2016-03-22 Applied Materials, Inc. Method of fin patterning
US9385028B2 (en) 2014-02-03 2016-07-05 Applied Materials, Inc. Air gap process
JP6262115B2 (ja) 2014-02-10 2018-01-17 東京エレクトロン株式会社 基板処理方法及び基板処理装置
US9499898B2 (en) 2014-03-03 2016-11-22 Applied Materials, Inc. Layered thin film heater and method of fabrication
US9299575B2 (en) 2014-03-17 2016-03-29 Applied Materials, Inc. Gas-phase tungsten etch
US9299537B2 (en) 2014-03-20 2016-03-29 Applied Materials, Inc. Radial waveguide systems and methods for post-match control of microwaves
US9903020B2 (en) 2014-03-31 2018-02-27 Applied Materials, Inc. Generation of compact alumina passivation layers on aluminum plasma equipment components
US9269590B2 (en) 2014-04-07 2016-02-23 Applied Materials, Inc. Spacer formation
KR101574948B1 (ko) * 2014-04-10 2015-12-07 주식회사 테스 공정가스의 흐름조정장치
KR102145205B1 (ko) * 2014-04-25 2020-08-19 삼성전자주식회사 반도체 소자 제조방법 및 증착 장치의 유지보수방법
US9309598B2 (en) 2014-05-28 2016-04-12 Applied Materials, Inc. Oxide and metal removal
US9378969B2 (en) 2014-06-19 2016-06-28 Applied Materials, Inc. Low temperature gas-phase carbon removal
US9406523B2 (en) 2014-06-19 2016-08-02 Applied Materials, Inc. Highly selective doped oxide removal method
US9425058B2 (en) 2014-07-24 2016-08-23 Applied Materials, Inc. Simplified litho-etch-litho-etch process
US9378978B2 (en) 2014-07-31 2016-06-28 Applied Materials, Inc. Integrated oxide recess and floating gate fin trimming
US9496167B2 (en) 2014-07-31 2016-11-15 Applied Materials, Inc. Integrated bit-line airgap formation and gate stack post clean
US9659753B2 (en) 2014-08-07 2017-05-23 Applied Materials, Inc. Grooved insulator to reduce leakage current
US9553102B2 (en) 2014-08-19 2017-01-24 Applied Materials, Inc. Tungsten separation
TWI670394B (zh) 2014-09-10 2019-09-01 美商應用材料股份有限公司 空間原子層沈積中的氣體分離控制
US9355856B2 (en) 2014-09-12 2016-05-31 Applied Materials, Inc. V trench dry etch
US9478434B2 (en) 2014-09-24 2016-10-25 Applied Materials, Inc. Chlorine-based hardmask removal
US9368364B2 (en) 2014-09-24 2016-06-14 Applied Materials, Inc. Silicon etch process with tunable selectivity to SiO2 and other materials
US9613822B2 (en) 2014-09-25 2017-04-04 Applied Materials, Inc. Oxide etch selectivity enhancement
JP5775633B1 (ja) * 2014-09-29 2015-09-09 株式会社日立国際電気 基板処理装置、半導体装置の製造方法および記録媒体
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US9966240B2 (en) 2014-10-14 2018-05-08 Applied Materials, Inc. Systems and methods for internal surface conditioning assessment in plasma processing equipment
US9355922B2 (en) 2014-10-14 2016-05-31 Applied Materials, Inc. Systems and methods for internal surface conditioning in plasma processing equipment
US11637002B2 (en) 2014-11-26 2023-04-25 Applied Materials, Inc. Methods and systems to enhance process uniformity
US9299583B1 (en) 2014-12-05 2016-03-29 Applied Materials, Inc. Aluminum oxide selective etch
US10224210B2 (en) 2014-12-09 2019-03-05 Applied Materials, Inc. Plasma processing system with direct outlet toroidal plasma source
US10573496B2 (en) 2014-12-09 2020-02-25 Applied Materials, Inc. Direct outlet toroidal plasma source
US9502258B2 (en) 2014-12-23 2016-11-22 Applied Materials, Inc. Anisotropic gap etch
US9343272B1 (en) 2015-01-08 2016-05-17 Applied Materials, Inc. Self-aligned process
US11257693B2 (en) 2015-01-09 2022-02-22 Applied Materials, Inc. Methods and systems to improve pedestal temperature control
US9373522B1 (en) 2015-01-22 2016-06-21 Applied Mateials, Inc. Titanium nitride removal
US9449846B2 (en) 2015-01-28 2016-09-20 Applied Materials, Inc. Vertical gate separation
US20160225652A1 (en) 2015-02-03 2016-08-04 Applied Materials, Inc. Low temperature chuck for plasma processing systems
US9728437B2 (en) 2015-02-03 2017-08-08 Applied Materials, Inc. High temperature chuck for plasma processing systems
US9881805B2 (en) 2015-03-02 2018-01-30 Applied Materials, Inc. Silicon selective removal
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
JP6494495B2 (ja) * 2015-06-30 2019-04-03 東京エレクトロン株式会社 基板処理方法及び基板処理装置
US10781533B2 (en) 2015-07-31 2020-09-22 Applied Materials, Inc. Batch processing chamber
US9741593B2 (en) 2015-08-06 2017-08-22 Applied Materials, Inc. Thermal management systems and methods for wafer processing systems
US9691645B2 (en) 2015-08-06 2017-06-27 Applied Materials, Inc. Bolted wafer chuck thermal management systems and methods for wafer processing systems
US9349605B1 (en) 2015-08-07 2016-05-24 Applied Materials, Inc. Oxide etch selectivity systems and methods
US10504700B2 (en) 2015-08-27 2019-12-10 Applied Materials, Inc. Plasma etching systems and methods with secondary plasma injection
WO2017066252A1 (en) * 2015-10-13 2017-04-20 Hyperloop Technologies, Inc. Adjustable variable atmospheric condition testing apparatus and method
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
JP7125343B2 (ja) * 2015-10-23 2022-08-24 アプライド マテリアルズ インコーポレイテッド 表面毒化処理によるボトムアップ式間隙充填
JP6618113B2 (ja) * 2015-11-02 2019-12-11 株式会社Screenホールディングス 基板処理装置
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10428425B2 (en) * 2016-01-26 2019-10-01 Tokyo Electron Limited Film deposition apparatus, method of depositing film, and non-transitory computer-readable recording medium
JP6548586B2 (ja) 2016-02-03 2019-07-24 東京エレクトロン株式会社 成膜方法
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
JP6678489B2 (ja) * 2016-03-28 2020-04-08 東京エレクトロン株式会社 基板処理装置
US10522371B2 (en) 2016-05-19 2019-12-31 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US10504754B2 (en) 2016-05-19 2019-12-10 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
JP6608332B2 (ja) * 2016-05-23 2019-11-20 東京エレクトロン株式会社 成膜装置
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
CN116978818A (zh) * 2016-06-03 2023-10-31 应用材料公司 扩散腔室内部的气流的设计
JP6638576B2 (ja) * 2016-06-27 2020-01-29 東京エレクトロン株式会社 真空処理装置及び真空処理方法並びに記憶媒体
US9865484B1 (en) 2016-06-29 2018-01-09 Applied Materials, Inc. Selective etch using material modification and RF pulsing
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10062575B2 (en) 2016-09-09 2018-08-28 Applied Materials, Inc. Poly directional etch by oxidation
US10629473B2 (en) 2016-09-09 2020-04-21 Applied Materials, Inc. Footing removal for nitride spacer
US10546729B2 (en) 2016-10-04 2020-01-28 Applied Materials, Inc. Dual-channel showerhead with improved profile
US9934942B1 (en) 2016-10-04 2018-04-03 Applied Materials, Inc. Chamber with flow-through source
US10062585B2 (en) 2016-10-04 2018-08-28 Applied Materials, Inc. Oxygen compatible plasma source
US9721789B1 (en) 2016-10-04 2017-08-01 Applied Materials, Inc. Saving ion-damaged spacers
US10062579B2 (en) 2016-10-07 2018-08-28 Applied Materials, Inc. Selective SiN lateral recess
US9947549B1 (en) 2016-10-10 2018-04-17 Applied Materials, Inc. Cobalt-containing material removal
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US9768034B1 (en) 2016-11-11 2017-09-19 Applied Materials, Inc. Removal methods for high aspect ratio structures
US10163696B2 (en) 2016-11-11 2018-12-25 Applied Materials, Inc. Selective cobalt removal for bottom up gapfill
US10242908B2 (en) 2016-11-14 2019-03-26 Applied Materials, Inc. Airgap formation with damage-free copper
US10026621B2 (en) 2016-11-14 2018-07-17 Applied Materials, Inc. SiN spacer profile patterning
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
JP6733516B2 (ja) 2016-11-21 2020-08-05 東京エレクトロン株式会社 半導体装置の製造方法
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11581186B2 (en) * 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
US11447861B2 (en) * 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10566206B2 (en) 2016-12-27 2020-02-18 Applied Materials, Inc. Systems and methods for anisotropic material breakthrough
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10403507B2 (en) 2017-02-03 2019-09-03 Applied Materials, Inc. Shaped etch profile with oxidation
US10431429B2 (en) 2017-02-03 2019-10-01 Applied Materials, Inc. Systems and methods for radial and azimuthal control of plasma uniformity
US10043684B1 (en) 2017-02-06 2018-08-07 Applied Materials, Inc. Self-limiting atomic thermal etching systems and methods
US10319739B2 (en) 2017-02-08 2019-06-11 Applied Materials, Inc. Accommodating imperfectly aligned memory holes
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
JP6758218B2 (ja) * 2017-02-20 2020-09-23 東京エレクトロン株式会社 圧力制御方法
US10943834B2 (en) 2017-03-13 2021-03-09 Applied Materials, Inc. Replacement contact process
US10319649B2 (en) 2017-04-11 2019-06-11 Applied Materials, Inc. Optical emission spectroscopy (OES) for remote plasma monitoring
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US11276559B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Semiconductor processing chamber for multiple precursor flow
US11276590B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Multi-zone semiconductor substrate supports
US10497579B2 (en) 2017-05-31 2019-12-03 Applied Materials, Inc. Water-free etching methods
US10049891B1 (en) 2017-05-31 2018-08-14 Applied Materials, Inc. Selective in situ cobalt residue removal
US10920320B2 (en) 2017-06-16 2021-02-16 Applied Materials, Inc. Plasma health determination in semiconductor substrate processing reactors
US10541246B2 (en) 2017-06-26 2020-01-21 Applied Materials, Inc. 3D flash memory cells which discourage cross-cell electrical tunneling
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US10727080B2 (en) 2017-07-07 2020-07-28 Applied Materials, Inc. Tantalum-containing material removal
US10541184B2 (en) 2017-07-11 2020-01-21 Applied Materials, Inc. Optical emission spectroscopic techniques for monitoring etching
US10354889B2 (en) 2017-07-17 2019-07-16 Applied Materials, Inc. Non-halogen etching of silicon-containing materials
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10170336B1 (en) 2017-08-04 2019-01-01 Applied Materials, Inc. Methods for anisotropic control of selective silicon removal
US10043674B1 (en) 2017-08-04 2018-08-07 Applied Materials, Inc. Germanium etching systems and methods
US10297458B2 (en) 2017-08-07 2019-05-21 Applied Materials, Inc. Process window widening using coated parts in plasma etch processes
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
JP2019036654A (ja) * 2017-08-18 2019-03-07 株式会社Screenホールディングス 減圧乾燥装置、基板処理装置および減圧乾燥方法
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
JP6613276B2 (ja) * 2017-09-22 2019-11-27 株式会社Kokusai Electric 半導体装置の製造方法、プログラム、記録媒体および基板処理装置
CN107676498B (zh) * 2017-09-25 2019-05-07 武汉华星光电技术有限公司 软烤设备的排气阀组件
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10128086B1 (en) 2017-10-24 2018-11-13 Applied Materials, Inc. Silicon pretreatment for nitride removal
US10283324B1 (en) 2017-10-24 2019-05-07 Applied Materials, Inc. Oxygen treatment for nitride etching
JP7206265B2 (ja) 2017-11-27 2023-01-17 エーエスエム アイピー ホールディング ビー.ブイ. クリーン・ミニエンバイロメントを備える装置
KR102597978B1 (ko) 2017-11-27 2023-11-06 에이에스엠 아이피 홀딩 비.브이. 배치 퍼니스와 함께 사용하기 위한 웨이퍼 카세트를 보관하기 위한 보관 장치
US10256112B1 (en) 2017-12-08 2019-04-09 Applied Materials, Inc. Selective tungsten removal
US10903054B2 (en) 2017-12-19 2021-01-26 Applied Materials, Inc. Multi-zone gas distribution systems and methods
US11328909B2 (en) 2017-12-22 2022-05-10 Applied Materials, Inc. Chamber conditioning and removal processes
US10854426B2 (en) 2018-01-08 2020-12-01 Applied Materials, Inc. Metal recess for semiconductor structures
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
KR20200108016A (ko) 2018-01-19 2020-09-16 에이에스엠 아이피 홀딩 비.브이. 플라즈마 보조 증착에 의해 갭 충진 층을 증착하는 방법
TWI799494B (zh) 2018-01-19 2023-04-21 荷蘭商Asm 智慧財產控股公司 沈積方法
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
JP7124098B2 (ja) 2018-02-14 2022-08-23 エーエスエム・アイピー・ホールディング・ベー・フェー 周期的堆積プロセスにより基材上にルテニウム含有膜を堆積させる方法
US10679870B2 (en) 2018-02-15 2020-06-09 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus
US10964512B2 (en) 2018-02-15 2021-03-30 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus and methods
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
TWI766433B (zh) 2018-02-28 2022-06-01 美商應用材料股份有限公司 形成氣隙的系統及方法
US10593560B2 (en) 2018-03-01 2020-03-17 Applied Materials, Inc. Magnetic induction plasma source for semiconductor processes and equipment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US10319600B1 (en) 2018-03-12 2019-06-11 Applied Materials, Inc. Thermal silicon etch
US10497573B2 (en) 2018-03-13 2019-12-03 Applied Materials, Inc. Selective atomic layer etching of semiconductor materials
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
US10573527B2 (en) 2018-04-06 2020-02-25 Applied Materials, Inc. Gas-phase selective etching systems and methods
US10490406B2 (en) 2018-04-10 2019-11-26 Appled Materials, Inc. Systems and methods for material breakthrough
US10699879B2 (en) 2018-04-17 2020-06-30 Applied Materials, Inc. Two piece electrode assembly with gap for plasma control
US10886137B2 (en) 2018-04-30 2021-01-05 Applied Materials, Inc. Selective nitride removal
TW202344708A (zh) 2018-05-08 2023-11-16 荷蘭商Asm Ip私人控股有限公司 藉由循環沉積製程於基板上沉積氧化物膜之方法及相關裝置結構
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
TW202013553A (zh) 2018-06-04 2020-04-01 荷蘭商Asm 智慧財產控股公司 水氣降低的晶圓處置腔室
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
KR20210027265A (ko) 2018-06-27 2021-03-10 에이에스엠 아이피 홀딩 비.브이. 금속 함유 재료를 형성하기 위한 주기적 증착 방법 및 금속 함유 재료를 포함하는 막 및 구조체
US11492703B2 (en) 2018-06-27 2022-11-08 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10872778B2 (en) 2018-07-06 2020-12-22 Applied Materials, Inc. Systems and methods utilizing solid-phase etchants
US10755941B2 (en) 2018-07-06 2020-08-25 Applied Materials, Inc. Self-limiting selective etching systems and methods
US10672642B2 (en) 2018-07-24 2020-06-02 Applied Materials, Inc. Systems and methods for pedestal configuration
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11049755B2 (en) 2018-09-14 2021-06-29 Applied Materials, Inc. Semiconductor substrate supports with embedded RF shield
US10892198B2 (en) 2018-09-14 2021-01-12 Applied Materials, Inc. Systems and methods for improved performance in semiconductor processing
US11062887B2 (en) 2018-09-17 2021-07-13 Applied Materials, Inc. High temperature RF heater pedestals
WO2020059113A1 (ja) * 2018-09-21 2020-03-26 本田技研工業株式会社 ダイカスト用鋳造金型およびその減圧路コンダクタンス設定方法
US11417534B2 (en) 2018-09-21 2022-08-16 Applied Materials, Inc. Selective material removal
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
US11682560B2 (en) 2018-10-11 2023-06-20 Applied Materials, Inc. Systems and methods for hafnium-containing film removal
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
US11121002B2 (en) 2018-10-24 2021-09-14 Applied Materials, Inc. Systems and methods for etching metals and metal derivatives
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US11437242B2 (en) 2018-11-27 2022-09-06 Applied Materials, Inc. Selective removal of silicon-containing materials
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
JP2020096183A (ja) 2018-12-14 2020-06-18 エーエスエム・アイピー・ホールディング・ベー・フェー 窒化ガリウムの選択的堆積を用いてデバイス構造体を形成する方法及びそのためのシステム
US11721527B2 (en) 2019-01-07 2023-08-08 Applied Materials, Inc. Processing chamber mixing systems
US10920319B2 (en) 2019-01-11 2021-02-16 Applied Materials, Inc. Ceramic showerheads with conductive electrodes
TWI819180B (zh) 2019-01-17 2023-10-21 荷蘭商Asm 智慧財產控股公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) * 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
JP7175210B2 (ja) * 2019-02-04 2022-11-18 東京エレクトロン株式会社 排気装置、処理システム及び処理方法
TW202044325A (zh) 2019-02-20 2020-12-01 荷蘭商Asm Ip私人控股有限公司 填充一基板之一表面內所形成的一凹槽的方法、根據其所形成之半導體結構、及半導體處理設備
TW202104632A (zh) 2019-02-20 2021-02-01 荷蘭商Asm Ip私人控股有限公司 用來填充形成於基材表面內之凹部的循環沉積方法及設備
KR20200102357A (ko) 2019-02-20 2020-08-31 에이에스엠 아이피 홀딩 비.브이. 3-d nand 응용의 플러그 충진체 증착용 장치 및 방법
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
TW202100794A (zh) 2019-02-22 2021-01-01 荷蘭商Asm Ip私人控股有限公司 基材處理設備及處理基材之方法
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
US11742198B2 (en) 2019-03-08 2023-08-29 Asm Ip Holding B.V. Structure including SiOCN layer and method of forming same
JP7138238B2 (ja) * 2019-03-25 2022-09-15 株式会社Kokusai Electric 基板処理装置、半導体装置の製造方法及びプログラム
KR20200116033A (ko) 2019-03-28 2020-10-08 에이에스엠 아이피 홀딩 비.브이. 도어 개방기 및 이를 구비한 기판 처리 장치
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
US11447864B2 (en) 2019-04-19 2022-09-20 Asm Ip Holding B.V. Layer forming method and apparatus
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP7253972B2 (ja) * 2019-05-10 2023-04-07 東京エレクトロン株式会社 基板処理装置
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
KR20200141003A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 가스 감지기를 포함하는 기상 반응기 시스템
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP2021015791A (ja) 2019-07-09 2021-02-12 エーエスエム アイピー ホールディング ビー.ブイ. 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
CN112242296A (zh) 2019-07-19 2021-01-19 Asm Ip私人控股有限公司 形成拓扑受控的无定形碳聚合物膜的方法
TW202113936A (zh) 2019-07-29 2021-04-01 荷蘭商Asm Ip私人控股有限公司 用於利用n型摻雜物及/或替代摻雜物選擇性沉積以達成高摻雜物併入之方法
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
CN112323048B (zh) 2019-08-05 2024-02-09 Asm Ip私人控股有限公司 用于化学源容器的液位传感器
US11199267B2 (en) * 2019-08-16 2021-12-14 Applied Materials, Inc. Symmetric flow valve for higher flow conductance
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
TW202129060A (zh) 2019-10-08 2021-08-01 荷蘭商Asm Ip控股公司 基板處理裝置、及基板處理方法
TW202115273A (zh) 2019-10-10 2021-04-16 荷蘭商Asm Ip私人控股有限公司 形成光阻底層之方法及包括光阻底層之結構
KR20210045930A (ko) 2019-10-16 2021-04-27 에이에스엠 아이피 홀딩 비.브이. 실리콘 산화물의 토폴로지-선택적 막의 형성 방법
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
KR20210065848A (ko) 2019-11-26 2021-06-04 에이에스엠 아이피 홀딩 비.브이. 제1 유전체 표면과 제2 금속성 표면을 포함한 기판 상에 타겟 막을 선택적으로 형성하기 위한 방법
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11885013B2 (en) 2019-12-17 2024-01-30 Asm Ip Holding B.V. Method of forming vanadium nitride layer and structure including the vanadium nitride layer
KR20210080214A (ko) 2019-12-19 2021-06-30 에이에스엠 아이피 홀딩 비.브이. 기판 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
KR20210095050A (ko) 2020-01-20 2021-07-30 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법 및 박막 표면 개질 방법
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
KR20210100010A (ko) 2020-02-04 2021-08-13 에이에스엠 아이피 홀딩 비.브이. 대형 물품의 투과율 측정을 위한 방법 및 장치
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
TW202146715A (zh) 2020-02-17 2021-12-16 荷蘭商Asm Ip私人控股有限公司 用於生長磷摻雜矽層之方法及其系統
KR20210116249A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 록아웃 태그아웃 어셈블리 및 시스템 그리고 이의 사용 방법
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
KR20210117157A (ko) 2020-03-12 2021-09-28 에이에스엠 아이피 홀딩 비.브이. 타겟 토폴로지 프로파일을 갖는 층 구조를 제조하기 위한 방법
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
TW202140831A (zh) 2020-04-24 2021-11-01 荷蘭商Asm Ip私人控股有限公司 形成含氮化釩層及包含該層的結構之方法
KR20210132605A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 냉각 가스 공급부를 포함한 수직형 배치 퍼니스 어셈블리
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
KR20210143653A (ko) 2020-05-19 2021-11-29 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202219628A (zh) 2020-07-17 2022-05-16 荷蘭商Asm Ip私人控股有限公司 用於光微影之結構與方法
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
KR20220027026A (ko) 2020-08-26 2022-03-07 에이에스엠 아이피 홀딩 비.브이. 금속 실리콘 산화물 및 금속 실리콘 산질화물 층을 형성하기 위한 방법 및 시스템
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
US11841715B2 (en) * 2020-10-22 2023-12-12 Applied Materials, Inc. Piezo position control flow ratio control
TW202217037A (zh) 2020-10-22 2022-05-01 荷蘭商Asm Ip私人控股有限公司 沉積釩金屬的方法、結構、裝置及沉積總成
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
KR20220076343A (ko) 2020-11-30 2022-06-08 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치의 반응 챔버 내에 배열되도록 구성된 인젝터
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
US11761080B2 (en) * 2021-01-05 2023-09-19 Applied Materials, Inc. Method for processing a substrate by oscillating a boundary layer of the flow of one or more process gases over a surface of a substrate and systems for processing a substrate using the method
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate

Family Cites Families (141)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4313783A (en) * 1980-05-19 1982-02-02 Branson International Plasma Corporation Computer controlled system for processing semiconductor wafers
US4681773A (en) * 1981-03-27 1987-07-21 American Telephone And Telegraph Company At&T Bell Laboratories Apparatus for simultaneous molecular beam deposition on a plurality of substrates
US4466380A (en) * 1983-01-10 1984-08-21 Xerox Corporation Plasma deposition apparatus for photoconductive drums
US5769950A (en) * 1985-07-23 1998-06-23 Canon Kabushiki Kaisha Device for forming deposited film
US4879970A (en) * 1987-04-21 1989-11-14 M&T Chemicals Inc. Coating hood for applying coating compound on containers
JPH01232732A (ja) * 1988-03-14 1989-09-18 Seisan Gijutsu Shinko Kyokai 半導体結晶製造方法
US5070813A (en) * 1989-02-10 1991-12-10 Tokyo Electron Limited Coating apparatus
JPH03144664A (ja) 1989-10-31 1991-06-20 Mita Ind Co Ltd 自動原稿送り装置
US5095300A (en) * 1990-03-28 1992-03-10 Nec Electronics Inc. Device for sensing side positioning of wafers
JPH0812846B2 (ja) * 1991-02-15 1996-02-07 株式会社半導体プロセス研究所 半導体製造装置
JP2677913B2 (ja) * 1991-05-13 1997-11-17 三菱電機株式会社 半導体製造装置のシール機構および半導体装置の製造方法
JPH0613361A (ja) * 1992-06-26 1994-01-21 Tokyo Electron Ltd 処理装置
JP3144664B2 (ja) 1992-08-29 2001-03-12 東京エレクトロン株式会社 処理装置及び処理方法
US5338362A (en) * 1992-08-29 1994-08-16 Tokyo Electron Limited Apparatus for processing semiconductor wafer comprising continuously rotating wafer table and plural chamber compartments
US5540821A (en) * 1993-07-16 1996-07-30 Applied Materials, Inc. Method and apparatus for adjustment of spacing between wafer and PVD target during semiconductor processing
US5900103A (en) * 1994-04-20 1999-05-04 Tokyo Electron Limited Plasma treatment method and apparatus
JP3468859B2 (ja) * 1994-08-16 2003-11-17 富士通株式会社 気相処理装置及び気相処理方法
JPH0969515A (ja) * 1995-06-20 1997-03-11 Sony Corp 半導体製造装置用真空処理装置
JP3708554B2 (ja) * 1995-08-04 2005-10-19 セイコーエプソン株式会社 薄膜トランジスタの製造方法
US5851293A (en) * 1996-03-29 1998-12-22 Atmi Ecosys Corporation Flow-stabilized wet scrubber system for treatment of process gases from semiconductor manufacturing operations
US6120609A (en) * 1996-10-25 2000-09-19 Applied Materials, Inc. Self-aligning lift mechanism
US5911834A (en) * 1996-11-18 1999-06-15 Applied Materials, Inc. Gas delivery system
US5909994A (en) * 1996-11-18 1999-06-08 Applied Materials, Inc. Vertical dual loadlock chamber
US5855681A (en) * 1996-11-18 1999-01-05 Applied Materials, Inc. Ultra high throughput wafer vacuum processing system
US5902088A (en) * 1996-11-18 1999-05-11 Applied Materials, Inc. Single loadlock chamber with wafer cooling function
US6152070A (en) * 1996-11-18 2000-11-28 Applied Materials, Inc. Tandem process chamber
US5807792A (en) * 1996-12-18 1998-09-15 Siemens Aktiengesellschaft Uniform distribution of reactants in a device layer
US6267075B1 (en) * 1998-07-09 2001-07-31 Yield Engineering Systems, Inc. Apparatus for cleaning items using gas plasma
US6217937B1 (en) * 1998-07-15 2001-04-17 Cornell Research Foundation, Inc. High throughput OMVPE apparatus
US6143082A (en) * 1998-10-08 2000-11-07 Novellus Systems, Inc. Isolation of incompatible processes in a multi-station processing chamber
US6203619B1 (en) * 1998-10-26 2001-03-20 Symetrix Corporation Multiple station apparatus for liquid source fabrication of thin films
US6383300B1 (en) * 1998-11-27 2002-05-07 Tokyo Electron Ltd. Heat treatment apparatus and cleaning method of the same
US6143080A (en) * 1999-02-02 2000-11-07 Silicon Valley Group Thermal Systems Llc Wafer processing reactor having a gas flow control system and method
US7515264B2 (en) * 1999-06-15 2009-04-07 Tokyo Electron Limited Particle-measuring system and particle-measuring method
US6812157B1 (en) * 1999-06-24 2004-11-02 Prasad Narhar Gadgil Apparatus for atomic layer chemical vapor deposition
US6576062B2 (en) * 2000-01-06 2003-06-10 Tokyo Electron Limited Film forming apparatus and film forming method
JP2001257164A (ja) * 2000-03-10 2001-09-21 Hitachi Kokusai Electric Inc 基板処理装置、基板処理方法及び圧力制御方法
US6863019B2 (en) * 2000-06-13 2005-03-08 Applied Materials, Inc. Semiconductor device fabrication chamber cleaning method and apparatus with recirculation of cleaning gas
US6562141B2 (en) * 2000-07-03 2003-05-13 Andrew Peter Clarke Dual degas/cool loadlock cluster tool
US6235656B1 (en) * 2000-07-03 2001-05-22 Andrew Peter Clarke Dual degas/cool loadlock cluster tool
KR100458982B1 (ko) * 2000-08-09 2004-12-03 주성엔지니어링(주) 회전형 가스분사기를 가지는 반도체소자 제조장치 및 이를이용한 박막증착방법
US6806211B2 (en) * 2000-08-11 2004-10-19 Tokyo Electron Limited Device and method for processing substrate
JP2002170823A (ja) * 2000-09-19 2002-06-14 Hitachi Kokusai Electric Inc 半導体製造装置および半導体装置の製造方法並びにそれに使用されるカバー部材
KR100345304B1 (ko) * 2000-10-12 2002-07-25 한국전자통신연구원 수직형 초고진공 화학증착장치
US6413321B1 (en) * 2000-12-07 2002-07-02 Applied Materials, Inc. Method and apparatus for reducing particle contamination on wafer backside during CVD process
US20050189074A1 (en) * 2002-11-08 2005-09-01 Tokyo Electron Limited Gas processing apparatus and method and computer storage medium storing program for controlling same
US6591850B2 (en) * 2001-06-29 2003-07-15 Applied Materials, Inc. Method and apparatus for fluid flow control
TW578198B (en) * 2001-08-24 2004-03-01 Asml Us Inc Atmospheric pressure wafer processing reactor having an internal pressure control system and method
US6461436B1 (en) * 2001-10-15 2002-10-08 Micron Technology, Inc. Apparatus and process of improving atomic layer deposition chamber performance
JP2003158080A (ja) * 2001-11-22 2003-05-30 Mitsubishi Electric Corp 半導体製造装置、半導体製造装置における堆積物除去方法、および半導体装置の製造方法
US6838393B2 (en) * 2001-12-14 2005-01-04 Applied Materials, Inc. Method for producing semiconductor including forming a layer containing at least silicon carbide and forming a second layer containing at least silicon oxygen carbide
JP2003183832A (ja) * 2001-12-20 2003-07-03 Sekisui Chem Co Ltd 放電プラズマ処理方法
US20030164143A1 (en) * 2002-01-10 2003-09-04 Hitachi Kokusai Electric Inc. Batch-type remote plasma processing apparatus
KR100452318B1 (ko) * 2002-01-17 2004-10-12 삼성전자주식회사 압력조절시스템 및 이를 이용하는 압력조절방법
US6911092B2 (en) * 2002-01-17 2005-06-28 Sundew Technologies, Llc ALD apparatus and method
JP3891848B2 (ja) * 2002-01-17 2007-03-14 東京エレクトロン株式会社 処理装置および処理方法
US6962644B2 (en) * 2002-03-18 2005-11-08 Applied Materials, Inc. Tandem etch chamber plasma processing system
KR100829327B1 (ko) * 2002-04-05 2008-05-13 가부시키가이샤 히다치 고쿠사이 덴키 기판 처리 장치 및 반응 용기
US6869641B2 (en) * 2002-07-03 2005-03-22 Unaxis Balzers Ltd. Method and apparatus for ALD on a rotary susceptor
US6843882B2 (en) * 2002-07-15 2005-01-18 Applied Materials, Inc. Gas flow control in a wafer processing system having multiple chambers for performing same process
US7153542B2 (en) * 2002-08-06 2006-12-26 Tegal Corporation Assembly line processing method
US20050084610A1 (en) * 2002-08-13 2005-04-21 Selitser Simon I. Atmospheric pressure molecular layer CVD
KR100497748B1 (ko) * 2002-09-17 2005-06-29 주식회사 무한 반도체소자 제조용 원자층 증착 장치 및 원자층 증착 방법
KR100707819B1 (ko) * 2002-11-11 2007-04-13 가부시키가이샤 히다치 고쿠사이 덴키 기판 처리 장치
JP4226597B2 (ja) * 2003-03-04 2009-02-18 株式会社日立国際電気 基板処理装置およびデバイスの製造方法
US6972055B2 (en) * 2003-03-28 2005-12-06 Finens Corporation Continuous flow deposition system
US7647886B2 (en) * 2003-10-15 2010-01-19 Micron Technology, Inc. Systems for depositing material onto workpieces in reaction chambers and methods for removing byproducts from reaction chambers
US7020981B2 (en) * 2003-10-29 2006-04-04 Asm America, Inc Reaction system for growing a thin film
US7273526B2 (en) * 2004-04-15 2007-09-25 Asm Japan K.K. Thin-film deposition apparatus
US7276122B2 (en) * 2004-04-21 2007-10-02 Mattson Technology, Inc. Multi-workpiece processing chamber
US20050241579A1 (en) * 2004-04-30 2005-11-03 Russell Kidd Face shield to improve uniformity of blanket CVD processes
JP4879509B2 (ja) * 2004-05-21 2012-02-22 株式会社アルバック 真空成膜装置
JP4480516B2 (ja) * 2004-08-23 2010-06-16 株式会社アルバック バリア膜の形成方法
US8251012B2 (en) * 2005-03-01 2012-08-28 Hitachi Kokusai Electric Inc. Substrate processing apparatus and semiconductor device producing method
US7422636B2 (en) * 2005-03-25 2008-09-09 Tokyo Electron Limited Plasma enhanced atomic layer deposition system having reduced contamination
US20060251827A1 (en) * 2005-05-09 2006-11-09 Applied Materials, Inc. Tandem uv chamber for curing dielectric materials
US7777198B2 (en) * 2005-05-09 2010-08-17 Applied Materials, Inc. Apparatus and method for exposing a substrate to a rotating irradiance pattern of UV radiation
US20060249175A1 (en) * 2005-05-09 2006-11-09 Applied Materials, Inc. High efficiency UV curing system
US20070116873A1 (en) * 2005-11-18 2007-05-24 Tokyo Electron Limited Apparatus for thermal and plasma enhanced vapor deposition and method of operating
US7794546B2 (en) * 2006-03-08 2010-09-14 Tokyo Electron Limited Sealing device and method for a processing system
US7740705B2 (en) * 2006-03-08 2010-06-22 Tokyo Electron Limited Exhaust apparatus configured to reduce particle contamination in a deposition system
US20070218701A1 (en) 2006-03-15 2007-09-20 Asm Japan K.K. Semiconductor-processing apparatus with rotating susceptor
US20070218702A1 (en) * 2006-03-15 2007-09-20 Asm Japan K.K. Semiconductor-processing apparatus with rotating susceptor
US7566891B2 (en) * 2006-03-17 2009-07-28 Applied Materials, Inc. Apparatus and method for treating a substrate with UV radiation using primary and secondary reflectors
SG136078A1 (en) * 2006-03-17 2007-10-29 Applied Materials Inc Uv cure system
WO2007111348A1 (ja) * 2006-03-28 2007-10-04 Hitachi Kokusai Electric Inc. 基板処理装置
WO2007129568A1 (ja) * 2006-05-01 2007-11-15 Hitachi Kokusai Electric Inc. 基板処理装置
WO2008016836A2 (en) * 2006-07-29 2008-02-07 Lotus Applied Technology, Llc Radical-enhanced atomic layer deposition system and method
JP2008072030A (ja) * 2006-09-15 2008-03-27 Matsushita Electric Ind Co Ltd プラズマ処理装置、プラズマ処理装置の異常検出方法、及びプラズマ処理方法
KR20080027009A (ko) * 2006-09-22 2008-03-26 에이에스엠지니텍코리아 주식회사 원자층 증착 장치 및 그를 이용한 다층막 증착 방법
US8043432B2 (en) * 2007-02-12 2011-10-25 Tokyo Electron Limited Atomic layer deposition systems and methods
US20080241384A1 (en) * 2007-04-02 2008-10-02 Asm Genitech Korea Ltd. Lateral flow deposition apparatus and method of depositing film by using the apparatus
JP5322254B2 (ja) * 2007-06-29 2013-10-23 東京エレクトロン株式会社 真空処理装置及び真空処理方法並びに記憶媒体
US8197636B2 (en) * 2007-07-12 2012-06-12 Applied Materials, Inc. Systems for plasma enhanced chemical vapor deposition and bevel edge etching
JP5568212B2 (ja) * 2007-09-19 2014-08-06 株式会社日立国際電気 基板処理装置、そのコーティング方法、基板処理方法及び半導体デバイスの製造方法
JP2009088298A (ja) * 2007-09-29 2009-04-23 Tokyo Electron Ltd プラズマ処理装置及びプラズマ処理方法
US20100264117A1 (en) * 2007-10-31 2010-10-21 Tohoku University Plasma processing system and plasma processing method
JP5310283B2 (ja) * 2008-06-27 2013-10-09 東京エレクトロン株式会社 成膜方法、成膜装置、基板処理装置及び記憶媒体
US8465592B2 (en) * 2008-08-25 2013-06-18 Tokyo Electron Limited Film deposition apparatus
US8465591B2 (en) * 2008-06-27 2013-06-18 Tokyo Electron Limited Film deposition apparatus
US20090324826A1 (en) * 2008-06-27 2009-12-31 Hitoshi Kato Film Deposition Apparatus, Film Deposition Method, and Computer Readable Storage Medium
JP4661990B2 (ja) * 2008-06-27 2011-03-30 東京エレクトロン株式会社 成膜装置、成膜方法、基板処理装置及び記憶媒体
US8808456B2 (en) * 2008-08-29 2014-08-19 Tokyo Electron Limited Film deposition apparatus and substrate process apparatus
JP5262452B2 (ja) * 2008-08-29 2013-08-14 東京エレクトロン株式会社 成膜装置及び基板処理装置
US9416448B2 (en) * 2008-08-29 2016-08-16 Tokyo Electron Limited Film deposition apparatus, substrate processing apparatus, film deposition method, and computer-readable storage medium for film deposition method
JP5195175B2 (ja) * 2008-08-29 2013-05-08 東京エレクトロン株式会社 成膜装置、成膜方法及び記憶媒体
JP5195676B2 (ja) * 2008-08-29 2013-05-08 東京エレクトロン株式会社 成膜装置、基板処理装置、成膜方法及び記憶媒体
JP5253932B2 (ja) * 2008-09-04 2013-07-31 東京エレクトロン株式会社 成膜装置、基板処理装置、成膜方法及び記憶媒体
JP2010084230A (ja) * 2008-09-04 2010-04-15 Tokyo Electron Ltd 成膜装置、基板処理装置及び回転テーブル
JP5173685B2 (ja) * 2008-09-04 2013-04-03 東京エレクトロン株式会社 成膜装置、成膜方法、並びにこの成膜方法を成膜装置に実施させるプログラムおよびこれを記憶するコンピュータ可読記憶媒体
JP5253933B2 (ja) * 2008-09-04 2013-07-31 東京エレクトロン株式会社 成膜装置、基板処理装置、成膜方法及び記憶媒体
JP5276388B2 (ja) * 2008-09-04 2013-08-28 東京エレクトロン株式会社 成膜装置及び基板処理装置
US8961691B2 (en) * 2008-09-04 2015-02-24 Tokyo Electron Limited Film deposition apparatus, film deposition method, computer readable storage medium for storing a program causing the apparatus to perform the method
JP2010087467A (ja) * 2008-09-04 2010-04-15 Tokyo Electron Ltd 成膜装置、基板処理装置、成膜方法及びこの成膜方法を実行させるためのプログラムを記録した記録媒体
JP5107185B2 (ja) * 2008-09-04 2012-12-26 東京エレクトロン株式会社 成膜装置、基板処理装置、成膜方法及びこの成膜方法を実行させるためのプログラムを記録した記録媒体
JP5276387B2 (ja) * 2008-09-04 2013-08-28 東京エレクトロン株式会社 成膜装置、基板処理装置、成膜方法及びこの成膜方法を実行させるためのプログラムを記録した記録媒体
JP5280964B2 (ja) * 2008-09-04 2013-09-04 東京エレクトロン株式会社 成膜装置、基板処理装置、成膜方法及び記憶媒体
US7964858B2 (en) * 2008-10-21 2011-06-21 Applied Materials, Inc. Ultraviolet reflector with coolant gas holes and method
JP5062144B2 (ja) * 2008-11-10 2012-10-31 東京エレクトロン株式会社 ガスインジェクター
JP5031013B2 (ja) * 2008-11-19 2012-09-19 東京エレクトロン株式会社 成膜装置、成膜装置のクリーニング方法、プログラム、プログラムを記憶するコンピュータ可読記憶媒体
JP2010153769A (ja) * 2008-11-19 2010-07-08 Tokyo Electron Ltd 基板位置検出装置、基板位置検出方法、成膜装置、成膜方法、プログラム及びコンピュータ可読記憶媒体
JP2010129666A (ja) * 2008-11-26 2010-06-10 Hitachi Kokusai Electric Inc 基板処理装置及び半導体装置の製造方法
JP2010126797A (ja) * 2008-11-28 2010-06-10 Tokyo Electron Ltd 成膜装置、半導体製造装置、これらに用いられるサセプタ、プログラム、およびコンピュータ可読記憶媒体
US9297072B2 (en) * 2008-12-01 2016-03-29 Tokyo Electron Limited Film deposition apparatus
JP5056735B2 (ja) * 2008-12-02 2012-10-24 東京エレクトロン株式会社 成膜装置
JP5083193B2 (ja) * 2008-12-12 2012-11-28 東京エレクトロン株式会社 成膜装置、成膜方法及び記憶媒体
JP5107285B2 (ja) * 2009-03-04 2012-12-26 東京エレクトロン株式会社 成膜装置、成膜方法、プログラム、およびコンピュータ可読記憶媒体
US20100227059A1 (en) * 2009-03-04 2010-09-09 Tokyo Electron Limited Film deposition apparatus, film deposition method, and computer readable storage medium
JP5093162B2 (ja) * 2009-03-12 2012-12-05 東京エレクトロン株式会社 成膜装置、成膜方法及び記憶媒体
JP5068780B2 (ja) * 2009-03-04 2012-11-07 東京エレクトロン株式会社 成膜装置、成膜方法、プログラム、およびコンピュータ可読記憶媒体
JP5141607B2 (ja) * 2009-03-13 2013-02-13 東京エレクトロン株式会社 成膜装置
JP5181100B2 (ja) * 2009-04-09 2013-04-10 東京エレクトロン株式会社 基板処理装置、基板処理方法及び記憶媒体
JP5131240B2 (ja) * 2009-04-09 2013-01-30 東京エレクトロン株式会社 成膜装置、成膜方法及び記憶媒体
JP5257328B2 (ja) * 2009-11-04 2013-08-07 東京エレクトロン株式会社 基板処理装置、基板処理方法及び記憶媒体
JP5310512B2 (ja) * 2009-12-02 2013-10-09 東京エレクトロン株式会社 基板処理装置
JP5553588B2 (ja) * 2009-12-10 2014-07-16 東京エレクトロン株式会社 成膜装置
JP5497423B2 (ja) * 2009-12-25 2014-05-21 東京エレクトロン株式会社 成膜装置
JP5392069B2 (ja) * 2009-12-25 2014-01-22 東京エレクトロン株式会社 成膜装置
US8034723B2 (en) * 2009-12-25 2011-10-11 Tokyo Electron Limited Film deposition apparatus and film deposition method
JP5524139B2 (ja) * 2010-09-28 2014-06-18 東京エレクトロン株式会社 基板位置検出装置、これを備える成膜装置、および基板位置検出方法
JP5572515B2 (ja) * 2010-10-15 2014-08-13 東京エレクトロン株式会社 成膜装置および成膜方法

Also Published As

Publication number Publication date
TW201135861A (en) 2011-10-16
US20110100489A1 (en) 2011-05-05
CN102054663A (zh) 2011-05-11
KR20110049710A (ko) 2011-05-12
TWI531019B (zh) 2016-04-21
JP2011100786A (ja) 2011-05-19
CN102054663B (zh) 2014-03-26
KR101291872B1 (ko) 2013-07-31
US8746170B2 (en) 2014-06-10

Similar Documents

Publication Publication Date Title
JP5257328B2 (ja) 基板処理装置、基板処理方法及び記憶媒体
JP5195676B2 (ja) 成膜装置、基板処理装置、成膜方法及び記憶媒体
JP5141607B2 (ja) 成膜装置
JP5553588B2 (ja) 成膜装置
KR101575359B1 (ko) 성막 장치, 기판 처리 장치, 성막 방법 및 상기 성막 방법용 기억 매체
JP5195174B2 (ja) 成膜装置及び成膜方法
JP5195175B2 (ja) 成膜装置、成膜方法及び記憶媒体
TWI418650B (zh) 成膜裝置
JP5062144B2 (ja) ガスインジェクター
JP5445044B2 (ja) 成膜装置
JP5253932B2 (ja) 成膜装置、基板処理装置、成膜方法及び記憶媒体
US20100068893A1 (en) Film deposition apparatus, film deposition method, and computer readable storage medium
KR101373946B1 (ko) 성막 장치
KR20100032328A (ko) 성막 장치, 성막 방법 및 컴퓨터 판독 가능 기억 매체
JP2010059495A (ja) 成膜装置、基板処理装置、成膜方法及びこの成膜方法を実行させるためのプログラムを記録した記録媒体
JP5093078B2 (ja) 成膜装置
JP5195176B2 (ja) 成膜装置
JP7274387B2 (ja) 成膜装置及び成膜方法
JP5403113B2 (ja) 成膜装置
JP2010129983A (ja) 成膜装置
JP6758218B2 (ja) 圧力制御方法
TWI437654B (zh) 成膜裝置、基板處理裝置及成膜方法

Legal Events

Date Code Title Description
A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20120704

A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20130314

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20130326

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20130408

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20160502

Year of fee payment: 3

R150 Certificate of patent or registration of utility model

Ref document number: 5257328

Country of ref document: JP

Free format text: JAPANESE INTERMEDIATE CODE: R150

Free format text: JAPANESE INTERMEDIATE CODE: R150

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250