JP7125343B2 - 表面毒化処理によるボトムアップ式間隙充填 - Google Patents

表面毒化処理によるボトムアップ式間隙充填 Download PDF

Info

Publication number
JP7125343B2
JP7125343B2 JP2018520533A JP2018520533A JP7125343B2 JP 7125343 B2 JP7125343 B2 JP 7125343B2 JP 2018520533 A JP2018520533 A JP 2018520533A JP 2018520533 A JP2018520533 A JP 2018520533A JP 7125343 B2 JP7125343 B2 JP 7125343B2
Authority
JP
Japan
Prior art keywords
feature
substrate
substrate surface
plasma
gas
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Active
Application number
JP2018520533A
Other languages
English (en)
Other versions
JP2018533218A (ja
Inventor
マーク サリー,
啓一 田中
エスワラナンド ベンカタサブラマニアン,
マンディアム スリイラム,
バスカー ジョティ ブイヤン,
プラミット マンナ,
デーヴィッド トンプソン,
アンドリュー ショート,
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Applied Materials Inc
Original Assignee
Applied Materials Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Applied Materials Inc filed Critical Applied Materials Inc
Publication of JP2018533218A publication Critical patent/JP2018533218A/ja
Application granted granted Critical
Publication of JP7125343B2 publication Critical patent/JP7125343B2/ja
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45527Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/04Coating on selected surface areas, e.g. using masks
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/32056Deposition of conductive or semi-conductive organic layers
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/02Pretreatment of the material to be coated
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/04Coating on selected surface areas, e.g. using masks
    • C23C16/045Coating cavities or hollow spaces, e.g. interior of tubes; Infiltration of porous substrates
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/34Nitrides
    • C23C16/345Silicon nitride
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/40Oxides
    • C23C16/401Oxides containing silicon
    • C23C16/402Silicon dioxide
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45527Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations
    • C23C16/45534Use of auxiliary reactants other than used for contributing to the composition of the main film, e.g. catalysts, activators or scavengers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02205Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/02274Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition in the presence of a plasma [PECVD]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/0228Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition deposition by cyclic CVD, e.g. ALD, ALE, pulsed CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/76Making of isolation regions between components
    • H01L21/762Dielectric regions, e.g. EPIC dielectric isolation, LOCOS; Trench refilling techniques, SOI technology, use of channel stoppers
    • H01L21/76224Dielectric regions, e.g. EPIC dielectric isolation, LOCOS; Trench refilling techniques, SOI technology, use of channel stoppers using trench refilling with dielectric materials
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76829Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers
    • H01L21/76834Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers formation of thin insulating films on the sidewalls or on top of conductors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76837Filling up the space between adjacent conductive structures; Gap-filling properties of dielectrics

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Computer Hardware Design (AREA)
  • General Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Materials Engineering (AREA)
  • General Chemical & Material Sciences (AREA)
  • Organic Chemistry (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Inorganic Chemistry (AREA)
  • Plasma & Fusion (AREA)
  • Chemical Vapour Deposition (AREA)
  • Formation Of Insulating Films (AREA)

Description

本開示は概して、薄膜を堆積させる方法に関する。詳細には、本開示は、狭いトレンチを充填するためのプロセスに関する。
マイクロエレクト二クスデバイスの製造においては、多くの応用向けに、ボイドを発生させることなく、10:1を上回るアスペクト比(AR)を有する狭いトレンチを充填することが、必要とされる。応用の1つは、浅型トレンチ分離(shallow trench isolation:STI)のためのものである。この応用では、膜が、トレンチ全体を通じて、非常に低い漏洩率を伴う高品質なものである(例えば、2を下回る湿式エッチング速度比を有する)必要がある。過去に成功した方法の1つは流動性CVDである。この方法では、ガス相のオリゴマーが慎重に形成され、このオリゴマーが、表面上に凝集してから、トレンチに「流れ(flow)」込む。このように堆積された膜は、非常に品質の悪いものであり、水蒸気アニールやUV硬化などの処理ステップが必要となる。
構造物の寸法が減少し、かつアスペクト比が増大することから、堆積された流動性膜を事後硬化する方法は困難になり、充填済みトレンチ全体で組成が一定でない膜がもたらされる。したがって、堆積された膜がボイド発生の全くない高品質なものになるボトムアップ式膜成長をもたらしうる、新たな方法が必要とされている。
本開示の一又は複数の実施形態は、表面上に少なくとも1つのフィーチャを有する基板表面を、フィーチャの底部と比べてフィーチャの上部を優先的に毒化するために、有機系毒化剤に曝露することを含む、処理方法を対象とする。膜は、ボトムアップ法でフィーチャ内に堆積される。
本開示の追加の実施形態は、処理チャンバ内に基板表面を配置することを含む、処理方法を対象とする。基板表面は、その上に少なくとも1つのフィーチャを有する。少なくとも1つのフィーチャは、底部、上部、及び側壁を有する間隙を作り出す。基板表面は、フィーチャの底部と比べてフィーチャの上部における膜成長を優先的に抑制するために、有機系毒化剤に曝露される。間隙内に層を堆積させるためには、基板表面は前駆体と反応体に連続して曝露される。ボトムアップ法でフィーチャの間隙を充填するために、前駆体と反応体とが反復的に曝露に使用される。
本開示の更なる実施形態は、複数の区域を備える処理チャンバ内に、基板表面を有する基板を置くことを含む、処理方法を対象とする。処理チャンバの各区域は、ガスカーテンによって隣接する区域から分離される。基板表面は、上部、底部、及び側面を伴い、かつ10:1以上のアスペクト比を伴う、少なくとも1つのフィーチャを有する。基板表面の少なくとも一部分が、処理チャンバの第1区域において第1プロセス条件に曝露される。第1プロセス条件は、フィーチャの底部と比べてフィーチャの上部における膜成長を優先的に抑制するための、有機系毒化剤を含む。基板表面は、処理チャンバの第2区域へと、ガスカーテンを通って横方向に動かされる。基板表面は、処理チャンバの第2区域において第2プロセス条件に曝露される。第2プロセス条件はシリコン前駆体を含む。基板表面は、処理チャンバの第3区域へと、ガスカーテンを通って横方向に動かされる。基板表面は、処理チャンバの第3区域において第3プロセス条件に曝露される。第3プロセス条件は、SiO膜を形成するための酸素含有反応体を含む。フィーチャを充填するために、基板表面の横方向移動を含む、第1区域、第2区域、及び第3区域への曝露が反復される。
本発明の上述の特徴を詳しく理解しうるように、上記で簡単に要約されている本発明のより詳細な説明が実施形態を参照することによって得られ、実施形態の一部は付随する図面に示されている。しかし、本発明は他の等しく有効な実施形態も許容しうることから、付随する図面は、この発明の典型的な実施形態のみを示しており、したがって、発明の範囲を限定するとみなすべきではないことに、留意されたい。
本開示の一又は複数の実施形態によるバッチ処理チャンバの断面図を示す。 本開示の一又は複数の実施形態によるバッチ処理チャンバの部分斜視図を示す。 本開示の一又は複数の実施形態によるバッチ処理チャンバの概略図を示す。 本開示の一又は複数の実施形態によるバッチ処理チャンバにおいて使用するための、くさび形ガス分配アセンブリの一部分の概略図を示す。 本開示の一又は複数の実施形態によるバッチ処理チャンバの概略図を示す。 図6Aから図6Cは、本開示の一又は複数の実施形態による間隙充填プロセスを示す。 本開示の一又は複数の実施形態による、アンモニアプラズマ出力の関数としてのサイクル毎成長及び湿式エッチング速度比のグラフを示す。 本開示の一又は複数の実施形態による、表面毒化中の、チャンバ圧力の関数としてのサイクル毎成長及び湿式エッチング速度比のグラフを示す。 本開示の一又は複数の実施形態による、毒化時間の関数としての膜厚のグラフを示す。 本開示の一又は複数の実施形態による、曝露時間及びサイクル毎成長の関数としての膜厚のグラフを示す。 本開示の一又は複数の実施形態による、毒化した場合としない場合の、充填済みフィーチャの透過型電子顕微鏡(TEM)断面画像を示す。 図11の垂直位置の関数としてのステップカバレッジのグラフを示す。 本開示の一又は複数の実施形態による、充填済みのトレンチ間隙のTEM画像を示す。
本発明のいくつかの例示的な実施形態について説明する前に、本発明は以下の説明において明示される構造又はプロセスステップの詳細に限定されないということを、理解されたい。本発明は、他の実施形態が可能であり、かつ、様々な方法で実践又は実行されることが可能である。
本書において「基板(substrate)」とは、製造プロセス内で表面上に膜処理が実施される、任意の基板、又は、基板上に形成された任意の材料面のことである。例えば、処理が実施されうる基板表面は、用途に応じて、シリコン、酸化シリコン、ストレインドシリコン、シリコンオンインシュレータ(SOI)、炭素がドープされた酸化シリコン、アモルファスシリコン、ドープされたシリコン、ゲルマニウム、ヒ化ガリウム、ガラス、サファイアなどの材料、並びに、金属、金属窒化物、金属合金、及びその他の導電材料などの、他の任意の材料を含む。基板は半導体ウエハを含むが、それに限定されるわけではない。基板表面を研磨し、エッチングし、還元し、酸化させ、ヒドロキシル化し、アニールし、かつ/又はベイクするために、基板は前処理プロセスに曝露されることがある。本発明では、基板自体の表面に直接的に膜処理を行うことに加えて、開示されている膜処理ステップのうちの任意のものが、以下でより詳細に開示するように、基板に形成された下部層に実施されることもある。「基板表面(substrate surface)」という語は、文脈から分かるように、かかる下部層を含むことを意図している。ゆえに、例えば、膜/層又は部分的な膜/層が基板表面上に堆積されていれば、新たに堆積される膜/層の露出面が基板表面となる。
本開示の一又は複数の実施形態は、ボトムアップ法で膜を成長させるためにトレンチの上部及び上部側壁を毒化する、方法を対象とする。本開示の実施形態の一部は、5を上回るアスペクト比を有するフィーチャを膜で有利に充填する方法を提供する。本開示の一又は複数の実施形態は、有利には、高いスループット及び再現性を伴ってフィーチャを間隙充填する方法を提供する。
一又は複数の実施形態により、方法は原子層堆積(ALD)プロセスを使用する。かかる実施形態では、基板表面は、前駆体(又は反応性ガス)に、連続して又は実質的に連続して曝露される。本明細書全体を通じて、「実質的に連続して(substantially sequentially)」とは、前駆体曝露の期間のほとんどが共試薬への曝露と重複しない(ただし一部は重複しうる)ことを意味する。この明細書及び付随する特許請求の範囲において、「前駆体(precursor)」、「反応体(reactant)」、「反応性ガス(reactive gas)」などの語は、基板表面と反応しうる任意のガス状種を表わすために、互換可能に使用される。
一又は複数の実施形態では、間隙充填方法は、原子層堆積(ALD)プロセスを使用して実施される。ALDプロセスは、二元(又はより高次の)反応を使用して材料の単一層が堆積される、自己制限型プロセスである。ALDプロセスにおける個々の反応は、基板表面の使用可能な全ての活性部位が反応し終えるまで継続する。ALDプロセスは、時間領域ALD又は空間的ALDによって実施されうる。
時間領域プロセスでは、処理チャンバ及び基板は、どの所与の時点においても、単一の反応性ガスに曝露される。例示的な一時間領域プロセスでは、処理チャンバは、金属前駆体が基板の使用可能な部位と完全に反応することを可能にするために、一定時間にわたり金属前駆体で満たされうる。次いで処理チャンバは、第2反応性ガスが処理チャンバに流入する前に前駆体がパージされてよく、第2反応性ガスが基板の活性部位と完全に反応することが可能になる。時間領域プロセスは、どの所与の時点においても処理チャンバ内に1つの反応性ガスだけが存在することを確実にすることによって、反応性ガス同士の混合を最少化する。どの反応性ガスステップの開始時にも遅延があり、この遅延中に、反応種の凝集は、ゼロから所定の最終圧力に到達する必要がある。同様に、処理チャンバから反応種の全てをパージする時にも遅延がある。
空間的ALDプロセスでは、基板は、単一の処理チャンバの中の種々のプロセス領域の間を動かされる。個別のプロセス領域の各々は、ガスカーテンによって隣接するプロセス領域から分離される。ガスカーテンは、いかなるガス相反応も最少化するよう、反応性ガス同士の混合を防止するのに役立つ。
一部の空間的ALD処理チャンバには複数のガス入口チャネルが存在し、これらのガス入口チャネルは、種々の化学物質又はプラズマガスを導入するのに使用されることがある。これらのチャネルは、隣接する処理領域同士を分離する、不活性パージガス及び/又はガスカーテンを形成する真空ポンプ孔によって、空間的に分離される。ガスカーテンは、いかなるガス相反応も最少化するよう、反応性ガス同士の混合の防止に役立つ。望ましくないガス相反応を回避するために、種々のチャネルからのガスの混合は実質的にないか、又は最小限しかない。これらの種々の空間的に分離されたチャネルを通って動くウエハが、種々の化学物質又はプラズマ環境への連続的な複数の表面曝露を経ることにより、空間的ALDモードにおける層ごとの膜成長、又は表面エッチングプロセスが可能になる。
一部の実施形態では、処理チャンバは、ガス分配構成要素上にモジュールアーキテクチャを有し、各モジュールは、空間的制御だけでなく、ガス流及び/又はRFの曝露の柔軟な頻度設定を可能にする、RF又はガス流などの個別制御を有する。本開示の実施形態は、これらのアーキテクチャを利用するものであり、かつ、成長抑制物質(表面毒化)を用いて最初に構造物を処理することを含み、この成長抑制物質は、トレンチの底部に向かう、表面上での抑制物質の遅鈍還元(slow reduction)により、フィーチャの上部及び上部側面に凝集する。これにより、上部に対して底部における前駆体吸着速度の加速が促進されると、考えられている。この条件が満たされれば、多重ALDサイクルがボトムアップ成長につながるはずである。場合によっては、毒化が行われる必要があるのは一回だけであり、それ以外の場合では、サイクルのたびに毒化が用いられることも必要になりうる。ほとんどの場合、最少量の毒化処理とは、約1~約50サイクルの範囲内となる。
本開示の実施形態の一部は、バッチ処理チャンバ(空間的処理チャンバとも称される)を使用する膜堆積プロセスを対象とする。図1は、ガス分配アセンブリ120(インジェクタ又はインジェクタアセンブリとも称される)と、サセプタアセンブリ140とを含む、処理チャンバ100の断面を示している。ガス分配アセンブリ120は、処理チャンバ内で使用される、任意の種類のガス供給デバイスである。ガス分配アセンブリ120は、サセプタアセンブリ140に対面する表側面121を含む。表側面121は、サセプタアセンブリ140に向けてガスの流れを供給するための、任意の数の開口又は様々な開口を有しうる。ガス分配アセンブリ120は、図示されている実施形態では実質的に円形である、外部エッジ124も含む。
使用されるガス分配アセンブリ120の具体的な種類は、使用されている特定のプロセスに応じて変化しうる。本発明の実施形態は、サセプタとガス分配アセンブリとの間の間隙が制御される、任意の種類の処理システムと共に使用されうる。様々な種類のガス分配アセンブリ(シャワーヘッドなど)が採用されうるが、本発明の実施形態は、複数の実質的に平行なガスチャネルを有する空間的ガス分配アセンブリを用いると、特に有用でありうる。この明細書及び付随する特許請求の範囲において、「実質的に平行(substantially parallel)」という文言は、ガスチャネルの細長軸が大体同じ方向に延在することを意味する。ガスチャネルの平行度には、若干の不完全性がありうる。二元反応では、複数の実質的に平行なガスチャネルは、少なくとも1つの第1反応性ガスAのチャネル、少なくとも1つの第2反応性ガスBのチャネル、少なくとも1つのパージガスPのチャネル、及び/又は、少なくとも1つの真空Vのチャネルを含みうる。第1反応性ガスAのチャネル(複数可)、第2反応性ガスBのチャネル(複数可)、及び、パージガスPのチャネル(複数可)から流れるガスは、ウエハの上面に向けて導かれる。ガス流の一部は、ウエハの表面の端から端まで水平に移動し、パージガスPのチャネル(複数可)を通って処理領域から出る。基板は、ガス分配アセンブリの一方の端部から他方の端部まで動くことで、処理ガスの各々に順に曝露され、基板表面上に層が形成されることになる。
一部の実施形態では、ガス分配アセンブリ120は、単一のインジェクタユニットで作られた剛性の静止体である。一又は複数の実施形態では、ガス分配アセンブリ120は、図2に示しているように、複数の個別セクタ(例えば、複数のインジェクタユニット122)で構成される。単一ピース体と複数セクタ体のいずれであっても、説明されている本発明の様々な実施形態と共に使用されうる。
サセプタアセンブリ140は、ガス分配アセンブリ120の下に配置される。サセプタアセンブリ140は、上面141と、上面141における少なくとも1つの凹部142とを含む。サセプタアセンブリ140は、底面143及びエッジ144も有する。凹部142は、処理される基板60の形状及びサイズに応じて、任意の好適な形状及びサイズにされうる。図1に示す実施形態では、凹部142はウエハの底部を支持するための平らな底部を有しているが、凹部の底部は変化しうる。一部の実施形態では、凹部は、凹部の外周エッジに沿って、ウエハの外周エッジを支持するようサイズ決定される段差領域を有する。ウエハの外周エッジの、この段差によって支持される分量は、例えば、ウエハの厚さ、及び、ウエハの裏側に既にあるフィーチャの存在に応じて、変化しうる。
一部の実施形態では、図1に示しているように、サセプタアセンブリ140の上面141における凹部142は、凹部142内で支持される基板60が、サセプタ140の上面141と実質的に同一平面の上面61を有するように、サイズ決定される。この明細書及び付随する特許請求の範囲において、「実質的に同一平面(substantially coplanar)」という文言は、ウエハの上面とサセプタアセンブリの上面が、±0.2mm以内で同一平面になることを意味する。一部の実施形態では、これらの上面が、±0.15 mm、±0.10mm、又は±0.05mm以内で同一平面になる。
図1のサセプタアセンブリ140は、サセプタアセンブリ140を上昇させ、下降させ、かつ回転させることが可能な、支持ポスト160を含む。サセプタアセンブリは、支持ポスト160の中央内部に、ヒータ又はガスライン又は電気的構成要素を含みうる。支持ポスト160は、サセプタアセンブリ140とガス分配アセンブリ120との間の間隙を広げたり狭めたりして、サセプタアセンブリ140を適切な位置へと動かす、主たる手段でありうる。サセプタアセンブリ140は、サセプタアセンブリ140とガス分配アセンブリ120との間に所定の間隙170を作り出すためにサセプタアセンブリ140に対してマイクロ調整を行いうる、微調整アクチュエータ162も含みうる。
一部の実施形態では、間隙170の距離は、約0.1mm~約5.0mmの範囲内、若しくは約0.1mm~約3.0mmの範囲内、若しくは約0.1mm~約2.0mmの範囲内、若しくは約0.2mm~約1.8mmの範囲内、若しくは約0.3mm~約1.7mmの範囲内、若しくは約0.4mm~約1.6mmの範囲内、若しくは約0.5mm~約1.5mmの範囲内、若しくは約0.6mm~約1.4mmの範囲内、若しくは約0.7mm~約1.3mmの範囲内、若しくは約0.8mm~約1.2mmの範囲内、若しくは約0.9mm~約1.1mmの範囲内であるか、又は、約1mmである。
図に示している処理チャンバ100は、内部でサセプタアセンブリ140が複数の基板60を保持しうる、カルーセル型チャンバである。図2に示しているように、ガス分配アセンブリ120は、複数の別個のインジェクタユニット122を含んでよく、各インジェクタユニット122は、ウエハがインジェクタユニットの下で動かされるにつれて、ウエハ上に膜を堆積させることが可能である。サセプタアセンブリ140の上方の、おおよそ対向している両側に配置された、2つのパイ型インジェクタユニット122が示されている。インジェクタユニット122のこの数は、例示のためにのみ示されている。より多い又はより少ない数のインジェクタユニット122が含まれうることが、理解されよう。一部の実施形態では、サセプタアセンブリ140の形状に一致する形状を形成するのに十分な数のパイ型インジェクタユニット122が存在する。一部の実施形態では、個々のパイ型インジェクタユニット122は各々、他のインジェクタユニット122のいずれにも影響を与えることなく、個別に動かされ、取り外され、かつ/又は交換されうる。例えば、ロボットがサセプタアセンブリ140とガス分配アセンブリ120との間の領域にアクセスして、基板60をローディング/アンローディングすることを可能にするために、1つのセグメントが上昇しうる。
複数のウエハが同じプロセスフローを経るようにこれらのウエハを同時に処理するために、複数のガスインジェクタを有する処理チャンバが使用されうる。例えば、図3に示しているように、処理チャンバ100は、4つのガスインジェクタアセンブリ及び4つの基板60を有する。処理開始時に、基板60は、インジェクタアセンブリ30の間に配置されうる。サセプタアセンブリ140を45°回転させること17により、インジェクタアセンブリ120の間にある各基板60が、インジェクタアセンブリ120の下の点線の円で図示しているように、膜堆積のためにインジェクタアセンブリ120の方に動かされることになる。更に45°回転させると、基板60はインジェクタアセンブリ30から離れるように動く。基板60とガス分配アセンブリ120とは、数が同じであっても、異なっていてもよい。一部の実施形態では、処理されるウエハの数は、存在するガス分配アセンブリと同じ数になる。一又は複数の実施形態では、処理されるウエハの数は、ガス分配アセンブリの数の分数又は整数倍になる。例えば、4つのガス分配アセンブリが存在する場合、処理されるウエハの数は4xとなり、ここでxは、1以上の整数値である。例示的な一実施形態では、ガス分配アセンブリ120は、ガスカーテンによって分離された8つの処理領域を含み、サセプタアセンブリ140は6つのウエハを保持しうる。
図3に示す処理チャンバ100は、単に実現可能な一構成を表わしており、本発明の範囲を限定するものと解釈すべきではない。ここでは、処理チャンバ100は複数のガス分配アセンブリ120を含む。図示している実施形態では、処理チャンバ100の周りに均等に離間した4つのガス分配アセンブリ(インジェクタアセンブリ30とも言われる)が存在する。図示している処理チャンバ100は八角形であるが、これは実現可能な一形状であり、本発明の範囲を限定するものと解釈すべきではないことが、当業者には理解されよう。図示されているガス分配アセンブリ120は台形であるが、単一の円形構成要素であっても、又は、図2に示しているような複数のパイ型セグメントで構成されてもよい。
図3に示す実施形態は、ロードロックチャンバ180、又は、バッファステーションのような補助チャンバを含む。このチャンバ180は、例えば基板(基板60とも称される)がチャンバ100にローディング/チャンバ100からアンローディングされることを可能にするために、処理チャンバ100の1つの面に接続される。サセプタ上に基板を動かすために、チャンバ180内にウエハロボットが配置されうる。
カルーセル(例えばサセプタアセンブリ140)の回転は、連続的であっても、間欠的(非連続的)であってもよい。連続処理においては、ウエハは、インジェクタの各々に順に曝露されるように常に回転している。非連続処理においては、ウエハは、インジェクタ領域に動かされて停止し、次いで、インジェクタ間の領域84に動かされて停止しうる。例えば、カルーセルは、ウエハがインジェクタ間領域からインジェクタを越えて動き(又は、インジェクタに隣接して停止し)、カルーセルが再度止まりうる次のインジェクタ間領域へと続いて動くように、回転しうる。インジェクタ間で止まることで、各層の堆積と堆積との間の追加の処理ステップ(例えばプラズマへの曝露)のための時間が提供されうる。
図4は、インジェクタユニット122と称されうる、ガス分配アセンブリ220の一セクタ又は一部分を示している。インジェクタユニット122は、個別に、又は他のインジェクタユニットと組み合わされて、使用されうる。例えば、図5に示しているように、図4のインジェクタユニット122が4つ組み合わされて、単一のガス分配アセンブリ220が形成される。(分かりやすくするために、4つのインジェクタユニットを分ける線は図示していない。)図4のインジェクタユニット122は、パージガスポート155及び真空ポート145に加えて、第1反応性ガスポート125と第2ガスポート135の両方を有しているが、インジェクタユニット122に、これらの構成要素の全てが必要なわけではない。
図4と図5の両方を参照するに、一又は複数の実施形態によるガス分配アセンブリ220は、複数のセクタ(又はインジェクタユニット122)を備えてよく、各セクタは同一であるか、又は異なっている。ガス分配アセンブリ220は、処理チャンバの中に配置され、ガス分配アセンブリ220の表側面121に複数の狭長ガスポート125、135、145を備える。複数の狭長ガスポート125、135、145、155は、ガス分配アセンブリ220の内周エッジ123に隣接するエリアから外周エッジ124に隣接するエリアに向かって、延在する。図示している複数のガスポートは、第1反応性ガスポート125と、第2ガスポート135と、第1反応性ガスポートと第2反応性ガスポートの各々を取り囲む真空ポート145と、パージガス真空ポート155とを含む。
図4又は図5に示す実施形態を参照するに、ポートは少なくとも内周領域周辺から少なくとも外周領域周辺まで延在すると記述していても、ポートは、単に内側領域から外側領域まで径方向に延在するだけではないことがある。ポートは、真空ポート145が反応性ガスポート125及び反応性ガスポート135を取り囲んでいることから、接線方向に延在しうる。図4及び図5に示す実施形態では、くさび型の反応性ガスポート125、135は、内周領域及び外周領域に隣接するエッジを含むすべてのエッジが、真空ポート145に囲まれている。
図4を参照するに、基板が経路127に沿って動くにつれて、基板表面の各部分は様々な反応性ガスに曝露される。経路127を辿ると、基板は、パージガスポート155、真空ポート145、第1反応性ガスポート125、真空ポート145、パージガスポート155、真空ポート145、第2ガスポート135、そして真空ポート145に曝露される、すなわちそれらに「遭遇する(see)」ことになる。ゆえに、図4に示す経路127の終わりでは、基板は第1反応性ガス125及び第2反応性ガス135に曝露されて、層が形成されている。図示されているインジェクタユニット122は四分円をなしているが、より大きい又はより小さいものである可能性もある。図5に示すガス分配アセンブリ220は、順次接続された、4つの図4のインジェクタユニット122の組み合わせと見なされうる。
図4のインジェクタユニット122は、反応性ガス同士を分離させるガスカーテン150を示している。「ガスカーテン(gas curtain)」という語は、反応性ガスを混合しないように分離させるガス流又は真空の任意の組み合わせを説明するために使用される。図4に示すガスカーテン150は、真空ポート145の第1反応性ガスポート125に隣り合った部分、中間のパージガスポート155、及び、真空ポート145の第2ガスポート135に隣り合った部分を含む。ガス流と真空とのこの組み合わせは、第1反応性ガスと第2反応性ガスとの気相反応を防止するか、又は最少化するために、使用されうる。
図5を参照するに、ガス分配アセンブリ220からのガス流と真空との組み合わせが、複数の処理領域250を分離させている。処理領域は、250の間のガスカーテン150を用いて、個々のガスポート125、135の周りに大まかに画定されている。図5に示す実施形態により、8つの別個のガスカーテン150を間に有する、8つの別個の処理領域250が構成されている。処理チャンバは、少なくとも2つの処理領域を有しうる。一部の実施形態では、少なくとも3つ、4つ、5つ、6つ、7つ、8つ、9つ、10、11、又は12の処理領域が存在する。
処理中に、基板は、どの所与の時点においても1を上回る数の処理領域250に曝露されうる。しかし、異なる処理領域に曝露される部分は、その2箇所を分離するガスカーテンを有することになる。例えば、基板の先行エッジが第2ガスポート135を含む処理領域に入る場合、基板の中央部はガスカーテン150の下にあり、かつ、基板の後続エッジは第1反応性ガスポート125を含む処理領域内にあることになる。
処理チャンバ100に接続された、例えばロードロックチャンバでありうるファクトリインターフェース280が図示されている。基準のフレームを提示するために、基板60は、ガス分配アセンブリ220の上に重ね合わされて図示されている。基板60は多くの場合、ガス分配プレート120の表側面121の近くに保持されるよう、サセプタアセンブリ上に置かれうる。基板60は、ファクトリインターフェース280を介して、処理チャンバ100内の基板支持体又はサセプタアセンブリ上にローディングされる(図3参照)。処理領域の中に配置された基板60が図示されうるが、それは、この基板が、第1反応性ガスポート125に隣接して、かつ、2つのガスカーテン150a、150bの間に、配置されているからである。基板60を経路127に沿って回転させることにより、この基板は、処理チャンバ100を回るように反時計回りに動くことになる。ゆえに、基板60は、第1処理領域250aから第8処理領域250hまでの処理領域に曝露される(第1から第8までの領域の間に全ての処理領域が含まれる)。
本発明の実施形態は、複数の処理領域250a~250hを有し、各処理領域がガスカーテン150によって隣接する領域から分離されている、処理チャンバ100(例えば、図5に示す処理チャンバ)を伴う、処理方法を対象とする。処理チャンバの中のガスカーテンと処理領域の数は、ガス流の配置に応じて、任意の好適な数でありうる。図5に示す実施形態は、8つのガスカーテン150と8つの処理領域250a~250hとを有している。ガスカーテンの数は概して、処理領域の数と同じか、それを上回る。
複数の基板60は、図1及び図2に示すサセプタアセンブリ140などの基板支持体上に配置される。複数の基板60は、処理ために、処理領域を回るように回転する。通常、ガスカーテン150は、チャンバ内に反応性ガスが流れ込まない期間を含め、処理の間ずっと稼働している(ガスが流れ、真空はオンになっている)。
第1反応性ガスAが処理領域250のうちの一又は複数の中に流れ込んでいる間に、不活性ガスは、第1反応性ガスAが流れ込んでいない処理領域250に流し込まれる。例えば、第1反応性ガスが処理領域250bから処理領域250hまでの処理領域に流れ込んでいる場合、不活性ガスは、処理領域250aに流れ込む。不活性ガスは、第1反応性ガスポート125又は第2ガスポート135を通じて流されうる。
処理領域内の不活性ガス流は、一定であることも、変動することもある。一部の実施形態では、反応性ガスは不活性ガスと共流する。不活性ガスは、キャリア及び希釈物として作用する。キャリアガスと比べて反応性ガスの量は少ないことから、共流によって、隣接する領域間の圧力の差を低減することで、処理領域間のガス圧のバランスを取ることがより容易になりうる。
図6Aから図6Cは、表面毒化を使用する間隙充填プロセスの図を示している。本開示の実施形態の一部は、成長抑制物質(表面毒化)を用いて最初に構造物を処理することを含み、この成長抑制物質は、トレンチの底部に向かう、表面上での抑制物質の遅鈍還元により、上部及び上部側面に凝集する。これにより、構造物の上部に対して底部における前駆体吸着速度の加速が促進される。この条件が満たされると、多重ALDサイクルがボトムアップ成長をもたらす。一部の実施形態では、毒化は一回実施される。一部の実施形態では、プロセスサイクルのたびに毒化が用いられる。一部の実施形態では、毒化処理は、約10~約50サイクルの範囲内のサイクルの後に行われる。
本開示の実施形態の一部は、トレンチの上部から底部への毒化勾配を作り出すために指向性プラズマを使用する、方法を対象とする。直流プラズマは指向性イオンを生成し、この指向性イオンが、トレンチの上部及び底部をボンバードしうる。トレンチの開口が狭く、かつ長さが長い(AR>10:1)場合、プラズマは、上部から側面を下って底部に至る表面を不活性化するよう、圧力(0~15T)及び電力(50~1000W)を通じてチューニングされうる。毒化プロセスが正しくチューニングされると、毒化は、(図6Bに示すように)上部において強められ、かつ側面において弱められうる。どの種類のプラズマ毒化を使用するかということは、膜堆積プロセスによって決定される。例えば、ビス(ジエチルアミノ)シラン(BDEAS)とOプラズマとを使用するSiOのALDの場合、BDEASとそれに続くOプラズマの前にNHプラズマ毒化ステップを採用することで、成長の縮小がもたらされる。何らかの特定の動作理論に拘束されるものではないが、成長の縮小を発生させるメカニズムは、表面のNH基の形成によるものであり、表面のNH基は、BDEASのアミン基と反応不可能であると、考えられている。
図7は、サイクル毎成長(GPC)に対するNHプラズマ出力のプロットを示している。このプロセスのパルス列は、NHプラズマ3秒間、パージ20秒間、BDEAS1秒間、パージ20秒間、Oプラズマ5秒間、そして、パージ20秒間であった。基板温度は350°Cであった。NHプラズマ出力は50~500Wであり、かつ、圧力は0.5~10Torrであった。Oプラズマ出力は50~500Wであり、かつ、圧力は0.5~10Torrであった。パージ/キャリアガス流、又は、Ar若しくはNは、50-5000sccmsであった。NHプラズマ出力が400Wである時の成長速度(0.38Å/サイクル)は、NHプラズマ処理なしのBDEAS/Oプラズマプロセスについて観測されたもの(0.83Å/サイクル)の半分であった。
GPCに対するNH毒化圧力の影響を、図8に示している。膜厚は、トレンチの上部から底部へと、50サイクルの期間中に緩慢に増大することが分かった。100サイクルの後、トレンチの大部分はボイド又はシームの兆候なく満たされることが、観察された。上部=70.7Å、上部~側面=117Å、及び底部~側面=156.0Åであり、ボトムアップ成長を示す、厚さプロファイルも同様に観察された。
方法の実施形態では、表面を毒化するために様々なプラズマガスが使用されうる。一部のプラズマガスは、NH、N、Ar、HO、CO、NO、H、N+H、ヒドラジン、及びそれらの組み合わせを含むが、それらに限定されるわけではない。
本開示の実施形態の一部では、毒化分子がプラズマ(Ar、N、H、NHなど)に導入される。毒化分子は、ヒドラジン、水、エチレンジアミン、エタノールアミン、アルカン、アミン、アルケン、ポリアミン、エポキサイド、アルコール、及びそれらの組み合わせを含むが、それらに限定されるわけではない。一部の実施形態では、表面を毒化することは、表面を有機系毒化剤に曝露することを含む。一部の実施形態では、有機系毒化剤は、炭素及び水素を含有する分子を含む。一又は複数の実施形態では、有機系毒化剤は、炭素及び水素を含有する分子であって、酸素原子、窒素原子、硫黄原子、及び/又はハロゲン原子のうちの一又は複数を更に含む、分子を含む。一部の実施形態では、有機系毒化剤は、エタノールアミン(ETA)、ヘキサン、及び/又はトルエンのうちの一又は複数を含む。一又は複数の実施形態では、抑制物質は、モルベースで約10%以上の有機系毒化剤を含む。
一又は複数の実施形態では、上部フィーチャ及びフィーチャの上部~側面(すなわち、フィーチャの側面の上側部分)を毒化するのに十分な分子のみがプラズマ内に存在するように、毒化分子は、その分子の半飽和線量(sub-saturative dose)だけがプラズマに導入される。このように使用される場合、半飽和線量とは、毒化分子の実質的に全て(>90%)がフィーチャ(トレンチなど)の底部と反応する前に消費される状況において見出されるものである。一部の実施形態の半飽和線量は、分子の付着係数、凝集、並びに/又は、ラジカル/イオン寿命のうちの一又は複数に依拠する。
別の実施形態では、プラズマは、構造物の上部においてスパッタリングが発生し、ボトムアップ成長につながるように、チューニングされうる。プラズマは、上部及び側面を毒化するだけでなく、ALDサイクル中に材料を除去するよう、チューニングされうる。
一部の実施形態では、前駆体の半飽和線量導入による熱毒化が使用されうる。毒化効果を実現する1つのやり方は、(プラズマを使用せずに)表面と熱反応しうる毒化分子を使用することである。この方法では、構造物の上部及び側面~上部と反応するのに十分な前駆体のみが存在するように、少量の毒化分子が表面に導入されうる。前駆体が使い切られた後には、トレンチを更に下る反応は起こりえない。一部の実施形態の毒化分子は、充填プロセスの成長を毒化し、かつ、表面と迅速に反応する。
例えば、ボトムアップ法でSiNを成長させるために、シリルハライド(SiBrなど)及びその後のNHガスの前に、少量の水が導入されうる。トレンチを完全に充填するために、このシーケンスの連続サイクルが使用されうる。少量のHOは、トレンチの上部及び上部側面でOHを形成しうるが、底部では形成しない。Si-OH結合は、150~450 °Cの温度では、Si-X(X=ハロゲン結合)に向けて活性にはならない。SiXがOH基と反応しえない場合には、構造物の上部及び上部側面における成長が小さくなることにより、ボトムアップ成長がもたらされるはずである。
一部の実施形態では、エチレンジアミン又は他のポリアミンの半飽和線量使用が、表面を毒化するために使用される。毒化分子の例は、アルキル、ポリアミン、アルコール、OH基及びNH基を含有する分子、及びそれらの組み合わせを含むが、それらに限定されるわけではない。ALDプロセスの成長を毒化する任意の分子が使用されうる。
一部の実施形態はCVDプロセスにおいて使用され、CVDプロセスでは、CVDの実施中に半飽和線量が表面毒化分子として使用される。例えば、非常に少量のHOをTEOS/Oプロセスに添加すると、CVDプロセスが構造物の上部及び上部側面を不活性化し、トレンチの底部におけるより大きな成長が、したがってボトムアップ式間隙充填が、もたらされうる。
一部の実施形態では、基板表面は、その上に少なくとも1つのフィーチャを有する。このフィーチャは、例えば、トレンチ又はピラーでありうる。このように使用される場合、「フィーチャ(feature)」という語は、任意の意図的な表面凹凸を意味する。フィーチャの好適な例は、上部と2つの側壁と底部とを有するトレンチ、及び、上部と2つの側壁とを有するピーク部を含むが、それらに限定されるわけではない。フィーチャは、任意の好適なアスペクト比(フィーチャの幅に対するフィーチャの深さの比率)を有しうる。一部の実施形態では、アスペクト比は、約5:1、10:1、15:1、20:1、25:1、30:1、35:1又は40:1以上となる。
図6Aを参照するに、フィーチャ330は、基板310におけるトレンチとして図示されている。このフィーチャは、上部332と、底部336と、側壁334とを有する。側壁334の間には間隙が作り出される。フィーチャ上に堆積される膜は、トレンチの上部332、底部336、及び側壁334を覆うことになる。
本開示の一又は複数の実施形態は、基板を毒化することと、ボトムアップ法で膜を堆積させることとを含む、処理方法を対象とする。このように使用される場合、「ボトムアップ法(bottom-up manner)」という語は、フィーチャの上部と比べて、フィーチャの底部に優先的に膜が堆積されることを意味する。
一又は複数の実施形態は、堆積された膜の成長を抑制するために、フィーチャ330の上部332を毒化することを含む。図6Aでは、少なくとも1つのフィーチャ330を有する基板が、処理チャンバ内に配置されている。基板310は、上面332を優先的に毒化して、図6Bに示す被毒化部分340を作り出すために、反応体に曝露される。毒化は、フィーチャの上部にのみ行われうるか、又は、図示しているように、フィーチャの底部に向けて強度が低減しうる。勾配毒化は、毒化剤の一部がフィーチャに進入するよう行われうる。
膜350は、基板310及びフィーチャ330に堆積される。上部352における膜305の厚さは、底部356における膜350の厚さを下回る。このプロセスが、ボトムアップ法でフィーチャの間隙を充填するために反復されうる。膜は、ALD及びCVDを含むがそれらに限定されるわけではない任意の好適な技法によって、堆積されうる。一部の実施形態では、膜は、基板が前駆体と反応体に連続して曝露される、ALDプロセスによって堆積される。
図6Cは、フィーチャの上部における成長量が少ないことを示している。一部の実施形態では、毒化剤への曝露後のフィーチャの上部での成長が実質的になくなる。このように使用される場合、成長が実質的になくなる、とは、フィーチャの上部における成長が、フィーチャの底部で発生する成長の約25%を下回ることを意味する。
膜の堆積により、毒化剤は不活性化されうる。別の言い方をすると、膜堆積プロセスにより、毒化プロセスが無効化(reverse)されうる。一部の実施形態では、基板は、膜成長の各サイクル後に、又は、前駆体への各曝露の前に、毒化剤に曝露される。一部の実施形態では、基板表面は、膜が約10Åから約50Åの範囲内の厚さだけ堆積した後に毒化剤に曝露される。一部の実施形態では、基板の毒化は、基板を2~約10の範囲内の回数、前駆体と反応体に連続して曝露した後に、行われる。
一部の実施形態の毒化剤はプラズマである。プラズマ毒化剤は、NH、N、Ar、HO、CO、NO、H、N+H、及び/又はヒドラジンのうちの一又は複数でありうる。プラズマは、遠隔プラズマ又は直流プラズマでありうる。一部の実施形態では、プラズマは、イオン及び/又はラジカルを基板表面に向けて導くよう付勢される、指向性プラズマである。
一部の実施形態では、毒化剤はプラズマに導入される。例えば、プラズマは、表面を十分に毒化しないか、又は、毒化剤として全く作用しないものでありうる。毒化剤は、プラズマに添加されてよく、かつ、プラズマによって活性化されうる。半飽和量の毒化剤が添加されて、フィーチャの上部の毒化を制限することに役立ちうるか、又は、フィーチャの上部と優先的に反応しうる。別の言い方をすると、一部の実施形態は、上部フィーチャ及び上部~側面を毒化するのに十分な分子のみがプラズマ内に存在するように、半飽和線量の毒化分子をプラズマに導入することを含む。仮に過量の毒化剤が添加されてしまうと、フィーチャの全深さが毒化されることになりうる。一部の実施形態の毒化剤は、ヒドラジン、水、エチレンジアミン、エチレントリアミン、エタノールアミン、アルキル(ヘキサンなど)、芳香族化合物(トルエンなど)、アミン、アルケン、ポリアミン、及び/又はアルコールのうちの一又は複数を含む。
別の実施形態では、プラズマは、構造物の上部においてスパッタリングが発生し、ボトムアップ成長につながるように、チューニングされうる。プラズマは、上部及び側面を毒化するだけでなく、ALDサイクル中に材料を除去するようチューニングされることが可能でありうる。
一部の実施形態では、毒化剤は基板表面と熱反応する。例えば、1つのプロセスは、表面を毒化することにも、表面の毒化を支援することにも、プラズマを使用しない。フィーチャの上部と優先的に反応するよう、少量の毒化剤が導入されうる。
一部の実施形態では、フィーチャ内に堆積された膜のボイド又は間隙が、毒化剤を使用せずに形成された膜よりも少なくなる。一部の実施形態のフィーチャ内に堆積された膜は、2を下回る湿式エッチング速度比を有する。この湿式エッチング速度比(WERR)は、HFと熱SiO膜を1:100として測定される。
したがって、本開示の一又は複数の実施形態は、図5に示しているようなバッチ処理チャンバを利用する処理方法を対象とする。基板60は、複数の区域250を有する処理チャンバの中に置かれ、各区域は、ガスカーテン150によって隣接する区域から分離される。基板表面の少なくとも一部分は、処理チャンバの第1区域250aにおいて第1プロセス条件に曝露される。一部の実施形態の第1プロセス条件は、毒化剤を含む。
基板表面は、処理チャンバの第2区域250bへと、ガスカーテン150を通って横方向に動かされる。基板表面は、第2区域250bにおいて第2プロセス条件に曝露される。一部の実施形態の第2プロセス条件は、ボトムアップ法においてフィーチャと優先的に反応する前駆体を含む。
基板表面は、処理チャンバの第3区域250cへと、ガスカーテン150を通って横方向に動かされる。基板表面は次いで、第3区域250cにおいて第3プロセス条件に曝露されうる。一部の実施形態の第3プロセス条件は、ボトムアップ法で膜が形成されるようにフィーチャに既に化学吸着されている前駆体と反応する、反応体を含む。
一部の実施形態では、所定の膜厚を有する膜を形成するために、基板が、追加の第2プロセス条件及び第3プロセス条件に曝露される。一部の実施形態では、基板表面を反復的に毒化するために、基板は追加の第1プロセス条件に曝露される。

空間的ALD処理チャンバで一定の堆積サイクル(100サイクル)後の厚さに対するNHプラズマ曝露時間の影響を、図9に示している。この例は、一堆積サイクルに対して一毒化曝露を行うように実装された。
図10は、毒化曝露時間を変えた複数のサンプルに関する、サイクル毎成長(GPC)厚さに対する毒化有効性のグラフを示している。ブランケットウエハにおける毒化のための有効曝露が4秒間である場合に、最小GPCが観察された。この曝露時間でのGPCは、毎サイクル0.1Å未満であった。
図11は、100サイクルのみにより堆積された膜の断面TEM画像を示している。膜の厚さは、上部から底部へと緩慢に増大した。図12は、上部から底部に向けて測定された厚さを示しており、平らな上面が100%のカバレッジを有する場合のステップカバレッジ%に変換されている。このデータにより、構造物の上部がより強く毒化されたことが示された。
図13は、トレンチを完全に充填している、構造物に堆積された膜のTEMを示している。300の堆積サイクルが行われ、トレンチ全体を通じていかなるボイド又はシームの兆候もなかった。
プラズマ、エチレンジアミン(EDA)、及びエタノールアミン(ETA)を有機系毒化剤として使用して、窒化シリコン膜をシリコン及び酸化シリコンの表面上に成長させた。サンプルは、成長抑制物質の後に、ヘキサクロロジシラン(HCDS)/NHプラズマの1サイクルに曝露され、150サイクルになるまで繰り返された。その膜成長について、表1に要約している。
Figure 0007125343000001
エタノールアミンの抑制物質は、約87%の成長縮小を示した。
プラズマ出力を変動させると共にETAを抑制物質として使用して、窒化シリコン膜をシリコン及び酸化シリコンの表面上に成長させた。堆積は、HCDS/NHプラズマを使用して実施された。サイクル毎成長(GPC)について測定を行い、表2に要約している。
Figure 0007125343000002
ETAがトレンチの上部から底部に向かって共形抑制(conformal inhibition)を引き起こしたことが観察され、ETAによる抑制は半飽和量を用いて制御可能であることが示された。
様々な温度における半飽和量でのETAの抑制能力が評価された。ETAは、0.1秒間にわたり表面に供給された。HCDS/NHプラズマの(100W)の150サイクルを使用して、SiN膜をシリコン及び酸化シリコンの表面上に堆積させた。結果を表3に要約している。
Figure 0007125343000003
様々なプラズマ出力で表面をヘキサン及び窒素のプラズマの抑制に曝露した後に、HCDS/NHプラズマ(100W)によって、窒化シリコン膜を堆積させた。150サイクル後の膜成長を測定した。堆積温度は350°Cであった。結果を表4に要約している。
Figure 0007125343000004
種々のプラズマ出力で抑制物質としてのヘキサン及びアルゴンのプラズマに曝露した後に、窒化シリコン膜を堆積させた。抑制の後、HCDS/NHプラズマ(100W)の150サイクルで、350°Cにおいて堆積を行い、厚さを測定した。結果を表5に要約している。
Figure 0007125343000005
種々のチャンバ圧力で抑制物質としてのヘキサン及びアルゴンのプラズマに曝露した後に、窒化シリコン膜を堆積させた。抑制の後、HCDS/NHプラズマ(100W)の150サイクルで、350°Cにおいて堆積を行い、厚さを測定した。結果を表6に要約している。
Figure 0007125343000006
種々のプラズマ出力で抑制物質としてのヘキサン及びアルゴンのプラズマに曝露した後に、窒化シリコン膜を堆積させた。抑制の後、HCDS/NHプラズマ(100W)の150サイクルで、350°C、3.5Torrにおいて堆積を行った。トレンチの深さの関数としての膜厚を測定し、そのスロープを判定した。トレンチの底部において、より大きなスロープによってより厚い膜が示された。結果を表7に要約している。
Figure 0007125343000007
種々の圧力で抑制物質としてのヘキサン及びアルゴンのプラズマ(100W)に曝露した後に、窒化シリコン膜を堆積させた。抑制の後、HCDS/NHプラズマ(100W)の150サイクルで、350°Cにおいて堆積を行った。トレンチの深さの関数としての膜厚を測定し、そのスロープを判定した。トレンチの底部において、より大きなスロープによってより厚い膜が示された。結果を表8に要約している。
Figure 0007125343000008
様々なプラズマ出力で表面をトルエン及び窒素のプラズマの抑制に曝露した後に、HCDS/NHプラズマ(100W)によって窒化シリコン膜を堆積させた。150サイクル後の膜成長を測定した。堆積温度は350°Cであった。結果を表9に要約している。
Figure 0007125343000009
種々のプラズマ出力で抑制物質としてのトルエン及びアルゴンのプラズマに曝露した後に、窒化シリコン膜を堆積させた。抑制の後、HCDS/NHプラズマ(100W)の150サイクルで、350°Cにおいて堆積を行い、厚さを測定した。結果を表10に要約している。
Figure 0007125343000010
種々のプラズマ出力で抑制物質としてのトルエン及びアルゴンのプラズマに曝露した後に、窒化シリコン膜を堆積させた。抑制の後、HCDS/NHプラズマ(100W)の150サイクルで、350°C、3.5Torrにおいて堆積を行った。トレンチの深さの関数としての膜厚を測定し、そのスロープを判定した。トレンチの底部において、より大きなスロープによってより厚い膜が示された。結果を表11に要約している。
Figure 0007125343000011
一又は複数の実施形態により、基板は、層を形成する前及び/又は形成した後に処理を経る。この処理は、同じチャンバ内、又は、一又は複数の別個の処理チャンバ内で実施されうる。一部の実施形態では、基板は、第1チャンバから、更なる処理のために別個の第2チャンバに動かされる。基板は、第1チャンバから別個の処理チャンバに直接動かされうるか、又は、第1チャンバから一又は複数の移送チャンバに動かされ、次いで別個の処理チャンバへと動かされうる。したがって、処理装置は、移送ステーションと連通している複数のチャンバを備えうる。この種の装置は「クラスタツール(cluster tool)」又は「クラスタシステム(clustered system)」などと称されうる。
通常、クラスタツールは、基板の中心決め及び配向決め、脱ガス、アニール処理、堆積、並びに/又は、エッチングを含む様々な機能を実施する複数のチャンバを備える、モジュールシステムである。一又は複数の実施形態により、クラスタツールは、少なくとも、第1チャンバ及び中央移送チャンバを含む。中央移送チャンバは、複数の処理チャンバ及び複数のロードロックチャンバの間で基板を往復搬送することが可能なロボットを収納しうる。移送チャンバは、典型的には、真空条件に維持され、一つのチャンバから、別のチャンバに、かつ/又はクラスタツールのフロントエンドに配置されたロードロックチャンバに、基板を往復搬送するための中間ステージを提供する。本発明に適合しうる2つの周知のクラスタツールは、Centura(登録商標)及びEndura(登録商標)であり、両方とも、カリフォルニア州サンタクララのApplied Materials、Inc.から入手可能である。しかし、実際のチャンバの配置及び組合せは、本書に記載のプロセスの具体的なステップを実施するという目的のために、変更されうる。使用されうる他の処理チャンバは、周期的層堆積(CLD)、原子層堆積(ALD)、化学気相堆積(CVD)、物理的気相堆積(PVD)、エッチング、予洗浄、化学洗浄、RTPなどの熱処理、プラズマ窒化、脱ガス、配向決め、ヒドロキシル化、及びその他の基板処理を含むが、それらに限定されるわけではない。クラスタツールのチャンバ内でプロセスを実行することにより、後続膜の堆積に先立って酸化を起こすことなく、空気中の不純物による基板の表面汚染を回避しうる。
一又は複数の実施形態により、基板は、連続的に真空又は「ロードロック(load lock)」の条件下にあり、一つのチャンバから次のチャンバに動かされる時に周囲空気に曝露されない。ゆえに、移送チャンバは、真空下にあり、真空圧力のもとで「ポンプダウン(pumped down)」される。処理チャンバ又は移送チャンバの中には不活性ガスが存在しうる。一部の実施形態では、反応体の一部又は全部を除去するために、不活性ガスがパージガスとして使用される。一又は複数の実施形態により、反応体が堆積チャンバから、移送チャンバにかつ/又は追加の処理チャンバに移動することを防止するために、堆積チャンバの出口にパージガスが注入される。ゆえに、不活性ガスの流れがチャンバの出口にカーテンを形成する。
基板は、枚葉式基板堆積チャンバ内で処理されてよく、この枚葉式基板堆積チャンバでは、単一の基板が、別の基板が処理される前に、ローディングされ、処理され、かつアンローディングされる。基板は、複数の基板が個々に、チャンバの第一部分の中へとローディングされ、チャンバを通って動き、かつ、チャンバの第二部分からアンローディングされる、コンベヤシステムに類似した連続的な様態で、処理されることも可能である。チャンバ及び関連するコンベヤシステムの形状により、直線経路又は湾曲経路が形成されうる。加えて、処理チャンバはカルーセルであってよく、このカルーセルにおいて、複数の基板が、中心軸の周りで動かされ、かつ、カルーセル経路全体を通じて堆積、エッチング、アニール処理、洗浄などのプロセスに曝露される。
処理中に、基板は加熱されうるか、又は冷却されうる。かかる加熱又は冷却は、基板支持体の温度を変化させること、及び、基板表面に加熱された又は冷却されたガスを流すことを含む(ただしそれらに限定されるわけではない)、任意の好適な手段によって達成されうる。一部の実施形態では、基板支持体は、伝導によって基板温度を変化させるよう制御されうる、ヒータ/クーラを含む。一又は複数の実施形態では、基板温度を局所的に変化させるために、用いられるガス(反応性ガス或いは不活性ガス)が加熱又は冷却される。一部の実施形態では、基板温度を対流によって変化させるために、ヒータ/クーラは、基板表面に隣接して、チャンバの中に配置される。
基板は、処理中に、静止していることも、回転することも可能である。回転する基板は、連続的に、又は非連続に段階的に、回転しうる。例えば、基板は、処理全体を通じて回転しうるか、又は、種々の反応性ガス若しくはパージガスへの曝露と曝露との間に、少しずつ回転しうる。処理中に基板を(連続的に或いは段階的に)回転させることは、例えばガス流形状の局所的可変性の影響を最少化することによって、より均一な堆積又はエッチングの生成に役立ちうる。
原子層堆積型チャンバ内で、基板は、空間的或いは時間的に分離されたプロセスにおいて、第1と第2の前駆体に曝露されうる。時間的ALDは、第1前駆体がチャンバに流れ込んで表面と反応する、慣習的なプロセスである。第2前駆体を流す前に、第1前駆体はチャンバからパージされる。空間的ALDでは、第1前駆体と第2前駆体の両方が、同時にチャンバに流されるが、前駆体の混合を防止する領域が流れと流れの間に存在するように空間的に分離される。空間的ALDでは、基板がガス分配プレートに対して動かされるか、又はその逆である。
1つのチャンバ内で方法の部分のうちの一又は複数が実行される実施形態では、プロセスは空間的ALDプロセスでありうる。上述の化学作用のうちの一又は複数は親和性(compatible)ではない(すなわち、基板表面以外での反応をもたらし、かつ/又は、チャンバに堆積する)ことがあるが、空間的分離により、試薬同士が気相で互いに曝露されないことが確実になる。例えば、時間的ALDは堆積チャンバのパージを伴う。しかし、実際には、追加試薬を流し込む前に余剰試薬の全てをチャンバ外にパージすることが不可能な時もある。したがって、チャンバ内に残存試薬があれば、それが反応しうる空間的分離があることで、余剰試薬がパージされる必要がなくなり、相互汚染は限定的になる。更に、チャンバをパージするのに多くの時間を要することがあり、したがって、パージステップをなくすことによってスループットが増大しうる。
この明細書全体を通じての、「一実施形態(one embodiment/an embodiment)」、「ある種の実施形態(certain embodiments)」、又は「一又は複数の実施形態(one or more embodiments)」に対する言及は、実施形態に関連して説明されている特定の特徴、構造、材料、又は特性が、本発明の少なくとも1つの実施形態に含まれることを意味する。ゆえに、この明細書全体の様々な箇所での「一又は複数の実施形態で(in one or more embodiments)」、「ある種の実施形態で(in certain embodiments)」、又は「一実施形態で(in one embodiment又はin an embodiment)」などの文言の表出は、必ずしも、本発明の同一の実施形態に言及するものではない。更に、特定の特徴、構造、材料、又は特性は、一又は複数の実施形態において、任意の好適な様態で組み合わされうる。
本書の発明は特定の実施形態を参照して説明されているが、これらの実施形態は本発明の原理及び用途の単なる例示であることを理解されたい。本発明の趣旨及び範囲から逸脱することなく、本発明の方法及び装置に様々な改変及び変形を行いうることが、当業者には明らかになろう。ゆえに、本発明は、付随する特許請求の範囲及びその均等物に含まれる改変例及び変形例を含むことが意図されている。

Claims (14)

  1. 処理方法であって、
    表面上に少なくとも1つのフィーチャを有する基板表面を、前記少なくとも一つのフィーチャの底部と比べて前記フィーチャの上部を優先的に毒化するために、成長抑制物質を含む有機系毒化剤に曝露することであって前記少なくとも一つのフィーチャが10:1以上のアスペクト比を有する、曝露することと、
    前記有機系毒化剤に曝露された後、前記少なくとも一つのフィーチャの上部における成長が前記フィーチャの底部において起こる成長の25%未満であり、かつ前記少なくとも一つのフィーチャにボイドもシームも存在しないように、ボトムアップ法で前記少なくとも一つのフィーチャ内にシリコンを含む膜を堆積させることとを含む、方法。
  2. 前記少なくとも一つのフィーチャ内に前記膜を堆積させることが、前記基板表面を前駆体と反応体に連続して曝露することを含む、請求項1に記載の方法。
  3. 前記基板表面を前記毒化剤に曝露することが、前記前駆体への各曝露の前に行われる、請求項2に記載の方法。
  4. 前記基板表面が、膜が約10Åから約50Åの範囲内の厚さだけ堆積した後に前記毒化剤に曝露される、請求項1から3のいずれか一項に記載の方法。
  5. 前記毒化剤がプラズマを含む、請求項1から3のいずれか一項に記載の方法。
  6. 前記プラズマが、NH、N、Ar、HO、CO、NO、H、及び/又はヒドラジンのうちの一又は複数を含む、請求項5に記載の方法。
  7. 前記毒化剤がプラズマに導入される、請求項1から3のいずれか一項に記載の方法。
  8. 半飽和量の前記毒化剤が前記プラズマに導入される、請求項7に記載の方法。
  9. 前記有機系毒化剤が、ヒドラジン、水、エチレンジアミン、エタノールアミン、アルキル、アミン、アルケン、エポキサイド、ポリアミン、及び/又はアルコールのうちの一又は複数を含む、請求項7に記載の方法。
  10. 前記有機系毒化剤が前記表面と熱反応する、請求項1から3のいずれか一項に記載の方法。
  11. 前記少なくとも一つのフィーチャの前記上部と優先的に反応するよう、前記有機系毒化剤が導入される、請求項10に記載の方法。
  12. 前記有機系毒化剤への前記曝露と、前記少なくとも一つのフィーチャを充填するための前記膜の堆積とを反復することを更に含む、請求項1から3のいずれか一項に記載の方法。
  13. 前記少なくとも一つのフィーチャ内に堆積された前記膜が、2を下回る湿式エッチング速度比を有する、請求項12に記載の方法。
  14. 処理方法であって、
    複数の区域を備える処理チャンバ内に、基板表面を有する基板を置くことであって、各区域がガスカーテンによって隣接する区域から分離されており、前記基板表面が、上部、底部、及び側面を伴い、かつ10:1以上のアスペクト比を伴う少なくとも1つのフィーチャを有する、基板を置くことと、
    前記処理チャンバの第1区域において、前記基板表面の少なくとも一部分を第1プロセス条件に曝露することであって、前記第1プロセス条件が、前記少なくとも一つのフィーチャの前記底部と比べて前記フィーチャの前記上部における膜成長を優先的に抑制するための、有機系毒化剤を含み、前記有機系毒化剤に曝露された後、前記少なくとも一つのフィーチャの上部における成長が前記フィーチャの底部において起こる成長の25%未満であり、かつ前記少なくとも一つのフィーチャにボイドもシームも存在しないように、第1プロセス条件に曝露することと、
    前記基板表面を、前記処理チャンバの第2区域へと、ガスカーテンを通して横方向に動かすことと、
    前記処理チャンバの前記第2区域において、前記基板表面を、シリコン前駆体を含む第2プロセス条件に曝露することと、
    前記基板表面を、前記処理チャンバの第3区域へと、ガスカーテンを通して横方向に動かすことと、
    前記処理チャンバの前記第3区域において、前記基板表面を第3プロセス条件に曝露することであって、前記第3プロセス条件が、SiO膜を形成するための酸素含有反応体を含む、第3プロセス条件に曝露することと、
    前記フィーチャを充填するために、前記基板表面の横方向移動を含む、前記第1区域、前記第2区域、及び前記第3区域への曝露を反復することとを含む、方法。
JP2018520533A 2015-10-23 2016-10-19 表面毒化処理によるボトムアップ式間隙充填 Active JP7125343B2 (ja)

Applications Claiming Priority (5)

Application Number Priority Date Filing Date Title
US201562245977P 2015-10-23 2015-10-23
US62/245,977 2015-10-23
US201562265734P 2015-12-10 2015-12-10
US62/265,734 2015-12-10
PCT/US2016/057671 WO2017070190A1 (en) 2015-10-23 2016-10-19 Bottom-up gap-fill by surface poisoning treatment

Publications (2)

Publication Number Publication Date
JP2018533218A JP2018533218A (ja) 2018-11-08
JP7125343B2 true JP7125343B2 (ja) 2022-08-24

Family

ID=58558075

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2018520533A Active JP7125343B2 (ja) 2015-10-23 2016-10-19 表面毒化処理によるボトムアップ式間隙充填

Country Status (6)

Country Link
US (1) US11028477B2 (ja)
JP (1) JP7125343B2 (ja)
KR (1) KR102527897B1 (ja)
CN (1) CN108140578B (ja)
TW (1) TWI732795B (ja)
WO (1) WO2017070190A1 (ja)

Families Citing this family (27)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9564309B2 (en) 2013-03-14 2017-02-07 Asm Ip Holding B.V. Si precursors for deposition of SiN at low temperatures
US9576792B2 (en) 2014-09-17 2017-02-21 Asm Ip Holding B.V. Deposition of SiN
US10410857B2 (en) 2015-08-24 2019-09-10 Asm Ip Holding B.V. Formation of SiN thin films
JP6545094B2 (ja) * 2015-12-17 2019-07-17 東京エレクトロン株式会社 成膜方法及び成膜装置
JP6548586B2 (ja) * 2016-02-03 2019-07-24 東京エレクトロン株式会社 成膜方法
US10246774B2 (en) * 2016-08-12 2019-04-02 Lam Research Corporation Additive for ALD deposition profile tuning in gap features
JP2019029333A (ja) * 2017-07-26 2019-02-21 東芝メモリ株式会社 プラズマ処理装置および半導体装置の製造方法
JP6832808B2 (ja) * 2017-08-09 2021-02-24 東京エレクトロン株式会社 シリコン窒化膜の成膜方法及び成膜装置
US10843618B2 (en) * 2017-12-28 2020-11-24 Lam Research Corporation Conformality modulation of metal oxide films using chemical inhibition
US10580645B2 (en) * 2018-04-30 2020-03-03 Asm Ip Holding B.V. Plasma enhanced atomic layer deposition (PEALD) of SiN using silicon-hydrohalide precursors
KR102627584B1 (ko) 2019-02-20 2024-01-22 에이에스엠 아이피 홀딩 비.브이. 기판 표면 내에 형성된 오목부를 충진하기 위한 주기적 증착 방법 및 장치
US11075266B2 (en) 2019-04-29 2021-07-27 International Business Machines Corporation Vertically stacked fin semiconductor devices
JP7246284B2 (ja) * 2019-08-15 2023-03-27 東京エレクトロン株式会社 成膜方法
KR102141547B1 (ko) * 2019-09-25 2020-09-14 솔브레인 주식회사 박막 제조 방법
KR20210079767A (ko) 2019-12-20 2021-06-30 삼성전자주식회사 물질막 형성 방법과, 집적회로 소자 및 그 제조 방법
US11776980B2 (en) * 2020-03-13 2023-10-03 Applied Materials, Inc. Methods for reflector film growth
US11967502B2 (en) * 2020-06-30 2024-04-23 Samsung Electronics Co., Ltd. Methods of forming material layer, semiconductor devices, and methods of manufacturing the same
KR20220081905A (ko) 2020-12-09 2022-06-16 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 증착용 실리콘 전구체
US11781218B2 (en) 2020-12-11 2023-10-10 Applied Materials, Inc. Defect free germanium oxide gap fill
KR20220092104A (ko) 2020-12-24 2022-07-01 삼성전자주식회사 집적회로 소자
KR102417431B1 (ko) * 2021-06-28 2022-07-06 주식회사 한화 보이드 또는 심의 발생을 억제하는 기판 처리 장치 및 방법
JP2023075832A (ja) * 2021-11-19 2023-05-31 東京エレクトロン株式会社 窒化膜の成膜方法及びプラズマ処理装置
WO2023114870A1 (en) * 2021-12-17 2023-06-22 Lam Research Corporation High pressure plasma inhibition
TW202346626A (zh) * 2022-02-15 2023-12-01 美商蘭姆研究公司 用以改善膜接縫品質及wer的高壓惰性氧化及原位退火製程
WO2024091844A1 (en) * 2022-10-24 2024-05-02 Lam Research Corporation Fluorine reduction is silicon-containing films
WO2024102763A1 (en) * 2022-11-08 2024-05-16 Lam Research Corporation A robust icefill method to provide void free trench fill for logic and memory applications
WO2024107567A1 (en) * 2022-11-17 2024-05-23 Lam Research Corporation Nonconformal films deposited within a recess using atomic layer deposition

Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20070141779A1 (en) 2005-11-01 2007-06-21 The Board Of Trustees Of The University Of Lllinois Methods for Coating and Filling High Aspect Ratio Recessed Features
JP2011100786A (ja) 2009-11-04 2011-05-19 Tokyo Electron Ltd 基板処理装置、基板処理方法及び記憶媒体

Family Cites Families (27)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6391785B1 (en) 1999-08-24 2002-05-21 Interuniversitair Microelektronica Centrum (Imec) Method for bottomless deposition of barrier layers in integrated circuit metallization schemes
JP3803528B2 (ja) * 2000-03-31 2006-08-02 株式会社東芝 半導体装置の製造方法及び半導体装置
US20040224504A1 (en) * 2000-06-23 2004-11-11 Gadgil Prasad N. Apparatus and method for plasma enhanced monolayer processing
US6802944B2 (en) * 2002-10-23 2004-10-12 Applied Materials, Inc. High density plasma CVD process for gapfill into high aspect ratio features
JP2006261434A (ja) * 2005-03-17 2006-09-28 L'air Liquide Sa Pour L'etude & L'exploitation Des Procede S Georges Claude シリコン酸化膜の形成方法
EP2036120A4 (en) * 2006-05-30 2012-02-08 Applied Materials Inc NOVEL PLASMA CURING AND PLASMA CURING PROCESS TO ENHANCE THE QUALITY OF SILICON DIOXIDE FILM
US7625820B1 (en) 2006-06-21 2009-12-01 Novellus Systems, Inc. Method of selective coverage of high aspect ratio structures with a conformal film
KR101593352B1 (ko) 2007-06-28 2016-02-15 인티그리스, 인코포레이티드 이산화규소 간극 충전용 전구체
US7541297B2 (en) * 2007-10-22 2009-06-02 Applied Materials, Inc. Method and system for improving dielectric film quality for void free gap fill
US8808456B2 (en) * 2008-08-29 2014-08-19 Tokyo Electron Limited Film deposition apparatus and substrate process apparatus
US8293647B2 (en) * 2008-11-24 2012-10-23 Applied Materials, Inc. Bottom up plating by organic surface passivation and differential plating retardation
US10256142B2 (en) * 2009-08-04 2019-04-09 Novellus Systems, Inc. Tungsten feature fill with nucleation inhibition
JP2011199021A (ja) * 2010-03-19 2011-10-06 Renesas Electronics Corp 半導体装置及びその製造方法
TWI541378B (zh) * 2010-10-16 2016-07-11 奧特科技公司 原子層沉積鍍膜系統及方法
JP5725454B2 (ja) 2011-03-25 2015-05-27 株式会社アルバック NiSi膜の形成方法、シリサイド膜の形成方法、シリサイドアニール用金属膜の形成方法、真空処理装置、及び成膜装置
US8524600B2 (en) * 2011-03-31 2013-09-03 Applied Materials, Inc. Post deposition treatments for CVD cobalt films
US8592005B2 (en) * 2011-04-26 2013-11-26 Asm Japan K.K. Atomic layer deposition for controlling vertical film growth
US8921228B2 (en) 2011-10-04 2014-12-30 Imec Method for selectively depositing noble metals on metal/metal nitride substrates
TWI627303B (zh) 2011-11-04 2018-06-21 Asm國際股份有限公司 將摻雜氧化矽沉積在反應室內的基底上的方法
US8754531B2 (en) * 2012-03-14 2014-06-17 Nanya Technology Corp. Through-silicon via with a non-continuous dielectric layer
US8778801B2 (en) * 2012-09-21 2014-07-15 Taiwan Semiconductor Manufacturing Company, Ltd. Method for forming seed layer structure
US9117657B2 (en) * 2013-06-07 2015-08-25 Asm Ip Holding B.V. Method for filling recesses using pre-treatment with hydrocarbon-containing gas
US9425078B2 (en) * 2014-02-26 2016-08-23 Lam Research Corporation Inhibitor plasma mediated atomic layer deposition for seamless feature fill
US9748137B2 (en) 2014-08-21 2017-08-29 Lam Research Corporation Method for void-free cobalt gap fill
US9349637B2 (en) * 2014-08-21 2016-05-24 Lam Research Corporation Method for void-free cobalt gap fill
US9997405B2 (en) 2014-09-30 2018-06-12 Lam Research Corporation Feature fill with nucleation inhibition
US9564312B2 (en) * 2014-11-24 2017-02-07 Lam Research Corporation Selective inhibition in atomic layer deposition of silicon-containing films

Patent Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20070141779A1 (en) 2005-11-01 2007-06-21 The Board Of Trustees Of The University Of Lllinois Methods for Coating and Filling High Aspect Ratio Recessed Features
JP2011100786A (ja) 2009-11-04 2011-05-19 Tokyo Electron Ltd 基板処理装置、基板処理方法及び記憶媒体

Also Published As

Publication number Publication date
TWI732795B (zh) 2021-07-11
US11028477B2 (en) 2021-06-08
US20170114459A1 (en) 2017-04-27
CN108140578B (zh) 2022-07-08
WO2017070190A1 (en) 2017-04-27
CN108140578A (zh) 2018-06-08
KR102527897B1 (ko) 2023-04-28
JP2018533218A (ja) 2018-11-08
TW201725627A (zh) 2017-07-16
KR20180061387A (ko) 2018-06-07

Similar Documents

Publication Publication Date Title
JP7125343B2 (ja) 表面毒化処理によるボトムアップ式間隙充填
US10319583B2 (en) Selective deposition of silicon nitride films for spacer applications
US10134581B2 (en) Methods and apparatus for selective dry etch
JP6867382B2 (ja) 共形及び間隙充填型のアモルファスシリコン薄膜の堆積
US10147599B2 (en) Methods for depositing low K and low wet etch rate dielectric thin films
WO2017034855A1 (en) High temperature thermal ald silicon nitride films
US11174551B2 (en) Methods for depositing tungsten on halosilane based metal silicide nucleation layers
CN110088875B (zh) 无成核的间隙填充ald工艺
WO2018191484A1 (en) Method and apparatus for deposition of low-k films
US11515144B2 (en) In-situ film annealing with spatial atomic layer deposition
US11359281B2 (en) Selective deposition of SiCON by plasma ALD
TWI833804B (zh) 含鋁膜的間隙填充
KR102676605B1 (ko) 공간적 원자 층 증착을 이용한 인-시튜 막 어닐링

Legal Events

Date Code Title Description
A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20191015

A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20201126

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20201222

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20210318

A02 Decision of refusal

Free format text: JAPANESE INTERMEDIATE CODE: A02

Effective date: 20210803

C60 Trial request (containing other claim documents, opposition documents)

Free format text: JAPANESE INTERMEDIATE CODE: C60

Effective date: 20211202

C22 Notice of designation (change) of administrative judge

Free format text: JAPANESE INTERMEDIATE CODE: C22

Effective date: 20220531

C22 Notice of designation (change) of administrative judge

Free format text: JAPANESE INTERMEDIATE CODE: C22

Effective date: 20220628

C23 Notice of termination of proceedings

Free format text: JAPANESE INTERMEDIATE CODE: C23

Effective date: 20220705

C03 Trial/appeal decision taken

Free format text: JAPANESE INTERMEDIATE CODE: C03

Effective date: 20220802

C30A Notification sent

Free format text: JAPANESE INTERMEDIATE CODE: C3012

Effective date: 20220802

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20220812

R150 Certificate of patent or registration of utility model

Ref document number: 7125343

Country of ref document: JP

Free format text: JAPANESE INTERMEDIATE CODE: R150