JP6832808B2 - シリコン窒化膜の成膜方法及び成膜装置 - Google Patents

シリコン窒化膜の成膜方法及び成膜装置 Download PDF

Info

Publication number
JP6832808B2
JP6832808B2 JP2017154743A JP2017154743A JP6832808B2 JP 6832808 B2 JP6832808 B2 JP 6832808B2 JP 2017154743 A JP2017154743 A JP 2017154743A JP 2017154743 A JP2017154743 A JP 2017154743A JP 6832808 B2 JP6832808 B2 JP 6832808B2
Authority
JP
Japan
Prior art keywords
gas
region
rotary table
silicon nitride
nitride film
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Active
Application number
JP2017154743A
Other languages
English (en)
Other versions
JP2019033230A (ja
Inventor
寿 加藤
寿 加藤
高橋 豊
豊 高橋
久保 万身
万身 久保
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Tokyo Electron Ltd
Original Assignee
Tokyo Electron Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Tokyo Electron Ltd filed Critical Tokyo Electron Ltd
Priority to JP2017154743A priority Critical patent/JP6832808B2/ja
Priority to KR1020180085128A priority patent/KR102278354B1/ko
Priority to US16/057,197 priority patent/US10643837B2/en
Publication of JP2019033230A publication Critical patent/JP2019033230A/ja
Application granted granted Critical
Publication of JP6832808B2 publication Critical patent/JP6832808B2/ja
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/0217Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon nitride not containing oxygen, e.g. SixNy or SixByNz
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/02274Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition in the presence of a plasma [PECVD]
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/04Coating on selected surface areas, e.g. using masks
    • C23C16/045Coating cavities or hollow spaces, e.g. interior of tubes; Infiltration of porous substrates
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/34Nitrides
    • C23C16/345Silicon nitride
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/448Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for generating reactive gas streams, e.g. by evaporation or sublimation of precursor materials
    • C23C16/452Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for generating reactive gas streams, e.g. by evaporation or sublimation of precursor materials by activating reactive gas streams before their introduction into the reaction chamber, e.g. by ionisation or addition of reactive species
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45527Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations
    • C23C16/45534Use of auxiliary reactants other than used for contributing to the composition of the main film, e.g. catalysts, activators or scavengers
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45527Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations
    • C23C16/45536Use of plasma, radiation or electromagnetic fields
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45544Atomic layer deposition [ALD] characterized by the apparatus
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45544Atomic layer deposition [ALD] characterized by the apparatus
    • C23C16/45548Atomic layer deposition [ALD] characterized by the apparatus having arrangements for gas injection at different locations of the reactor for each ALD half-reaction
    • C23C16/45551Atomic layer deposition [ALD] characterized by the apparatus having arrangements for gas injection at different locations of the reactor for each ALD half-reaction for relative movement of the substrate and the gas injectors or half-reaction reactor compartments
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/458Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for supporting substrates in the reaction chamber
    • C23C16/4582Rigid and flat substrates, e.g. plates or discs
    • C23C16/4583Rigid and flat substrates, e.g. plates or discs the substrate being supported substantially horizontally
    • C23C16/4584Rigid and flat substrates, e.g. plates or discs the substrate being supported substantially horizontally the substrate being rotated
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/50Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges
    • C23C16/505Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges using radio frequency discharges
    • C23C16/507Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges using radio frequency discharges using external electrodes, e.g. in tunnel type reactors
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/52Controlling or regulating the coating process
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • H01J37/321Radio frequency generated discharge the radio frequency energy being inductively coupled to the plasma
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32357Generation remote from the workpiece, e.g. down-stream
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/3244Gas supply means
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/3244Gas supply means
    • H01J37/32449Gas control, e.g. control of the gas flow
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32458Vessel
    • H01J37/32513Sealing means, e.g. sealing between different parts of the vessel
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32715Workpiece holder
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32715Workpiece holder
    • H01J37/32724Temperature
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32733Means for moving the material to be treated
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02205Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
    • H01L21/02208Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si
    • H01L21/02211Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound being a silane, e.g. disilane, methylsilane or chlorosilane
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/0228Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition deposition by cyclic CVD, e.g. ALD, ALE, pulsed CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02518Deposited layers
    • H01L21/02521Materials
    • H01L21/02538Group 13/15 materials
    • H01L21/0254Nitrides
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02612Formation types
    • H01L21/02617Deposition types
    • H01L21/0262Reduction or decomposition of gaseous compounds, e.g. CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/321After treatment
    • H01L21/3211Nitridation of silicon-containing layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/687Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches
    • H01L21/68714Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support
    • H01L21/68764Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support characterised by a movable susceptor, stage or support, others than those only rotating on their own vertical axis, e.g. susceptors on a rotating caroussel
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/687Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches
    • H01L21/68714Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support
    • H01L21/68771Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support characterised by supporting more than one semiconductor substrate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76829Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers
    • H01L21/76831Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers in via holes or trenches, e.g. non-conductive sidewall liners
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J2237/00Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
    • H01J2237/20Positioning, supporting, modifying or maintaining the physical state of objects being observed or treated
    • H01J2237/202Movement
    • H01J2237/20214Rotation
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J2237/00Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
    • H01J2237/32Processing objects by plasma generation
    • H01J2237/33Processing objects by plasma generation characterised by the type of processing
    • H01J2237/332Coating
    • H01J2237/3321CVD [Chemical Vapor Deposition]

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Plasma & Fusion (AREA)
  • Power Engineering (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Materials Engineering (AREA)
  • General Chemical & Material Sciences (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • Analytical Chemistry (AREA)
  • Inorganic Chemistry (AREA)
  • Electromagnetism (AREA)
  • Chemical Vapour Deposition (AREA)
  • Formation Of Insulating Films (AREA)

Description

本発明は、シリコン窒化膜の成膜方法及び成膜装置に関する。
従来から、表面に微細凹部が形成された被処理基板に、成膜しようとする窒化膜を構成する元素と塩素とを含有する成膜原料ガスを吸着させる吸着工程と、吸着された成膜原料ガスを窒化活性種により窒化させる窒化工程とを繰り返して微細凹部内に窒化膜を形成する窒化膜の形成方法において、窒化工程は、窒化活性種としてNH活性種およびN活性種を生成し、これらの濃度をコントロールすることにより、微細凹部内で成膜原料ガスが吸着する領域を変化させる窒化膜の形成方法が知られている(例えば、特許文献1参照)。
かかる窒化膜の形成方法では、成膜段階に先立って、窒化工程をNH活性種を主体として行い、コンフォーマルな窒化膜を形成する初期成膜段階を行い、その後、窒化工程において、N活性種の濃度が高い状態から連続的にN活性種の濃度を減少させ、微細凹部の底部から窒化膜を成長させる成膜段階を行う。これにより、トレンチ底部から窒化膜をボトムアップ成長させ、その後NH活性種が高い状態でコンフォーマルな成長へと制御し、微細トレンチ内部にボイドやシームが形成されることなく窒化膜を埋め込むことができる。
特開2017−92098号公報
しかしながら、上述の特許文献1に記載の窒化膜の形成方法では、成膜の段階に応じてNH活性種及びN活性種の濃度を変化させる必要があるため、成膜中のガスの供給制御が難しい場合がある。
また、ボトムアップ成長により埋め込み成膜を行った場合、底部は膜厚が厚いのに対し、上部は膜厚が薄くなるため、プラズマによる膜の改質を行った場合、上部は十分に改質がなされるのに対し、底部の改質が不十分となり、膜質が低下するおそれがある。
そこで、本発明は、高品質な膜質で窪みパターンにボトムアップ成長により埋め込み成膜を行うことができるシリコン窒化膜の成膜方法を提供することを目的とする。
上記目的を達成するため、本発明の一態様に係るシリコン窒化膜の成膜方法は、基板の表面に形成された窪みパターンにシリコン窒化膜を埋め込むシリコン窒化膜の成膜方法であって、
前記窪みパターンの底部から上部に向かって吸着量が増加するように塩素ラジカルを吸着させ、第1の吸着阻害領域を形成する工程と、
前記窪みパターンを含む前記基板の表面にシリコン及び塩素を含有する原料ガスを供給し、前記基板の表面の前記第1の吸着阻害領域が形成されていない吸着領域に前記原料ガスを吸着させる工程と、
前記吸着領域に吸着した前記原料ガスをプラズマにより活性化された窒化ガスで窒化し、前記窪みパターンの前記底部から前記上部に向かって膜厚が薄くなるV字の断面形状を有するシリコン窒化膜の分子層を堆積させる工程と、
前記窪みパターンの前記底部から前記上部に向かって吸着量が増加するように前記シリコン窒化膜の分子層上に塩素ラジカルを吸着させ、第2の吸着阻害領域を形成する工程と、
前記窪みパターンを含む前記基板の表面にプラズマにより活性化された窒化ガスを供給し、前記第2の吸着阻害領域を消滅させるとともに前記シリコン窒化膜の分子層を窒化して改質する工程と、を有する。
本発明によれば、高品質のシリコン窒化膜をボトムアップ成長でボイドを抑制しつつ窪みパターン内に埋め込むことができる。
本発明の実施形態に係る成膜装置を示す概略断面図である。 本発明の実施形態に係る成膜装置の真空容器内の構成を示す概略斜視図である。 本発明の実施形態に係る成膜装置の真空容器内の構成を示す概略平面図である。 本発明の実施形態に係る成膜装置の回転テーブルの同心円に沿った真空容器の概略断面図である。 本発明の実施形態に係る成膜装置の別の概略断面図である。 本発明の実施形態に係る成膜装置に設けられるプラズマ発生源を示す概略断面図である。 本発明の実施形態に係る成膜装置に設けられるプラズマ発生器を示す他の概略断面図である。 本発明の実施形態に係る成膜装置に設けられるプラズマ発生器を示す概略上面図である。 本発明の実施形態に係る成膜装置の一例を示す概略平面図である。 本発明の実施形態に係る成膜装置における第3の処理領域P3を説明するための一部断面図である。 シャワーヘッド部の下面の一例を示した平面図である。 本発明の実施形態に係るシリコン窒化膜の成膜方法の一例の一連の工程を示した図である。 本発明の実施形態に係るシリコン窒化膜の成膜方法の一例のシーケンスを示した図である。 本発明の実施形態に係るシリコン窒化膜の成膜方法によりトレンチTを埋め込んだ例を示した図である。
以下、図面を参照して、本発明を実施するための形態の説明を行う。
まず、本発明の実施形態に係る成膜装置について説明する。図1から図3までを参照すると、本実施形態に係る成膜装置は、ほぼ円形の平面形状を有する扁平な真空容器1と、真空容器1内に設けられ、真空容器1の中心に回転中心を有する回転テーブル2と、を備えている。真空容器1は、内部に収容したウエハの表面上に成膜処理を行うための処理室である。真空容器1は、有底の円筒形状を有する容器本体12と、容器本体12の上面に対して、例えばOリングなどのシール部材13(図1)を介して気密に着脱可能に配置される天板11とを有している。
回転テーブル2は、中心部にて円筒形状のコア部21に固定され、このコア部21は、鉛直方向に伸びる回転軸22の上端に固定されている。回転軸22は真空容器1の底部14を貫通し、下端が回転軸22(図1)を鉛直軸回りに回転させる駆動部23に取り付けられている。回転軸22及び駆動部23は、上面が開口した筒状のケース体20内に収納されている。ケース体20はその上面に設けられたフランジ部分が真空容器1の底部14の下面に気密に取り付けられており、ケース体20の内部雰囲気と外部雰囲気との気密状態が維持されている。
回転テーブル2の表面部には、図2及び図3に示すように回転方向(周方向)に沿って複数(図示の例では5枚)の基板である半導体ウエハ(以下「ウエハ」という)Wを載置するための円形状の凹部24が設けられている。なお、図3には便宜上1個の凹部24だけにウエハWを示す。この凹部24は、ウエハWの直径よりも僅かに例えば4mm大きい内径と、ウエハWの厚さにほぼ等しい深さとを有している。したがって、ウエハWが凹部24に収容されると、ウエハWの表面と回転テーブル2の表面(ウエハWが載置されない領域)とが同じ高さになる。凹部24の底面には、ウエハWの裏面を支えてウエハWを昇降させるための例えば3本の昇降ピンが貫通する貫通孔(いずれも図示せず)が形成されている。
図2及び図3は、真空容器1内の構造を説明するための図であり、説明の便宜上、天板11の図示を省略している。図2及び図3に示すように、回転テーブル2の上方には、各々例えば石英からなる反応ガスノズル31、反応ガスノズル32、反応ガスノズル33、及び分離ガスノズル41、42が真空容器1の周方向(回転テーブル2の回転方向(図3の矢印A))に互いに間隔をおいて配置されている。図示の例では、後述の搬送口15から時計回り(回転テーブル2の回転方向)に、分離ガスノズル41、反応ガスノズル31、分離ガスノズル42、反応ガスノズル32及び反応ガスノズル33がこの順番で配列されている。これらのノズル31、32、33、41、42は、各ノズル31、32、33、41、42の基端部であるガス導入ポート31a、32a、33a、41a、42a(図3)を容器本体12の外周壁に固定することにより、真空容器1の外周壁から真空容器1内に導入され、容器本体12の半径方向に沿って回転テーブル2に対して水平に伸びるように取り付けられている。
本実施形態においては、図3に示されるように、反応ガスノズル31は、配管110及び流量制御器120などを介して、原料ガスの供給源130に接続されている。反応ガスノズル32は、配管111及び流量制御器121などを介して、窒化ガスの供給源131に接続されている。更に、反応ガスノズル33は、配管112及び流量制御器122などを介して、塩素ガス(Cl)の供給源132に接続されている。分離ガスノズル41、42は、いずれも不図示の配管及び流量制御バルブなどを介して、分離ガスの供給源(図示せず)に接続されている。分離ガスとしては、ヘリウム(He)やアルゴン(Ar)などの希ガスや窒素(N)ガスなどの不活性ガスを用いることができる。本実施形態では、Arガスを用いる例を挙げて説明する。
反応ガスノズル31、32、33には、回転テーブル2に向かって開口する複数のガス吐出孔35が、反応ガスノズル31、32、33の長さ方向に沿って、例えば10mmの間隔で配列されている。反応ガスノズル31の下方領域は、原料ガスをウエハWに吸着させるための第1の処理領域P1となる。反応ガスノズル32の下方領域は、第1の処理領域P1においてウエハWに吸着した原料ガスを窒化する窒化ガスを供給し、窒化物の分子層を生成する第2の処理領域P2となる。なお、窒化物の分子層が、成膜される窒化膜を構成する。但し、第2の処理領域P2における窒化ガスの供給は、ウエハWが回転テーブル2上に載置され、ウエハWに原料ガスが未だ供給されていない初期段階でも行われ、この場合には、ウエハWの表面を窒化することになる。反応ガスノズル33の下方領域は、第2の処理領域P2において生成した反応生成物(窒化膜)又は窒化されたウエハWにプ塩素ガスラジカルを供給し、ウエハWの表面に吸着阻害領域を形成する第3の処理領域P3となる。ここで、第1の処理領域P1は、原料ガスを供給する領域であるので、原料ガス供給領域P1と呼んでもよいこととする。同様に、第2の処理領域P2は、原料ガスと反応して窒化物を生成可能な窒化ガスを供給する領域であるので、窒化ガス供給領域P2と呼んでもよいこととする。また、第3の処理領域P3は、塩素ラジカルを供給する領域であるので、塩素ラジカル供給領域P3と呼んでもよいこととする。
なお、第3の処理領域P3の周辺、例えば上方又は側方には、プラズマ発生器90が設けられる。また、第2の処理領域P2の上方には、プラズマ発生器80が設けられる。図3において、プラズマ発生器80、90は、破線で簡略化して示されている。プラズマ発生器90は、塩素ラジカルを生成するためのリモートプラズマ発生装置から構成される。一方、プラズマ発生器80は、特に種類は問わないが、例えば、ICP(Inductively Coupled Plasma、誘導結合型)プラズマ発生装置から構成されてもよい。なお、プラズマ発生器80、90の詳細については後述する。
なお、原料ガスとしては、シリコン及び塩素を含有するガスが選択される。例えば、ジクロロシラン(DCS、SiHCl)等のシリコン及び塩素を含有するガスが選択される。なお、原料ガスは、シリコン及び塩素を含有するガスであれば、種々のガスが用いられてよい。例えば、ジクロロシランの他、用途に応じて、モノクロロシラン(SiHCl)、トリクロロシラン(SiHCl)、ヘキサクロロジシラン(SiCl)等のクロロシラン系ガスを用いてもよい。DCSは、そのようなシリコン及び塩素を含有するガスの一例として挙げられている。
また、窒化ガスとしては、一般的にはアンモニア(NH)含有ガスが選択される。その他、窒化ガスをプラズマにより活性化して供給する場合には、窒素(N)含有ガスが選択される場合もある。なお、窒化ガスは、アンモニアの他、Ar等のキャリアガスを含んでもよい。
第3の反応ノズル33から供給される塩素ラジカルは、第1の反応ガスノズル31から供給される原料ガスがウエハWに吸着するのを阻害する吸着阻害領域をウエハの表面上に形成する役割を有する。本実施形態に係る成膜装置及び成膜方法においては、吸着阻害領域を広い領域に形成し、原料ガスがウエハWの表面にコンフォーマルに、均一に吸着するように制御する。なお、本実施形態に係る成膜方法の詳細については後述する。また、図2及び図3においては、水平に延びるノズルが第3の反応ノズル33として示されているが、第3の反応ノズル33は、シャワーヘッドとして構成されてもよい。図2及び図3においては、第3の反応ノズル33が水平に延びるノズルとして構成された例について説明し、シャワーヘッドとして構成された場合については後述する。
図2及び図3を参照すると、真空容器1内には2つの凸状部4が設けられている。凸状部4は、分離ガスノズル41、42とともに分離領域Dを構成するため、後述のとおり、回転テーブル2に向かって突出するように天板11の裏面に取り付けられている。また、凸状部4は、頂部が円弧状に切断された扇型の平面形状を有し、本実施形態においては、内円弧が突出部5(後述)に連結し、外円弧が、真空容器1の容器本体12の内周面に沿うように配置されている。
図4は、反応ガスノズル31から反応ガスノズル32まで回転テーブル2の同心円に沿った真空容器1の断面を示している。図示のとおり、天板11の裏面に凸状部4が取り付けられているため、真空容器1内には、凸状部4の下面である平坦な低い天井面44(第1の天井面)と、この天井面44の周方向両側に位置する、天井面44よりも高い天井面45(第2の天井面)とが存在する。天井面44は、頂部が円弧状に切断された扇型の平面形状を有している。また、図示のとおり、凸状部4には周方向中央において、半径方向に伸びるように形成された溝部43が形成され、分離ガスノズル42が溝部43内に収容されている。もう一つの凸状部4にも同様に溝部43が形成され、ここに分離ガスノズル41が収容されている。また、高い天井面45の下方の空間に反応ガスノズル31、32がそれぞれ設けられている。これらの反応ガスノズル31、32は、天井面45から離間してウエハWの近傍に設けられている。なお、図4に示すように、高い天井面45の下方の右側の空間481に反応ガスノズル31が設けられ、高い天井面45の下方の左側の空間482に反応ガスノズル32が設けられる。
また、凸状部4の溝部43に収容される分離ガスノズル41、42には、回転テーブル2に向かって開口する複数のガス吐出孔42h(図4参照)が、分離ガスノズル41、42の長さ方向に沿って、例えば10mmの間隔で配列されている。
天井面44は、狭い空間である分離空間Hを回転テーブル2に対して形成している。分離ガスノズル42の吐出孔42hからArガスが供給されると、このArガスは、分離空間Hを通して空間481及び空間482へ向かって流れる。このとき、分離空間Hの容積は空間481及び482の容積よりも小さいため、Arガスにより分離空間Hの圧力を空間481及び482の圧力に比べて高くすることができる。すなわち、空間481及び482の間に圧力の高い分離空間Hが形成される。また、分離空間Hから空間481及び482へ流れ出るArガスが、第1の領域P1からの第1の反応ガスと、第2の領域P2からの第2の反応ガスとに対するカウンターフローとして働く。したがって、第1の領域P1からの第1の反応ガスと、第2の領域P2からの第2の反応ガスとが分離空間Hにより分離される。よって、真空容器1内において第1の反応ガスと第2の反応ガスとが混合し、反応することが抑制される。
なお、回転テーブル2の上面に対する天井面44の高さh1は、成膜時の真空容器1内の圧力、回転テーブル2の回転速度、供給する分離ガス(Arガス)の供給量などを考慮し、分離空間Hの圧力を空間481及び482の圧力に比べて高くするのに適した高さに設定することが好ましい。
一方、天板11の下面には、回転テーブル2を固定するコア部21の外周を囲む突出部5(図2及び図3)が設けられている。この突出部5は、本実施形態においては、凸状部4における回転中心側の部位と連続しており、その下面が天井面44と同じ高さに形成されている。
先に参照した図1は、図3のI−I'線に沿った断面図であり、天井面45が設けられている領域を示している。一方、図5は、天井面44が設けられている領域を示す断面図である。図5に示すように、扇型の凸状部4の周縁部(真空容器1の外縁側の部位)には、回転テーブル2の外端面に対向するようにL字型に屈曲する屈曲部46が形成されている。この屈曲部46は、凸状部4と同様に、分離領域Dの両側から反応ガスが侵入することを抑制して、両反応ガスの混合を抑制する。扇型の凸状部4は天板11に設けられ、天板11が容器本体12から取り外せるようになっていることから、屈曲部46の外周面と容器本体12との間には僅かに隙間がある。屈曲部46の内周面と回転テーブル2の外端面との隙間、及び屈曲部46の外周面と容器本体12との隙間は、例えば回転テーブル2の上面に対する天井面44の高さと同様の寸法に設定されている。
容器本体12の内周壁は、分離領域Dにおいては図4に示すように屈曲部46の外周面と接近して垂直面に形成されているが、分離領域D以外の部位においては、図1に示すように例えば回転テーブル2の外端面と対向する部位から底部14に亘って外方側に窪んでいる。以下、説明の便宜上、概ね矩形の断面形状を有する窪んだ部分を排気領域と記す。具体的には、第1の処理領域P1に連通する排気領域を第1の排気領域E1と記し、第2及び第3の処理領域P2、P3に連通する領域を第2の排気領域E2と記す。これらの第1の排気領域E1及び第2の排気領域E2の底部には、図1から図3に示すように、それぞれ、第1の排気口610及び第2の排気口620が形成されている。第1の排気口610及び第2の排気口620は、図1に示すように各々排気管630を介して真空排気手段である例えば真空ポンプ640に接続されている。また、真空ポンプ640と排気管630との間に、圧力制御器650が設けられる。
なお、図2及び図3に示されるように、第2の処理領域P2と第3の処理領域P3との間に分離領域Hは設けられていないが、図3においては、プラズマ発生器80、90として示された領域に、回転テーブル2上の空間を仕切る筐体が設けられる。これにより、第2の処理領域P2と第3の処理領域P3との空間は仕切られる。なお、この点の詳細は後述する。
回転テーブル2と真空容器1の底部14との間の空間には、図1及び図5に示すように加熱手段であるヒータユニット7が設けられ、回転テーブル2を介して回転テーブル2上のウエハWが、プロセスレシピで決められた温度(例えば400℃)に加熱される。回転テーブル2の周縁付近の下方側には、回転テーブル2の上方空間から排気領域E1、E2に至るまでの雰囲気とヒータユニット7が置かれている雰囲気とを区画して回転テーブル2の下方領域へのガスの侵入を抑えるために、リング状のカバー部材71が設けられている(図5)。このカバー部材71は、回転テーブル2の外縁部及び外縁部よりも外周側を下方側から臨むように設けられた内側部材71aと、この内側部材71aと真空容器1の内壁面との間に設けられた外側部材71bと、を備えている。外側部材71bは、分離領域Dにおいて凸状部4の外縁部に形成された屈曲部46の下方にて、屈曲部46と近接して設けられ、内側部材71aは、回転テーブル2の外縁部下方(及び外縁部よりも僅かに外側の部分の下方)において、ヒータユニット7を全周に亘って取り囲んでいる。
ヒータユニット7が配置されている空間よりも回転中心寄りの部位における底部14は、回転テーブル2の下面の中心部付近におけるコア部21に接近するように上方側に突出して突出部12aをなしている。この突出部12aとコア部21との間は狭い空間になっており、また底部14を貫通する回転軸22の貫通穴の内周面と回転軸22との隙間が狭くなっていて、これら狭い空間はケース体20に連通している。そしてケース体20にはパージガスであるArガスを狭い空間内に供給してパージするためのパージガス供給管72が設けられている。また真空容器1の底部14には、ヒータユニット7の下方において周方向に所定の角度間隔で、ヒータユニット7の配置空間をパージするための複数のパージガス供給管73が設けられている(図5には一つのパージガス供給管73を示す)。また、ヒータユニット7と回転テーブル2との間には、ヒータユニット7が設けられた領域へのガスの侵入を抑えるために、外側部材71bの内周壁(内側部材71aの上面)から突出部12aの上端部との間を周方向に亘って覆う蓋部材7aが設けられている。蓋部材7aは例えば石英で作製することができる。
また、真空容器1の天板11の中心部には分離ガス供給管51が接続されていて、天板11とコア部21との間の空間52に分離ガスであるArガスを供給するように構成されている。この空間52に供給された分離ガスは、突出部5と回転テーブル2との狭い隙間50を介して回転テーブル2のウエハ載置領域側の表面に沿って周縁に向けて吐出される。空間50は分離ガスにより空間481及び空間482よりも高い圧力に維持され得る。したがって、空間50により、第1の処理領域P1に供給される原料ガスと第2の処理領域P2に供給される窒化ガスとが、中心領域Cを通って混合することが抑制される。すなわち、空間50(又は中心領域C)は分離空間H(又は分離領域D)と同様に機能することができる。
さらに、真空容器1の側壁には、図2、図3に示すように、外部の搬送アーム10と回転テーブル2との間で基板であるウエハWの受け渡しを行うための搬送口15が形成されている。この搬送口15は図示しないゲートバルブにより開閉される。また回転テーブル2におけるウエハ載置領域である凹部24はこの搬送口15に対向する位置にて搬送アーム10との間でウエハWの受け渡しが行われることから、回転テーブル2の下方側において受け渡し位置に対応する部位に、凹部24を貫通してウエハWを裏面から持ち上げるための受け渡し用の昇降ピン及びその昇降機構(いずれも図示せず)が設けられている。
次に、図6から図8までを参照しながら、プラズマ発生器80について説明する。図6は、回転テーブル2の半径方向に沿ったプラズマ発生器80の概略断面図であり、図7は、回転テーブル2の半径方向と直交する方向に沿ったプラズマ発生器80の概略断面図であり、図8は、プラズマ発生器80の概略を示す上面図である。図示の便宜上、これらの図において一部の部材を簡略化している。
図6を参照すると、プラズマ発生器80は、高周波透過性の材料で作製され、上面から窪んだ凹部を有し、天板11に形成された開口部11aに嵌め込まれるフレーム部材81と、フレーム部材81の凹部内に収容され、上部が開口した略箱状の形状を有するファラデー遮蔽板82と、ファラデー遮蔽板82の底面上に配置される絶縁板83と、絶縁板83の上方に支持され、略八角形の上面形状を有するコイル状のアンテナ85とを備える。
天板11の開口部11aは複数の段部を有しており、そのうちの一つの段部には全周に亘って溝部が形成され、この溝部に例えばO−リングなどのシール部材81aが嵌め込まれている。一方、フレーム部材81は、開口部11aの段部に対応する複数の段部を有しており、フレーム部材81を開口部11aに嵌め込むと、複数の段部のうちの一つの段部の裏面が、開口部11aの溝部に嵌め込まれたシール部材81aと接し、これにより、天板11とフレーム部材81との間の気密性が維持される。また、図6に示すように、天板11の開口部11aに嵌め込まれるフレーム部材81の外周に沿った押圧部材81cが設けられ、これにより、フレーム部材81が天板11に対して下方に押し付けられる。このため、天板11とフレーム部材81との間の気密性がより確実に維持される。
フレーム部材81の下面は、真空容器1内の回転テーブル2に対向しており、その下面の外周には全周に亘って下方に(回転テーブル2に向かって)突起する突起部81bが設けられている。突起部81bの下面は回転テーブル2の表面に近接しており、突起部81bと、回転テーブル2の表面と、フレーム部材81の下面とにより回転テーブル2の上方に空間(以下、第3の処理領域P3)が画成されている。なお、突起部81bの下面と回転テーブル2の表面との間隔は、分離空間H(図4)における天井面11の回転テーブル2の上面に対する高さh1とほぼ同じであって良い。
また、この第2の処理領域P2には、突起部81bを貫通した反応ガスノズル32が延びている。反応ガスノズル32には、本実施形態においては、図6に示すように、窒化ガスが充填される窒化ガス供給源131が、流量制御器121を介して配管111により接続されている。窒化ガスは、例えば、アンモニア(NH)を含有するガスであってもよく、具体的には、アンモニア(NH)とアルゴン(Ar)の混合ガスであってもよい。流量制御器121により流量制御された窒化ガスが、プラズマ発生器80で活性化され、所定の流量で第2の処理領域P2に供給される。なお、アンモニアとアルゴンの混合ガスが窒化ガスとして用いられる場合、アンモニアとアルゴンは別々に供給されてもよいが、図6においては、説明の便宜上、混合ガスの状態で反応ガスノズル32に供給された状態を例示している。
反応ガスノズル32には、その長手方向に沿って所定の間隔(例えば10mm)で複数の吐出孔35が形成されており、吐出孔35から上述の塩素ガスが吐出される。吐出孔35は、図7に示すように、回転テーブル2に対して垂直な方向から回転テーブル2の回転方向の上流側に向かって傾いている。このため、反応ガスノズル32から供給されるガスは、回転テーブル2の回転方向と逆の方向に、具体的には、突起部81bの下面と回転テーブル2の表面との間の隙間に向かって吐出される。これにより、回転テーブル2の回転方向に沿ってプラズマ発生器80よりも上流側に位置する天井面45の下方の空間から反応ガスや分離ガスが、第2の処理領域P2内へ流れ込むのが抑止される。また、上述のとおり、フレーム部材81の下面の外周に沿って形成される突起部81bが回転テーブル2の表面に近接しているため、反応ガスノズル32からのガスにより第2の処理領域P2内の圧力を容易に高く維持することができる。これによっても、反応ガスや分離ガスが第2の処理領域P2内へ流れ込むのが抑止される。
このように、フレーム部材81は、第2の処理領域P2を周囲から分離するための役割を担っている。よって、本発明の実施形態に係る成膜装置は、プラズマ発生器80とともに、第2の処理領域P2を区画するため、フレーム部材81を備えているものとする。
ファラデー遮蔽板82は、金属などの導電性材料から作製され、図示は省略するが接地されている。図8に明確に示されるように、ファラデー遮蔽板82の底部には、複数のスリット82sが形成されている。各スリット82sは、略八角形の平面形状を有するアンテナ85の対応する辺とほぼ直交するように延びている。
また、ファラデー遮蔽板82は、図7及び図8に示すように、上端の2箇所において外側に折れ曲がる支持部82aを有している。支持部82aがフレーム部材81の上面に支持されることにより、フレーム部材81内の所定の位置にファラデー遮蔽板82が支持される。
絶縁板83は、例えば石英ガラスにより作製され、ファラデー遮蔽板82の底面よりも僅かに小さい大きさを有し、ファラデー遮蔽板82の底面に載置される。絶縁板83は、ファラデー遮蔽板82とアンテナ85とを絶縁する一方、アンテナ85から放射される高周波を下方へ透過させる。
アンテナ85は、平面形状が略八角形となるように銅製の中空管(パイプ)を例えば3重に巻き回すことにより形成される。パイプ内に冷却水を循環させることができ、これにより、アンテナ85へ供給される高周波によりアンテナ85が高温に加熱されるのが防止される。また、アンテナ85には立設部85aが設けられており、立設部85aに支持部85bが取り付けられている。支持部85bにより、アンテナ85がファラデー遮蔽板82内の所定の位置に維持される。また、支持部85bには、マッチングボックス86を介して高周波電源87が接続されている。高周波電源87は、例えば13.56MHzの周波数を有する高周波を発生することができる。
このような構成を有するプラズマ発生器80によれば、マッチングボックス86を介して高周波電源87からアンテナ85に高周波電力を供給すると、アンテナ85により電磁界が発生する。この電磁界のうちの電界成分は、ファラデー遮蔽板82により遮蔽されるため、下方へ伝播することはできない。一方、磁界成分はファラデー遮蔽板82の複数のスリット82sを通して第3の処理領域P3内へ伝播する。この磁界成分により、反応ガスノズル33から所定の流量比で第2の処理領域P2に供給される窒化ガスが活性化される。
次に、本実施形態に係る成膜装置のプラズマ発生器90について説明する。
図9は、プラズマ発生器80、90を搭載した本発明の実施形態に係る成膜装置の上面図である。プラズマ発生器90は、リモートプラズマ発生装置として構成されている。
図6乃至8で説明したアンテナ85を用いた誘導型プラズマ発生装置(ICP、Inductively Coupled Plasma)80は、高いプラズマ強度でプラズマを発生させるのに有効であり、イオン化された窒化ガスと、ラジカル化された窒化ガスの双方を発生させても良い場合には、有効に機能する。しかしながら、塩素イオンは不要であり、塩素ラジカルのみが必要な場合には、リモートプラズマ発生装置の方が適している。即ち、リモートプラズマ発生装置は、真空容器1の外部でプラズマによる塩素の活性化を行うため、寿命が短いイオン化した塩素は真空容器1内又はウエハWに到達する前に死活し、寿命の長いラジカル化した塩素のみがウエハWに供給される。これにより、真空容器1内で直接的にプラズマを発生させるICPプラズマ発生装置よりも弱く活性化された塩素ラジカルが殆どを占める活性化された塩素ガスをウエハWに供給することができる。本実施形態に係るプラズマ発生器90には、イオン化した塩素を殆どウエハWに供給せず、塩素ラジカルを供給することが可能なプラズマ発生装置を用いる。リモートプラズマ発生装置は、そのようなプラズマ発生装置の一例である。但し、プラズマ発生器90は、リモートプラズマ発生装置に限定される訳ではなく、塩素イオンをあまり発生させること無く塩素ラジカルを主に発生させることができれば、種々のプラズマ発生装置を用いることができる。
図10は、プラズマ発生器90を含む本実施形態に係る成膜装置の断面図である。
図10に示されるように、プラズマ発生器90は、第3の処理領域P3において、回転テーブル2に対向して設けられる。プラズマ発生器90は、プラズマ生成部91と、ガス供給管92と、シャワーヘッド部93と、配管94とを備えている。なお、シャワーヘッド部93は、塩素ガス吐出部の一例であり、例えば、シャワーヘッド部93の代わりに、ガスノズルが用いられてもよい。
プラズマ生成部91は、ガス供給管92から供給された塩素ガスをプラズマ源により活性化する。プラズマ源としては、塩素ガスをラジカル化することが可能であれば、特に限定されるものではない。プラズマ源としては、例えば誘導結合型プラズマ(ICP:Inductively Coupled Plasma)、容量結合型プラズマ(CCP:Capacitively Coupled Plasma)、表面波プラズマ(SWP:Surface Wave Plasma)を用いることができる。
ガス供給管92は、その一端がプラズマ生成部91と接続されており、プラズマ生成部91に塩素ガスを供給する。ガス供給管92の他端は、例えば開閉バルブ及び流量調整器を介して塩素ガスが貯留された塩素ガス供給源132と接続されている。
シャワーヘッド部93は、配管94を介してプラズマ生成部91と接続されており、プラズマ生成部91で活性化されたフッ素含有ガスを真空容器1内に供給する部分である。シャワーヘッド部93は、扇型の平面形状を有し、扇型の平面形状の外縁に沿うように形成された押圧部材95によって下方側に向かって周方向に亘って押圧される。また、押圧部材95が図示しないボルト等により天板11に固定されることにより、真空容器1の内部雰囲気が気密状態とされる。天板11に固定されたときのシャワーヘッド部93の下面と回転テーブル2の上面との間隔は、例えば0.5mmから5mm程度とすることができる。
シャワーヘッド部93には、回転テーブル2の角速度の違いに対応して回転中心側で少なく、外周側で多くなるように複数のガス吐出孔93aが設けられている。複数のガス吐出孔93aの個数としては、例えば数十〜数百個とすることができる。また、複数のガス吐出孔93aの直径としては、例えば0.5mmから3mm程度とすることができる。シャワーヘッド部93に供給された活性化された塩素ガスは、ガス吐出孔93aを通って回転テーブル2とシャワーヘッド部93との間の空間に供給される。
図11は、シャワーヘッド部93の下面の一例を示した平面図である。図11に示されるように、下方突出面93cは、扇形のシャワーヘッド部93の下面93bの外周に沿うように、帯状に設けられてもよい。これにより、周方向に均一に第3の処理領域P3の外周側の圧力の低下を防止することができる。また、ガス吐出孔93aは、シャワーヘッド部93の下面93bの周方向の中央に、半径方向に延在するように設けられてもよい。これにより、回転テーブル2の中心側から外周側に分散させて塩素ガスを供給することができる。
このように、リモートプラズマ発生装置として構成されたプラズマ発生器90を用いて塩素ラジカルをウエハWに供給してもよい。
なお、リモートプラズマ発生装置は、図9乃至図11に示したようなシャワーヘッド部93を有する構造に限らず、図2、3に示した反応ガスノズル33を用いた構造であってもよい。この場合には、例えば、プラズマ生成部91を、容器本体12の外側の側面に設け、外側面側から反応ガスノズル33に塩素ラジカルを供給する構造としてもよい。
また、本実施形態による成膜装置には、図1に示すように、装置全体の動作のコントロールを行うためのコンピュータからなる制御部100が設けられており、この制御部100のメモリ内には、制御部100の制御の下に、後述する成膜方法を成膜装置に実施させるプログラムが格納されている。このプログラムは後述の成膜方法を実行するようにステップ群が組まれており、ハードディスク、コンパクトディスク、光磁気ディスク、メモリカード、フレキシブルディスクなどの媒体102に記憶されており、所定の読み取り装置により記憶部101へ読み込まれ、制御部100内にインストールされる。
更に、制御部100は、後述する本発明の実施形態に係る成膜方法を実行するための制御も行う。
[シリコン窒化膜の成膜方法]
次に、本発明の実施形態に係るシリコン窒化膜の成膜方法について上述の成膜装置を用いて行う場合を例に挙げて説明する。本実施形態に係るシリコン窒化膜の成膜方法は、基板の表面に形成された窪みパターン内にV字の断面形状を有するシリコン窒化膜をボトムアップ成長により堆積させる成膜工程と、堆積したシリコン窒化膜をプラズマにより活性化された窒化ガスにより改質する改質工程とを含む。
図12は、本発明の実施形態に係るシリコン窒化膜の成膜方法の一例の一連の工程を示した図である。図12(a)は、本実施形態に係るシリコン窒化膜の成膜方法のプラズマ改質工程の一例を示した図である。
本実施形態では、ウエハWとしてシリコンウエハを使用することとし、そのシリコンウエハの表面には、トレンチ、ビアホール等の窪みパターンが形成されている。以下の実施形態では、図12(a)に示されるように、ウエハWの表面にトレンチTが形成されている例を挙げて説明する。なお、ウエハWの表面には、トレンチT、ビアホール等の埋め込み成膜を行うための何らかの窪みパターンが形成されていればよく、ウエハWの表面に形成されている窪みパターンがトレンチTであることは本実施形態に係るシリコン窒化膜の成膜方法において必須ではないが、説明の容易のため、ウエハWの表面にトレンチTが形成されている例を挙げて説明する。但し、本実施形態に係るシリコン窒化膜の成膜方法は、窪み形状を有するパターンである限り、種々のパターンが形成されているウエハWに適用することができる。
また、反応ガスノズル31からジクロロシラン(DCS、SiHCl)とキャリアガスである窒素ガスとが供給され、反応ガスノズル32から窒化ガスとしてアンモニア(NH)とアルゴンの混合ガスが供給され、シャワーヘッド部93から塩素含有ガスとして塩素とアルゴンの混合ガスが供給される例を挙げて説明する。但し、ジクロロシランのキャリアガスである窒素ガス、窒化ガス及び塩素ガスとともに供給されるアルゴンガスは、いずれも不活性ガスで反応に寄与している訳ではないので、以後の説明では、特に言及しないこととする。また、窒化ガスは、プラズマ発生器80で生成されるICPプラズマにより活性化(プラズマ化)され、塩素含有ガスはプラズマ発生器90で生成されるリモートプラズマによりラジカル化されて供給されていることとする。分離ガス(パージガス)には、窒素ガスを用いた例を挙げて説明する。
先ず、図1乃至図11において説明した成膜装置において、図示しないゲートバルブを開き、外部から搬送アーム10(図3)により搬送口15(図2及び図3)を介してウエハWを回転テーブル2の凹部24内に受け渡す。この受け渡しは、凹部24が搬送口15に臨む位置に停止したときに凹部24の底面の貫通孔を介して真空容器1の底部側から不図示の昇降ピンが昇降することにより行われる。このようなウエハWの受け渡しを、回転テーブル2を間欠的に回転させて行い、回転テーブル2の5つの凹部24内に夫々ウエハWを載置する。
続いてゲートバルブを閉じ、真空ポンプ640により到達可能真空度にまで真空容器1内を排気した後、分離ガスノズル41、42から分離ガスである窒素ガスを所定の流量で吐出し、分離カス供給管51及びパージガス供給管72、73からもArガスを所定の流量で吐出する。これに伴い、圧力制御手段650(図1)により真空容器1内を予め設定した処理圧力に制御する。次いで、回転テーブル2を時計回りに例えば10rpmの回転速度で回転させながらヒータユニット7によりウエハWを例えば400℃に加熱する。回転テーブル2の回転速度は、用途に応じて種々の回転速度に設定することができる。また、プラズマ発生器80、90も作動させる。
この後、反応ガスノズル32(図2及び図3)から活性化された窒化ガスを供給し、ウエハWの表面のプラズマ改質を開始する。トレンチTの内面も含めて、ウエハWの表面はプラズマ窒化され、改質される。なお、最初のプラズマ改質工程は、ウエハWの表面が十分に窒化されるまで回転テーブル2を所定回転数回転させ、改質された段階で終了し、窒化ガスの供給を一旦停止する。回転テーブル2は、ウエハWを載置した状態でそのまま回転を継続する。
なお、図12(a)のプラズマ改質工程は必須ではなく、必要に応じて行うようにしてよい。図12(a)のプラズマ改質工程を行わない場合には、分離ガスを供給して回転テーブル2を回転させてから、図12(a)を行うことなく図12(b)を実施すればよい。また、図12(a)のプラズマ改質工程を所定時間行ったら、次に図12(b)の工程に入るようにする。図12(b)の工程に入る前に、反応ガスノズル32からの窒化ガスの供給に加えて、シャワーヘッド部93からプラズマ発生器90により活性化された塩素ラジカル、反応ガスノズル31からジクロロシランの供給を開始する。
図12(b)は、成膜工程における塩素ラジカル吸着工程の一例を示した図である。反応ガスノズル31からジクロロシラン、反応ガスノズル32からアンモニア含有ガス、シャワーヘッド部93から塩素ラジカル、分離ガスノズル41、42から窒素ガスを供給した状態で回転テーブル2を回転させると、ウエハWは第3の処理領域P3の下を通過し、シャワーヘッド部93から塩素ラジカルがウエハWの表面に供給される。この時、塩素ラジカルはウエハWの上面及びトレンチTの上部には容易に到達して多く吸着するが、トレンチTの奥は深いので、トレンチTの底面付近の奥までは塩素ラジカルは到達せず、トレンチTの底面付近にはあまり塩素ラジカルは吸着しない。つまり、トレンチTの上端から、トレンチTの底面付近に近付くにつれて塩素ラジカルの吸着量は減少してゆく。図12(b)には、そのようなトレンチTの底面に近付くにつれて塩素ラジカルの吸着量が減少する様子、逆に言えばトレンチTの底面から上端に接近するにつれて塩素ラジカルの吸着量が増加してゆく状態が示されている。
なお、塩素は、H基と反応してHClを生成するとともに、H基と置換してCl基終端を形成する。かかるCl基は、塩素含有ガスに対しては、吸着阻害基を形成する。上述のように、塩素ラジカルは、ウエハWの上面、トレンチTの上部には容易に到達するが、トレンチTの奥、つまり底部付近の下部にはあまり多くは到達しない。トレンチTのアスペクト比は高いので、多くの塩素ラジカルは、トレンチTの奥に到達する前にH基と置換してしまう。よって、ウエハWの上面及びトレンチTの上部には高密度で吸着阻害基であるCl基が形成されるが、トレンチTの下部にはNH構造のH基が多く残存し、Cl基の密度は低くなる。
図12(c)は、成膜工程における原料ガス吸着工程の一例を示した図である。図12(c)に示されるように、ウエハWが分離領域Dを通過してパージガスが供給されてパージされた後、第1の処理領域P1を通過することにより、ジクロロシランが供給される。ジクロロシランは、吸着阻害基であるCl基が存在する領域にはあまり吸着せず、吸着阻害基の存在しない領域に多く吸着する。よって、トレンチT内の底面付近にジクロロシランが多く吸着し、ウエハWの表面及びトレンチTの上部にはあまりジクロロシランが吸着しない。つまり、トレンチTの底部付近に原料ガスであるジクロロシランが高密度で吸着し、トレンチTの上部及びウエハWの表面上にはジクロロシランが低密度で吸着する。
図12(d)は、成膜工程におけるシリコン窒化膜堆積工程の一例を示した図である。ウエハWが分離領域Dを通過してパージガスが供給されてパージされた後、第2の処理領域P2を通過することにより、プラズマにより活性化されたアンモニア含有ガスが供給される。アンモニアガスの供給により、トレンチT内に吸着したジクロロシランと供給されたアンモニアとが反応し、シリコン窒化膜の分子層が反応生成物として形成される。ここで、ジクロロシランがトレンチTの底部付近に多く吸着しているので、トレンチT内の底部付近に多くシリコン窒化膜が形成される。よって、図12(d)に示されるようなボトムアップ性の高い埋め込み成膜が可能となる。
図12(b)〜(d)は、成膜工程を構成する。かかる成膜工程において、トレンチTの底部よりも上部に多く吸着阻害領域を形成し、トレンチTの上部よりも底部に多く原料ガスを吸着させることにより、ボトムアップ成膜が可能となり、トレンチTの底面から上部に向かうにつれて膜厚が薄くなるV字の断面形状を有するシリコン窒化膜の分子層を堆積させることができる。
図12(e)は、改質工程における塩素ラジカル吸着工程の一例を示した図である。改質工程を行う際には、反応ガスノズル31からの原料ガスの供給を停止させ、反応ガスノズル32からの窒化ガスの供給、シャワーヘッド部93からの塩素ラジカルの供給、分離ガスノズル41、42からの窒素ガスの供給は継続して行う。つまり、原料ガスであるジクロロシランの供給のみを停止させ、他のガスの供給は継続して行う。
そうすると、ウエハWが第3の処理領域P3を通過した際、シャワーヘッド部93から塩素ラジカルが供給される。この時の塩素ラジカルの供給は、ウエハWのトレンチT内にV字の断面形状を有するシリコン窒化膜の分子層が堆積し、ウエハWのトレンチT外の上面に同様にシリコン窒化膜の分子層が堆積している点を除けば、図12(b)で説明した塩素ラジカル吸着工程と同様である。即ち、トレンチTの底面から上部に向かって吸着量(又は吸着密度)が増加するように、塩素ラジカルがシリコン窒化膜の表面に吸着する。よって、トレンチT内においては、V字の断面形状を有するシリコン窒化膜の表面上に、上部の方が底部よりも吸着密度が高くなるように塩素ラジカルが吸着する。
図12(f)は、改質工程におけるプラズマ窒化工程の一例を示した図である。回転テーブル2の回転により、第1の処理領域P1の両側における分離領域Dにおけるパージガスの供給を経てウエハWが第2の処理領域P2を通過する際、ウエハWにはプラズマ発生器80により活性化された窒化ガスが供給される。これにより、V字の断面形状を有するシリコン窒化膜はプラズマ窒化され、改質される。なお、第1の処理領域P1では原料ガスの供給は停止しているので、ウエハWは表面がパージのみされた状態で第2の処理領域P2に到達する。そして、プラズマにより活性化された窒化ガスは堆積したシリコン窒化膜の改質にのみ寄与する。この時、シリコン窒化膜の表面に吸着した塩素ラジカルは消滅してしまう。塩素ラジカルの吸着は、化学反応による吸着ではなく、NH基の水素と塩素の電気陰性度の差による物理吸着であるので、回転テーブル2が1回転する間に何とかシリコン窒化膜又はウエハWの表面に吸着している程度の吸着力しかない。一方、アンモニアプラズマは、堆積したシリコン窒化膜の内部で未反応であるシリコン原子と反応する化学反応であり、プラズマにより活性化されているため、高いエネルギーを有する。よって、シリコン窒化膜の表面に物理吸着した塩素ラジカルは、プラズマで活性化した窒化ガスの供給によりシリコン窒化膜の表面から追い出されてしまい、消滅してしまう。よって、塩素ラジカル吸着工程においてシリコン窒化膜の表面に吸着した塩素ラジカルがプラズマ改質に悪影響を及ぼすことは無く、シリコン窒化膜の改質は問題無く行われる。
なお、そのように考えると、改質工程における塩素ラジカル吸着工程は不要とも考えられるが、回転テーブル式の成膜装置を用いる場合、一旦供給を開始したガスは、停止させる必要が無ければ、継続的に供給した方がシーケンスの設計が容易である。本実施形態に係るシリコン窒化膜の成膜方法においては、原料ガスについては、供給を停止させないと改質工程を行うことができず、また、原料ガスは高価であるため、原料ガスの供給は停止させている。しかしながら、塩素ラジカルは、供給してもプラズマ改質に悪影響は及ぼさず、次の成膜工程ですぐ塩素ラジカルの供給が必要となり、また塩素ガスは安価であるので、そのようなシーケンスを採用している。
図12(e)、(f)に示した改質工程は、ループAで示されるように、1回以上の所定回数繰り返される。最低は1回であるが、十分な改質効果を得るためには、改質工程を2回以上繰り返すことが好ましい。改質工程を行うことにより、V字の断面形状を有するボトムアップ成長したシリコン窒化膜をV字の断面形状のまま改質することができ、特に膜厚が厚く、改質が不足し易い底面部のシリコン窒化膜を十分に改質することができ、上部のシリコン窒化膜との品質の差を小さくすることができる。例えば、2回〜7回、好ましくは2回〜5回、更に好ましくは3回程度改質工程を繰り返すようにしてもよい。
改質工程を所定回数繰り返した後は、ループBに示されるように、図12(b)に戻り、成膜工程を再度行う。この場合にも、図12(b)の塩素ラジカル吸着工程、図12(c)の原料ガス吸着工程、図12(d)のシリコン窒化膜堆積工程を1回ずつ実施したら、図12(e)の塩素ラジカル吸着工程及び図12(f)のプラズマ窒化工程を所定回数繰り返す。即ち、成膜工程1回に対し、改質工程を所定回数行うようにする。これにより、V字の断面形状を有するシリコン窒化膜をトレンチT内に埋め込むとともに、V字の断面形状のままシリコン窒化膜を改質することができ、ボトムアップ成膜によりボイドやシームを発生させること無く、かつ底面においても緻密で高品質の埋め込み成膜を行うことができる。
図12(g)は、トレンチTにシリコン窒化膜110が途中まで埋め込まれた状態を示した図である。図12(g)に示されるように、V字の断面形状を有し、ボトムアップ成長したシリコン窒化膜110がトレンチT内に埋め込まれるため、トレンチTの上部の開口は塞がれず、ボイドやシームが形成され難い状態を保ちつつトレンチTを埋め込むことができる。また、シリコン窒化膜110は、十分な改質処理がなされており、底部の膜厚が薄い部分でも、緻密で膜密度の高いシリコン窒化膜を堆積させることができる。
図13は、本発明の実施形態に係るシリコン窒化膜の成膜方法の一例のシーケンスを示した図である。図13において、横軸が時間軸、縦軸は供給するガスの種類とプラズマのオン/オフを示している。図13においては、1〜5サイクル目のシーケンスが示されている。1サイクル目及び5サイクル目が図12(a)〜(d)で説明した成膜工程に該当し、2〜4サイクル目が図12(e)、(f)で説明した改質工程に該当する。
時刻t0〜t5は、回転テーブル2の1回転目のシーケンスを示している。上述のように、回転テーブル2の回転により、回転テーブル2の凹部24上に載置されているウエハWは、第2の処理領域P2、第3の処理領域P3、分離領域D、第1の処理領域P1、分離領域Dを順に通過する。
なお、図13においては、実際にウエハWの表面に各ガスが供給されているタイミングのシーケンスを示している。つまり、上述の成膜装置において、反応ガスノズル31、32、シャワーヘッド部93及び分離ガスノズル41、42からのガスは継続して供給されており、回転テーブル2の回転によりウエハWが移動し、第1〜第3の処理領域P1〜P3及び分離領域Dを通過する各タイミングで各ガスが供給されるが、図13においては、成膜装置がガスを供給しているタイミングではなく、ウエハWの表面にガスが供給されるタイミングのシーケンスが示されている。よって、図13におけるシーケンスは、上述のような回転テーブル式の成膜装置のみならず、ウエハWを収容した処理室内に各ガスの供給タイミングを切り替えて順次ウエハWに処理ガスを供給する成膜装置にも適用可能である。
時刻t0〜t1において、窒化工程が行われる。ウエハWが第2の処理領域P2を通過すると、反応ガスノズル32から供給されたアンモニアガスがプラズマ発生器80により活性化されてウエハWの表面に供給される。これは、図12(a)で説明したプラズマ改質工程と実質的に同一である。かかる窒化工程により、トレンチT内を含むウエハWの表面全体にNH基が吸着し、ウエハWの表面全体に吸着サイトが形成される。
なお、必要に応じて、時刻t0の前に、プラズマ改質工程をもっと長い期間行い、ウエハWの表面を十分に窒化するようにしてもよい。
時刻t1〜t2において、塩素ラジカル吸着工程が行われる。回転テーブル2の回転によりウエハWが第3の処理領域P3を通過すると、プラズマ発生器90のシャワーヘッド部93から塩素ラジカルが供給される。図12(b)で説明したように、塩素ラジカルはウエハWの上面及びトレンチTの上部には多く吸着するが、トレンチTの底面を含む下部にはあまり多く吸着しない。よって、トレンチTの深さ方向において異なる吸着量で塩素ラジカルが吸着する。塩素ラジカルが吸着した領域は、塩素を含むジクロロシランの吸着阻害領域となり、塩素ラジカルが吸着していない領域は、窒化工程で形成された吸着サイトが残されることとなる。なお、吸着阻害領域を、非吸着サイトと呼んでもよい。
時刻t2〜t3において、パージ工程が行われる。回転テーブル2の回転によりウエハWが分離領域Dを通過すると、窒素ガスがパージガスとしてウエハWに供給され、ウエハWの表面がパージされて清浄化される。
時刻t3〜t4において、原料ガス吸着工程が行われる。回転テーブル2の回転によりウエハWが第1の処理領域P1を通過すると、反応ガスノズル31からジクロロシランが供給され、ウエハWの表面に吸着する。この時、図12(c)において説明したように、NH基が存在する吸着サイトにジクロロシランは多く吸着するが、塩素が吸着している吸着阻害領域には少量しか吸着しない。よって、吸着サイトが露出しているトレンチTの底面を含む下部にはジクロロシランが多く吸着するが、トレンチTの上部及びウエハWの上面には少量しかジクロロシランは吸着しない。
時刻t4〜t5において、パージ工程が行われる。時刻t2〜t3で説明した通り、回転テーブル2の回転によりウエハWが分離領域Dを通過すると、窒素ガスがパージガスとしてウエハWに供給され、ウエハWの表面がパージされて清浄化される。
2サイクル目の時刻t5〜t6において、窒化工程(又はシリコン窒化膜堆積工程)が行われる。回転テーブル2の回転により、ウエハWが第2の処理領域P2を通過すると、反応ガスノズル32から供給されたアンモニアガスがプラズマ発生器80により活性化され、ウエハWの表面に供給され、ウエハWの表面に吸着したジクロロシランと反応し、反応生成物であるシリコン窒化膜の分子層がウエハWの表面に堆積する。上述のように、ジクロロシランはトレンチTの底面を含む下部に多く吸着しているので、トレンチTの下部には厚くシリコン窒化膜が堆積する。一方、ジクロロシランがあまり吸着していないトレンチTの上部及びウエハWの上面には、シリコン窒化膜が薄く堆積する。これにより、図12(d)、(e)で説明したV字の断面形状を有するシリコン窒化膜110がトレンチT内に堆積する。
時刻t6〜t7においては、改質工程における塩素ラジカル吸着工程が行われる。回転テーブル2の回転によりウエハWが第3の処理領域P3を再び通過すると、プラズマ発生器90のシャワーヘッド部93から塩素ラジカルが供給される。図12(e)で説明したように、塩素ラジカルは、V字の断面形状を有するシリコン窒化膜の上部には多く吸着するが、トレンチTの底面を含む下部のシリコン窒化膜上にはあまり多く吸着しない。よって、トレンチTの深さ方向において異なる吸着量で塩素ラジカルが吸着する。シリコン窒化膜の表面はNH基が存在し、原料ガスにとって吸着サイトを構成する。よって、シリコン窒化膜上の塩素ラジカルが吸着した領域は、塩素を含むジクロロシランの吸着阻害領域(非吸着サイト)となり、塩素ラジカルが吸着していない領域は、吸着サイトが残されることとなる。
時刻t7〜t8において、再びパージ工程が行われる。回転テーブル2の回転によりウエハWが分離領域Dを通過すると、窒素ガスがパージガスとしてウエハWに供給され、ウエハWの表面がパージされて清浄化される。
時刻t8〜t9において、ウエハWは第1の処理領域P1を通過する。改質工程においては、原料ガスであるジクロロシランの反応ガスノズル31からの供給は停止されているため、ウエハWは原料ガスを供給されること無く第1の処理領域P1を通過する。
なお、原料ガスの供給は、ウエハWが第1の処理領域P1を通過する前までに停止していればよいが、2サイクル目に入ったら速やかに停止させることが好ましい。
時刻t9〜t10において、パージ工程が行われる。時刻t7〜t8で説明した通り、回転テーブル2の回転によりウエハWが分離領域Dを通過すると、窒素ガスがパージガスとしてウエハWに供給され、ウエハWの表面がパージされて清浄化される。
3サイクル目の時刻t10〜t11において、プラズマ窒化工程が行われる。図12(f)で説明したように、プラズマにより活性化した窒化ガスがウエハWの表面に供給され、トレンチT内のV字の断面形状を有するシリコン窒化膜は、プラズマ窒化され、改質される。これにより、底部の膜厚が厚い部分にもプラズマ改質が行われる。また、時刻t6〜t7でシリコン窒化膜の表面に物理吸着した塩素ラジカルは、窒化プラズマの供給により消滅してしまう。
時刻t11〜時刻t21まで、時刻t6〜t11で説明した改質工程が2回繰り返される。このような改質工程の繰り返しにより、トレンチT内に堆積したV字の断面形状を有するシリコン窒化膜の底面も十分に窒化され、緻密で膜密度の高いシリコン窒化膜が成膜される。
時刻t21〜t25は、時刻t1〜t5で説明した成膜工程が再び繰り返される。図13のシーケンスでは、1回の成膜工程に対し、3回の改質工程が行われるシーケンスが一例として示されている。改質工程の実施回数は、1回以上であれば、用途に応じて種々定めることができるが、トレンチT内に埋め込まれたシリコン窒化膜の十分な改質を行う観点からは、2回以上行うことが好ましい。但し、あまり回数を多くすると、生産性が低下するので、膜質と生産性の観点から適切な実施回数を定めることができる。
図14は、本発明の実施形態に係るシリコン窒化膜の成膜方法によりトレンチTを埋め込んだ例を示した図である。V字の断面形状を有するシリコン窒化膜110をトレンチTの底面からボトムアップ成長させるとともに改質を行い、最終的にトレンチTの全体を埋め込み、高品質のシリコン窒化膜でトレンチTを埋め込むことができる。
なお、本実施形態において、原料ガスとしてジクロロシランを用いた例を挙げて説明したが、原料ガスは、シリコン及び塩素を含有するガスであれば、種々のガスを用いることができる。例えば、ジクロロシランの他、用途に応じて、モノクロロシラン(SiHCl)、トリクロロシラン(SiHCl)、ヘキサクロロジシラン(SiCl)等の種々のクロロシラン系ガスを用いてもよいことは、上述の通りである。窒化ガスも、アンモニア又は窒素を含有し、プラズマによる活性化により原料ガスを窒化してシリコン窒化膜を反応生成物として堆積できれば、種々の窒化ガスを用いることができる。塩素含有ガスも、塩素ラジカルにより吸着阻害領域をウエハWの表面上に形成できれば、種々の塩素含有ガスを用いることができる。
また、図12及び図13で説明したシーケンスは、上述の成膜装置の制御部100がガス供給時間、タイミング、プラズマ発生器80、90の動作等を制御することにより実行することができる。本実施形態に係る成膜装置によれば、回転テーブル2を回転させ、ガスの供給パターンを変化させるとともに、その状態で回転テーブル2を何回回転させるかでガスの供給時間等も制御できるため、図12及び図13のシーケンスも容易に実現することができる。また、各ガスを供給しながら、回転テーブル2の回転速度及び回転数を調整することにより、各ガスの吸着量を容易に制御することができ、本実施形態に係るシリコン窒化膜の成膜方法を好適に実施することができる。
以上、本発明の好ましい実施形態について詳説したが、本発明は、上述した実施形態に制限されることはなく、本発明の範囲を逸脱することなく、上述した実施形態に種々の変形及び置換を加えることができる。
1 真空容器
2 回転テーブル
4 凸状部
7 ヒータユニット
11 天板
12 容器本体
15 搬送口
24 凹部
31〜33 反応ガスノズル
41、42 分離ガスノズル
80、90 プラズマ発生器
91 プラズマ生成部
93 シャワーヘッド部
130〜132 ガス供給源
P1〜P3 処理領域
W ウエハ

Claims (16)

  1. 基板の表面に形成された窪みパターンにシリコン窒化膜を埋め込むシリコン窒化膜の成膜方法であって、
    前記窪みパターンの底部から上部に向かって吸着量が増加するように塩素ラジカルを吸着させ、第1の吸着阻害領域を形成する工程と、
    前記窪みパターンを含む前記基板の表面にシリコン及び塩素を含有する原料ガスを供給し、前記基板の表面の前記第1の吸着阻害領域が形成されていない吸着領域に前記原料ガスを吸着させる工程と、
    前記吸着領域に吸着した前記原料ガスをプラズマにより活性化された窒化ガスで窒化し、前記窪みパターンの前記底部から前記上部に向かって膜厚が薄くなるV字の断面形状を有するシリコン窒化膜の分子層を堆積させる工程と、
    前記窪みパターンの前記底部から前記上部に向かって吸着量が増加するように前記シリコン窒化膜の分子層上に塩素ラジカルを吸着させ、第2の吸着阻害領域を形成する工程と、
    前記窪みパターンを含む前記基板の表面にプラズマにより活性化された窒化ガスを供給し、前記第2の吸着阻害領域を消滅させるとともに前記シリコン窒化膜の分子層を窒化して改質する工程と、を有するシリコン窒化膜の成膜方法。
  2. 前記第1の吸着阻害領域を形成する工程、前記原料ガスを吸着させる工程及び前記シリコン窒化膜の分子層を堆積させる工程を成膜工程、前記第2の吸着阻害領域を形成する工程及び前記シリコン窒化膜の分子層を窒化して改質する工程を改質工程としたときに、
    前記成膜工程を1回行い、前記改質工程を1回以上の所定回数連続して行うサイクルを1サイクルとし、該1サイクルを複数サイクル繰り返す請求項1に記載のシリコン窒化膜の成膜方法。
  3. 前記所定回数は、2回以上である請求項2に記載のシリコン窒化膜の成膜方法。
  4. 第1サイクル目の前記成膜工程の前記第1の吸着阻害領域を形成する工程の前に、前記窪みパターンを含む前記基板の表面をプラズマにより活性化された窒化ガスで窒化して改質するプラズマ改質工程を更に有する請求項2又は3に記載のシリコン窒化膜の成膜方法。
  5. 前記第1の吸着阻害領域を形成する工程と前記原料ガスを吸着させる工程との間、及び前記原料ガスを吸着させる工程と前記シリコン窒化膜の分子層を堆積させる工程との間に、前記基板の表面にパージガスを供給するパージ工程を更に有する請求項2乃至4のいずれか一項に記載のシリコン窒化膜の成膜方法。
  6. 前記第2の吸着阻害領域を形成する工程と前記シリコン窒化膜の分子層を窒化して改質する工程との間に、前記基板の表面にパージガスを供給するパージ工程を更に有する請求項5に記載のシリコン窒化膜の成膜方法。
  7. 前記基板は、処理室内に設けられた回転テーブルの表面上の周方向に沿って載置され、
    前記回転テーブルに前記塩素ラジカルを供給可能な塩素ラジカル吸着領域、前記回転テーブルに前記パージガスを可能な第1のパージ領域、前記回転テーブルに前記原料ガスを供給可能な原料ガス吸着領域、前記回転テーブルに前記パージガスを供給可能な第2のパージ領域、前記回転テーブルに前記活性化された窒化ガスを供給可能な窒化領域が前記回転テーブルの前記周方向に沿って前記回転テーブルの上方に設けられ、
    前記塩素ラジカル吸着領域で前記塩素ラジカル、前記原料ガス吸着領域で前記原料ガス、前記窒化領域で前記活性化された窒化ガス、及び前記第1及び第2のパージ領域で前記パージガスを供給した状態で前記回転テーブルを回転させて前記成膜工程を実施し、
    前記塩素ラジカル吸着領域で前記塩素ラジカル、前記窒化領域で前記活性化された窒化ガス、及び前記第1及び第2のパージ領域で前記パージガスを供給し、前記原料ガス吸着領域で前記原料ガスを供給しない状態で前記回転テーブルを回転させて前記改質工程を実施する請求項5に記載のシリコン窒化膜の成膜方法。
  8. 前記塩素ラジカルは、シャワーヘッドにより供給される請求項1乃至7のいずれか一項に記載のシリコン窒化膜の成膜方法。
  9. 前記塩素ラジカルは、リモートプラズマ装置により生成される請求項1乃至8のいずれか一項に記載のシリコン窒化膜の成膜方法。
  10. 前記活性化された窒化ガスは誘導結合型プラズマにより活性化される請求項1乃至9のいずれか一項に記載のシリコン窒化膜の成膜方法。
  11. 前記窒化ガスは、アンモニア含有ガスである請求項1乃至10のいずれか一項に記載のシリコン窒化膜の成膜方法。
  12. 前記原料ガスは、ジクロロシランである請求項1乃至11のいずれか一項に記載のシリコン窒化膜の成膜方法。
  13. 処理室と、
    該処理室内に設けられ、表面上に基板を載置可能な基板載置領域を有する回転テーブルと、
    該回転テーブル上に回転方向に沿って所定領域に設けられ、前記回転テーブル上に塩素ラジカルを供給可能な塩素ラジカル供給領域と、
    前記回転テーブル上であって、該塩素ラジカル供給領域の前記回転方向における下流側に設けられ、前記回転テーブル上にシリコン及び塩素を含有する原料ガスを供給可能な原料ガス供給領域と、
    前記回転テーブル上であって、該原料ガス供給領域の前記回転方向における下流側に設けられ、前記回転テーブル上に活性化された窒化ガスを供給可能な窒化ガス供給領域と、
    前記塩素ラジカル供給領域において前記塩素ラジカル、前記原料ガス供給領域において前記原料ガス、及び前記窒化ガス供給領域において前記活性化された窒化ガスを前記回転テーブルに供給して前記回転テーブルを1回転させる成膜工程と、
    前記原料ガス供給領域における前記原料ガスの供給を停止するとともに、前記塩素ラジカル供給領域において前記塩素ラジカル、及び前記窒化ガス供給領域において前記活性化された窒化ガスを前記回転テーブルに供給して前記回転テーブルを1回以上の所定回数回転させる改質工程と、
    を交互に実行する制御を行う制御手段と、を有する成膜装置。
  14. 前記制御手段において、前記所定回数は2回以上に設定されている請求項13に記載の成膜装置。
  15. 前記塩素ラジカル供給領域に前記塩素ラジカルを供給可能なリモートプラズマ装置と、
    前記窒化ガス供給領域に前記活性化された窒化ガスを供給可能な誘導型プラズマ発生装置と、を有する請求項13又は14に記載の成膜装置。
  16. 前記リモートプラズマ装置は、シャワーヘッド部を有し、該シャワーヘッド部から前記塩素ラジカルを供給する請求項15に記載の成膜装置。
JP2017154743A 2017-08-09 2017-08-09 シリコン窒化膜の成膜方法及び成膜装置 Active JP6832808B2 (ja)

Priority Applications (3)

Application Number Priority Date Filing Date Title
JP2017154743A JP6832808B2 (ja) 2017-08-09 2017-08-09 シリコン窒化膜の成膜方法及び成膜装置
KR1020180085128A KR102278354B1 (ko) 2017-08-09 2018-07-23 실리콘 질화막의 성막 방법 및 성막 장치
US16/057,197 US10643837B2 (en) 2017-08-09 2018-08-07 Method for depositing a silicon nitride film and film deposition apparatus

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
JP2017154743A JP6832808B2 (ja) 2017-08-09 2017-08-09 シリコン窒化膜の成膜方法及び成膜装置

Publications (2)

Publication Number Publication Date
JP2019033230A JP2019033230A (ja) 2019-02-28
JP6832808B2 true JP6832808B2 (ja) 2021-02-24

Family

ID=65275518

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2017154743A Active JP6832808B2 (ja) 2017-08-09 2017-08-09 シリコン窒化膜の成膜方法及び成膜装置

Country Status (3)

Country Link
US (1) US10643837B2 (ja)
JP (1) JP6832808B2 (ja)
KR (1) KR102278354B1 (ja)

Families Citing this family (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN112823410B (zh) * 2019-09-18 2024-04-02 株式会社国际电气 半导体装置的制造方法、基板处理装置和记录介质
JP2023156152A (ja) 2022-04-12 2023-10-24 東京エレクトロン株式会社 成膜方法及び処理装置

Family Cites Families (25)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2776726B2 (ja) * 1993-09-21 1998-07-16 日本電気株式会社 半導体装置の製造方法
US20070087579A1 (en) * 2004-03-31 2007-04-19 Hitachi Kokusai Electric Inc. Semiconductor device manufacturing method
US20060199399A1 (en) 2005-02-22 2006-09-07 Muscat Anthony J Surface manipulation and selective deposition processes using adsorbed halogen atoms
US7863198B2 (en) 2006-05-18 2011-01-04 Micron Technology, Inc. Method and device to vary growth rate of thin films over semiconductor structures
US20080242097A1 (en) 2007-03-28 2008-10-02 Tim Boescke Selective deposition method
US10256142B2 (en) 2009-08-04 2019-04-09 Novellus Systems, Inc. Tungsten feature fill with nucleation inhibition
JP5250600B2 (ja) * 2009-11-27 2013-07-31 東京エレクトロン株式会社 成膜方法および成膜装置
JP5625624B2 (ja) 2010-08-27 2014-11-19 東京エレクトロン株式会社 成膜装置、成膜方法及び記憶媒体
JP5675331B2 (ja) 2010-12-27 2015-02-25 東京エレクトロン株式会社 トレンチの埋め込み方法
US8900963B2 (en) 2011-11-02 2014-12-02 Micron Technology, Inc. Methods of forming semiconductor device structures, and related structures
JP5679581B2 (ja) 2011-12-27 2015-03-04 東京エレクトロン株式会社 成膜方法
WO2013137115A1 (ja) * 2012-03-15 2013-09-19 東京エレクトロン株式会社 成膜方法及び成膜装置
US8815685B2 (en) 2013-01-31 2014-08-26 GlobalFoundries, Inc. Methods for fabricating integrated circuits having confined epitaxial growth regions
JP6267080B2 (ja) * 2013-10-07 2018-01-24 東京エレクトロン株式会社 シリコン窒化物膜の成膜方法および成膜装置
US9895715B2 (en) 2014-02-04 2018-02-20 Asm Ip Holding B.V. Selective deposition of metals, metal oxides, and dielectrics
US9425078B2 (en) * 2014-02-26 2016-08-23 Lam Research Corporation Inhibitor plasma mediated atomic layer deposition for seamless feature fill
CN108140578B (zh) * 2015-10-23 2022-07-08 应用材料公司 通过表面毒化处理的由下而上的间隙填充
JP6509095B2 (ja) * 2015-11-04 2019-05-08 東京エレクトロン株式会社 窒化膜の形成方法
US9916977B2 (en) 2015-11-16 2018-03-13 Lam Research Corporation Low k dielectric deposition via UV driven photopolymerization
US10163629B2 (en) 2015-11-16 2018-12-25 Applied Materials, Inc. Low vapor pressure aerosol-assisted CVD
JP6545094B2 (ja) * 2015-12-17 2019-07-17 東京エレクトロン株式会社 成膜方法及び成膜装置
JP6661487B2 (ja) 2016-07-13 2020-03-11 東京エレクトロン株式会社 シリコン窒化膜の成膜方法
JP6728087B2 (ja) * 2017-02-22 2020-07-22 東京エレクトロン株式会社 成膜方法及び成膜装置
JP6873007B2 (ja) * 2017-08-09 2021-05-19 東京エレクトロン株式会社 シリコン窒化膜の成膜方法及び成膜装置
JP6869141B2 (ja) * 2017-08-09 2021-05-12 東京エレクトロン株式会社 シリコン窒化膜の成膜方法及び成膜装置

Also Published As

Publication number Publication date
US10643837B2 (en) 2020-05-05
KR20190016896A (ko) 2019-02-19
US20190051513A1 (en) 2019-02-14
JP2019033230A (ja) 2019-02-28
KR102278354B1 (ko) 2021-07-15

Similar Documents

Publication Publication Date Title
JP6869141B2 (ja) シリコン窒化膜の成膜方法及び成膜装置
KR102241266B1 (ko) 성막 방법 및 성막 장치
JP6873007B2 (ja) シリコン窒化膜の成膜方法及び成膜装置
JP7003011B2 (ja) シリコン窒化膜の成膜方法及び成膜装置
JP7090568B2 (ja) 成膜方法
JP7175209B2 (ja) 成膜方法
JP6817883B2 (ja) 成膜方法
JP6832808B2 (ja) シリコン窒化膜の成膜方法及び成膜装置
JP6929209B2 (ja) シリコン窒化膜の成膜方法及び成膜装置
JP7085929B2 (ja) 成膜方法

Legal Events

Date Code Title Description
A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20200203

A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20201223

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20210105

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20210202

R150 Certificate of patent or registration of utility model

Ref document number: 6832808

Country of ref document: JP

Free format text: JAPANESE INTERMEDIATE CODE: R150

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250