KR20180061387A - 표면 포이즈닝 처리에 의한 바텀 업 갭-충전 - Google Patents

표면 포이즈닝 처리에 의한 바텀 업 갭-충전 Download PDF

Info

Publication number
KR20180061387A
KR20180061387A KR1020187014446A KR20187014446A KR20180061387A KR 20180061387 A KR20180061387 A KR 20180061387A KR 1020187014446 A KR1020187014446 A KR 1020187014446A KR 20187014446 A KR20187014446 A KR 20187014446A KR 20180061387 A KR20180061387 A KR 20180061387A
Authority
KR
South Korea
Prior art keywords
feature
substrate surface
film
substrate
plasma
Prior art date
Application number
KR1020187014446A
Other languages
English (en)
Other versions
KR102527897B1 (ko
Inventor
마크 샐리
케이치 타나카
에스와래난드 벤카타수브라마니안
만드얌 스리람
바스카르 조이티 부얀
프라미트 만나
데이비드 톰프슨
앤드류 쇼트
Original Assignee
어플라이드 머티어리얼스, 인코포레이티드
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 어플라이드 머티어리얼스, 인코포레이티드 filed Critical 어플라이드 머티어리얼스, 인코포레이티드
Publication of KR20180061387A publication Critical patent/KR20180061387A/ko
Application granted granted Critical
Publication of KR102527897B1 publication Critical patent/KR102527897B1/ko

Links

Images

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45527Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/32056Deposition of conductive or semi-conductive organic layers
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/02Pretreatment of the material to be coated
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/04Coating on selected surface areas, e.g. using masks
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/04Coating on selected surface areas, e.g. using masks
    • C23C16/045Coating cavities or hollow spaces, e.g. interior of tubes; Infiltration of porous substrates
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/34Nitrides
    • C23C16/345Silicon nitride
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/40Oxides
    • C23C16/401Oxides containing silicon
    • C23C16/402Silicon dioxide
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45527Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations
    • C23C16/45534Use of auxiliary reactants other than used for contributing to the composition of the main film, e.g. catalysts, activators or scavengers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02205Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/02274Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition in the presence of a plasma [PECVD]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/0228Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition deposition by cyclic CVD, e.g. ALD, ALE, pulsed CVD
    • H01L21/205
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/76Making of isolation regions between components
    • H01L21/762Dielectric regions, e.g. EPIC dielectric isolation, LOCOS; Trench refilling techniques, SOI technology, use of channel stoppers
    • H01L21/76224Dielectric regions, e.g. EPIC dielectric isolation, LOCOS; Trench refilling techniques, SOI technology, use of channel stoppers using trench refilling with dielectric materials
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76829Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers
    • H01L21/76834Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers formation of thin insulating films on the sidewalls or on top of conductors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76837Filling up the space between adjacent conductive structures; Gap-filling properties of dielectrics

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Power Engineering (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Computer Hardware Design (AREA)
  • Manufacturing & Machinery (AREA)
  • General Physics & Mathematics (AREA)
  • Materials Engineering (AREA)
  • Organic Chemistry (AREA)
  • Metallurgy (AREA)
  • Mechanical Engineering (AREA)
  • General Chemical & Material Sciences (AREA)
  • Inorganic Chemistry (AREA)
  • Plasma & Fusion (AREA)
  • Chemical Vapour Deposition (AREA)
  • Formation Of Insulating Films (AREA)

Abstract

막을 증착하기 위한 방법들은, 피쳐의 최하부에 대해 피쳐의 최상부에서의 막 성장을 우선적으로 억제하기 위해 유기계 포이즈닝제에 기판 표면을 노출시키는 단계, 및 막을 증착하는 단계를 포함한다. 기판은, 피쳐에서의 막의 바텀 업 성장을 촉진시키기 위해 임의의 횟수로 포이즈닝제에 노출될 수 있다.

Description

표면 포이즈닝 처리에 의한 바텀 업 갭-충전
[0001] 본 개시내용은 일반적으로, 박막(thin film)들을 증착하는 방법들에 관한 것이다. 특히, 본 개시내용은, 좁은 트렌치(trench)들을 충전(fill)하기 위한 프로세스들에 관한 것이다.
[0002] 마이크로전자 디바이스 제조에서, 많은 애플리케이션들에 대해, 보이딩(voiding)이 없는 10:1 초과의 종횡비(AR; aspect ratio)들을 갖는 좁은 트렌치들을 충전할 필요성이 존재한다. 하나의 애플리케이션은 STI(shallow trench isolation)를 위한 것이다. 이러한 애플리케이션의 경우, 막은, 매우 낮은 누설(leakage)과 함께 트렌치(예컨대, 2 미만의 습식 에칭률 비(wet etch rate ratio)를 가짐) 전체에 걸쳐 높은 품질을 가질 필요가 있다. 과거에 성공한 하나의 방법은 유동성(flowable) CVD이다. 이러한 방법에서, 가스상(gas phase)에서 올리고머(oligomer)들이 표면 상에 응축(condense)된 다음 주의 깊게 형성되고, 이들은 다음 트렌치들 내로 "유동"된다. 증착-직후(as-deposited) 막은 매우 불량한 품질을 갖고, 스팀 어닐링(steam anneal)들 및 UV-경화들과 같은 프로세싱 단계들을 요구한다.
[0003] 구조들의 치수들이 감소하고 종횡비들이 증가함에 따라, 증착-직후 유동성 막들의 경화 후(post curing) 방법들이 어려워진다. 이는, 충전된 트렌치 전체에 걸쳐 여러 조성을 갖는 막들을 초래한다. 따라서, 증착-직후 막이 임의의 보이딩 없이 높은 품질을 갖는 바텀 업(bottom up) 막 성장을 초래할 수 있는 새로운 방법들에 대한 필요성이 존재한다.
[0004] 본 개시내용의 하나 이상의 실시예들은, 적어도 하나의 피쳐(feature)를 갖는 기판 표면을, 피쳐의 최하부에 비해 피쳐의 최상부를 우선적으로 포이즈닝(poison)하기 위해, 유기계 포이즈닝제(organic-based poisoning agent)에 노출시키는 단계를 포함하는 프로세싱 방법들에 관한 것이다. 바텀 업 방식으로 피쳐에 막이 증착된다.
[0005] 본 개시내용의 부가적인 실시예들은, 프로세싱 챔버 내에 기판 표면을 포지셔닝하는 단계를 포함하는 프로세싱 방법들에 관한 것이다. 기판 표면은 적어도 하나의 피쳐를 갖는다. 적어도 하나의 피쳐는, 최하부, 최상부, 및 측벽들을 갖는 갭을 생성한다. 기판 표면은, 피쳐의 최하부에 비해 피쳐의 최상부에서의 막 성장을 우선적으로 억제하기 위해 유기계 포이즈닝제에 노출된다. 기판 표면은, 갭에 층을 증착하기 위해 전구체(precursor) 및 반응물(reactant)에 순차적으로 노출된다. 전구체 및 반응물은, 바텀 업 방식으로 피쳐의 갭을 충전하도록 반복적으로 노출된다.
[0006] 본 개시내용의 추가적인 실시예들은, 복수의 섹션(section)들을 포함하는 프로세싱 챔버 내에 기판 표면을 갖는 기판을 배치하는 단계를 포함하는 프로세싱 방법에 관한 것이다. 프로세싱 챔버의 각각의 섹션은 가스 커튼(curtain)에 의해 인접 섹션들로부터 분리된다. 기판 표면은, 최상부, 최하부, 및 측부들을 갖고 그리고 10:1과 동일하거나 그 초과의 종횡비를 갖는 적어도 하나의 피쳐를 갖는다. 기판 표면의 적어도 부분은 프로세싱 챔버의 제1 섹션에서 제1 프로세스 조건에 노출된다. 제1 프로세스 조건은, 피쳐의 최하부에 비해 피쳐의 최상부에서의 막 성장을 우선적으로 억제하기 위한 유기계 포이즈닝제를 포함한다. 기판 표면은, 가스 커튼을 통해 프로세싱 챔버의 제2 섹션으로 측방향으로 이동된다. 기판 표면은 프로세싱 챔버의 제2 섹션에서 제2 프로세스 조건에 노출된다. 제2 프로세스 조건은 실리콘 전구체를 포함한다. 기판 표면은, 가스 커튼을 통해 프로세싱 챔버의 제3 섹션으로 측방향으로 이동된다. 기판 표면은 프로세싱 챔버의 제3 섹션에서 제3 프로세스 조건에 노출된다. 제3 프로세스 조건은, SiO2 막을 형성하기 위한 산소-함유 반응물을 포함한다. 피쳐를 충전하기 위해, 기판 표면의 측방향 이동을 비롯하여 제1 섹션, 제2 섹션, 및 제3 섹션에 대한 노출이 반복된다.
[0007] 본 발명의 상기 인용된 특징들이 상세하게 이해될 수 있는 방식으로, 위에서 간략하게 요약된 본 발명의 보다 구체적인 설명이 실시예들을 참조하여 이루어질 수 있으며, 이러한 실시예들 중 일부가 첨부된 도면들에 예시되어 있다. 하지만, 첨부된 도면들은 본 발명의 단지 통상적인 실시예들을 예시하는 것이므로 본 발명의 범위를 제한하는 것으로 간주되지 않아야 한다는 것이 주목되어야 하는데, 이는 본 발명이 다른 균등하게 유효한 실시예들을 허용할 수 있기 때문이다.
[0008] 도 1은 본 개시내용의 하나 이상의 실시예에 따른 배치(batch) 프로세싱 챔버의 횡단면도를 도시한다.
[0009] 도 2는 본 개시내용의 하나 이상의 실시예에 따른 배치 프로세싱 챔버의 부분 사시도를 도시한다.
[0010] 도 3은 본 개시내용의 하나 이상의 실시예에 따른 배치 프로세싱 챔버의 개략도를 도시한다.
[0011] 도 4는 본 개시내용의 하나 이상의 실시예에 따른, 배치 프로세싱 챔버에서 사용하기 위한 웨지 형상(wedge shaped) 가스 분배 어셈블리의 부분의 개략도를 도시한다.
[0012] 도 5는 본 개시내용의 하나 이상의 실시예에 따른 배치 프로세싱 챔버의 개략도를 도시한다.
[0013] 도 6a 내지 도 6c는 본 개시내용의 하나 이상의 실시예에 따른 갭충전 프로세스를 도시한다.
[0014] 도 7은 본 개시내용의 하나 이상의 실시예에 따라, 암모니아 플라즈마 전력의 함수로써 사이클당 성장 및 습식 에칭률 비의 그래프를 도시한다.
[0015] 도 8은 본 개시내용의 하나 이상의 실시예에 따라, 표면 포이즈닝 동안의 챔버 압력의 함수로써 사이클당 성장 및 습식 에칭률 비의 그래프를 도시한다.
[0016] 도 9는 본 개시내용의 하나 이상의 실시예에 따라, 포이즈닝 시간의 함수로써 막 두께의 그래프를 도시한다.
[0017] 도 10은 본 개시내용의 하나 이상의 실시예에 따라, 노출 시간 및 사이클당 성장의 함수로써 막 두께의 그래프를 도시한다.
[0018] 도 11은 본 개시내용의 하나 이상의 실시예들에 따른, 포이즈닝을 이용하여 충전된 그리고 포이즈닝 없이 충전된 피쳐들의 횡단면 TEM을 도시한다.
[0019] 도 12는, 도 11에서의 수직 포지션의 함수로써 스텝 커버리지(step coverage)의 그래프를 도시한다.
[0020] 도 13은 본 개시내용의 하나 이상의 실시예에 따라 갭 충전된 트렌치들의 TEM 이미지를 도시한다.
[0021] 본 발명의 몇몇 예시적인 실시예들을 설명하기 전에, 본 발명은 하기의 설명에서 기술되는 구성 또는 프로세스 단계들의 세부사항들로 제한되지 않음이 이해되어야 한다. 본 발명은 다른 실시예들이 가능하며, 다양한 방식들로 실시되거나 수행될 수 있다.
[0022] 본원에서 사용되는 바와 같은 "기판"은, 제조 프로세스 동안 막 프로세싱이 수행되는, 임의의 기판, 또는 기판 상에 형성된 재료 표면을 지칭한다. 예컨대, 프로세싱이 수행될 수 있는 기판 표면은, 애플리케이션에 의존하여, 실리콘, 실리콘 산화물, 스트레인드 실리콘(strained silicon), SOI(silicon on insulator), 탄소 도핑된 실리콘 산화물들, 비정질 실리콘, 도핑된 실리콘, 게르마늄, 갈륨 비소, 유리, 사파이어와 같은 재료들, 및 임의의 다른 재료들, 이를테면 금속들, 금속 질화물들, 금속 합금들, 및 다른 전도성 재료들을 포함한다. 기판들은, 비제한적으로 반도체 웨이퍼들을 포함한다. 기판들은, 기판 표면을 연마(polish), 에칭, 환원, 산화, 히드록실화(hydroxylate), 어닐링(anneal) 및/또는 베이킹(bake)하기 위해 전처리 프로세스에 노출될 수 있다. 본 발명에서, 기판 자체의 표면 상에 직접적으로 막 프로세싱을 하는 것에 부가하여, 개시되는 막 프로세싱 단계들 중 임의의 막 프로세싱 단계는 또한, 아래에서 더 상세히 개시되는 바와 같이, 기판 상에 형성된 하부층 상에 수행될 수 있으며, "기판 표면"이라는 용어는 문맥이 표시하는 바에 따라 그러한 하부층을 포함하도록 의도된다. 따라서, 예컨대, 막/층 또는 부분적인 막/층이 기판 표면 상에 증착된 경우, 새롭게 증착된 막/층의 노출된 표면이 기판 표면이 된다.
[0023] 본 개시내용의 하나 이상의 실시예들은, 바텀 업 방식으로 막을 성장시키기 위해 트렌치의 최상부 및 최상부 측벽을 포이즈닝하기 위한 방법들에 관한 것이다. 본 개시내용의 일부 실시예들은, 막으로 5 초과의 종횡비들을 갖는 피쳐들을 유리하게 충전하는 방법들을 제공한다. 본 개시내용의 하나 이상의 실시예들은 유리하게, 높은 스루풋 및 반복성을 갖는, 피쳐들을 갭-충전하는 방법들을 제공한다.
[0024] 하나 이상의 실시예들에 따르면, 방법은 원자 층 증착(ALD) 프로세스를 사용한다. 이러한 실시예들에서, 기판 표면은 순차적으로 또는 실질적으로 순차적으로 전구체들(또는 반응성 가스들)에 노출된다. 본 명세서 전반에 걸쳐 본원에서 사용되는 바와 같이, "실질적으로 순차적으로"는, 전구체 노출의 지속기간의 대부분이 공-시약(co-reagent)에 대한 노출과 오버랩(overlap)하지 않는다는 것(그러나, 일부 오버랩이 존재할 수 있음)을 의미한다. 본 명세서 및 첨부된 청구항들에서 사용되는 바와 같이, "전구체", "반응물", "반응성 가스" 등과 같은 용어들은, 기판 표면과 반응할 수 있는 임의의 가스상 종(gaseous species)을 지칭하기 위해 상호교환가능하게 사용된다.
[0025] 하나 이상의 실시예들에서, 갭-충전 방법은, 원자층 증착(ALD) 프로세스를 사용하여 수행된다. ALD 프로세스는, 바이너리(binary)(또는 더 높은 차수의) 반응을 사용하여 재료의 단일 층이 증착되는 자기-제한적(self-limiting) 프로세스이다. ALD 프로세스에서의 개별 반응은, 기판 표면 상의 모든 이용가능한 활성 위치(site)들이 반응될 때까지 계속된다. ALD 프로세스들은 시간-도메인(time-domain) 또는 공간적 ALD에 의해 수행될 수 있다.
[0026] 시간-도메인 프로세스에서, 프로세싱 챔버 및 기판은 임의의 주어진 시간에 단일 반응성 가스에 노출된다. 예시적인 시간-도메인 프로세스에서, 프로세싱 챔버는, 금속 전구체가 기판 상의 이용가능한 위치들과 완전히 반응하는 것을 허용하도록 일정 시간 동안 금속 전구체로 충전될 수 있다. 그런 다음, 프로세싱 챔버에서, 제2 반응성 가스를 프로세싱 챔버 내로 유동시켜 제2 반응성 가스가 기판 상의 활성 위치들과 완전히 반응하는 것을 허용하기 전에, 전구체가 퍼지(purge)될 수 있다. 시간-도메인 프로세스는, 임의의 주어진 시간에 프로세싱 챔버 내에 하나의 반응성 가스만이 존재함을 보장함으로써 반응성 가스들의 혼합을 최소화한다. 임의의 반응성 가스 단계의 시작 시, 반응성 종의 농도가 0으로부터 최종 미리결정된 압력으로 되어야 함에 있어 지연이 존재한다. 유사하게, 프로세스 챔버로부터 반응성 종 전부를 퍼지함에 있어 지연이 존재한다.
[0027] 공간적 ALD 프로세스에서, 기판은, 단일 프로세싱 챔버 내의 상이한 프로세스 영역들 사이에서 이동된다. 개별 프로세스 영역들 각각은, 가스 커튼에 의해 인접 프로세스 영역들로부터 분리된다. 가스 커튼은, 반응성 가스들의 혼합을 방지하여 임의의 가스상 반응들을 최소화하는 것을 돕는다.
[0028] 일부 공간적 ALD 프로세싱 챔버들에서, 상이한 화학물질들 또는 플라즈마 가스들의 도입에 사용될 수 있는 다수의 가스 유입 채널(inlet channel)들이 존재한다. 공간적으로, 이러한 채널들은, 인접 프로세싱 영역들을 분리시키는 가스 커튼을 형성하는 진공 펌핑 홀(pumping hole)들 및/또는 불활성 퍼지 가스들에 의해 분리된다. 가스 커튼은, 반응성 가스들의 혼합을 방지하여 임의의 가스상 반응들을 최소화하는 것을 돕는다. 원치 않는 가스상 반응들을 피하기 위해 상이한 채널들로부터의 가스들의 혼합은 본질적으로 존재하지 않거나 최소로 존재한다. 이러한 상이한 공간적으로 분리된 채널들을 통해 이동하는 웨이퍼들에, 상이한 화학적 환경 또는 플라즈마 환경에 대한 순차적인 여러 번의 표면 노출들이 이루어지며, 그에 따라, 공간적 ALD 모드 또는 표면 에칭 프로세스에서 층단위(layer by layer) 막 성장이 가능해진다.
[0029] 일부 실시예들에서, 프로세싱 챔버는 가스 분배 컴포넌트 상에 모듈러(modular) 아키텍쳐들을 가지며, 각각의 모듈러는 이를테면 RF 또는 가스 유동을 독립적으로 제어하고, 이는, 공간적으로만이 아니라 유연한 빈도의 가스 유동 및/또는 RF 노출을 가능하게 한다. 본 개시내용의 실시예들은 이러한 아키텍쳐들을 활용하고, 트렌치의 최하부를 향한 표면 상의 억제제(inhibitor)의 느린 환원으로 피쳐의 최상부들 및 최상부 측부들에 집중되는 성장 억제제(표면 포이즈닝)를 이용하여 구조를 먼저 처리하는 것을 포함한다. 이는, 최상부에 비해 최하부에서 더 높은 전구체 흡수율(absorption rate)을 촉진시킬 것으로 믿어진다. 이러한 조건이 충족될 때, 다수의 ALD 사이클들이 바텀 업 성장으로 이어질 것이다. 일부 경우들에서는 포이즈닝은 한 번만 이루어져야 하고, 다른 경우들에서는 포이즈닝이 매 사이클마다 이용될 필요가 있을 수 있다. 대부분의 경우들에서, 포이즈닝 처리들의 최소량은 약 1 내지 약 50 사이클들의 범위 내에 있을 것이다.
[0030] 본 개시내용의 일부 실시예들은, 공간적 프로세싱 챔버로 또한 지칭되는 배치 프로세싱 챔버를 사용하는 막 증착 프로세스들에 관한 것이다. 도 1은, 주입기(injector)들 또는 주입기 어셈블리(assembly)로 또한 지칭되는 가스 분배 어셈블리(120), 및 서셉터(susceptor) 어셈블리(140)를 포함하는 프로세싱 챔버(100)의 횡단면을 도시한다. 가스 분배 어셈블리(120)는, 프로세싱 챔버에서 사용되는 임의의 타입의 가스 전달 디바이스이다. 가스 분배 어셈블리(120)는, 서셉터 어셈블리(140)에 대면하는 전방 표면(121)을 포함한다. 전방 표면(121)은, 서셉터 어셈블리(140)를 향해 가스들의 유동을 전달하기 위해 임의의 수의 또는 다양한 개구(opening)들을 가질 수 있다. 가스 분배 어셈블리(120)는 또한, 도시된 실시예들에서는 실질적으로 원형(round)인 외측 에지(outer edge)(124)를 포함한다.
[0031] 사용되는 가스 분배 어셈블리(120)의 특정 타입은, 사용되는 특정 프로세스에 의존하여 변할 수 있다. 본 발명의 실시예들은, 서셉터와 가스 분배 어셈블리 사이의 갭이 제어되는 임의의 타입의 프로세싱 시스템에 대해 사용될 수 있다. 다양한 타입들의 가스 분배 어셈블리들(예컨대, 샤워헤드(showerhead)들)이 이용될 수 있지만, 본 발명의 실시예들은 특히, 복수의 실질적으로 평행한 가스 채널들을 갖는 공간적 가스 분배 어셈블리들에 유용할 수 있다. 본 명세서 및 첨부된 청구항들에서 사용되는 바와 같이, "실질적으로 평행한"이라는 용어는, 가스 채널들의 세장형 축(elongate axis)이 동일한 일반적인 방향으로 연장됨을 의미한다. 가스 채널들의 평행도(parallelism)에 약간의 불완전성들이 존재할 수 있다. 바이너리 반응에서, 복수의 실질적으로 평행한 가스 채널들은, 적어도 하나의 제1 반응성 가스 A 채널, 적어도 하나의 제2 반응성 가스 B 채널, 적어도 하나의 퍼지 가스 P 채널 및/또는 적어도 하나의 진공 V 채널을 포함할 수 있다. 제1 반응성 가스 A 채널(들), 제2 반응성 가스 B 채널(들) 및 퍼지 가스 P 채널(들)로부터 유동하는 가스들은 웨이퍼의 최상부 표면을 향해 지향된다. 가스 유동 중 일부는, 웨이퍼의 표면을 거쳐 수평으로 이동하여, 퍼지 가스 P 채널(들)을 통해 프로세싱 영역 밖으로 이동한다. 가스 분배 어셈블리의 일 단부로부터 다른 단부로 이동하는 기판은, 프로세스 가스들 각각에 차례로 노출되어, 기판 표면 상에 층을 형성할 것이다.
[0032] 일부 실시예들에서, 가스 분배 어셈블리(120)는, 단일 주입기 유닛으로 제조되는 강성의 정지형 바디(rigid stationary body)이다. 하나 이상의 실시예들에서, 도 2에 도시된 바와 같이, 가스 분배 어셈블리(120)는 복수의 개별적인 섹터(sector)들(예컨대, 주입기 유닛들(122))로 구성된다. 설명되는 본 발명의 다양한 실시예들에 대해 단일 피스(piece) 바디 또는 다중-섹터 바디가 사용될 수 있다.
[0033] 서셉터 어셈블리(140)는 가스 분배 어셈블리(120) 아래에 포지셔닝된다. 서셉터 어셈블리(140)는, 최상부 표면(141) 및 최상부 표면(141)의 적어도 하나의 리세스(142)를 포함한다. 서셉터 어셈블리(140)는 또한 최하부 표면(143) 및 에지(144)를 갖는다. 리세스(142)는, 프로세싱되는 기판들(60)의 형상 및 사이즈에 의존하여, 임의의 적절한 형상 및 사이즈일 수 있다. 도 1에 도시된 실시예에서, 리세스(142)는 웨이퍼의 최하부를 지지하기 위한 평평한 최하부를 갖지만, 리세스의 최하부는 변할 수 있다. 일부 실시예들에서, 리세스는 리세스의 외측 둘레 에지 주위에 스텝 영역(step region)들을 가지며, 이 스텝 영역들은 웨이퍼의 외측 둘레 에지를 지지하도록 사이즈가 정해진다. 스텝들에 의해 지지되는, 웨이퍼의 외측 둘레 에지의 양(amount)은, 예컨대, 웨이퍼의 후면측 상에 이미 존재하는 피쳐들의 존재, 및 웨이퍼의 두께에 의존하여 변할 수 있다.
[0034] 일부 실시예들에서, 도 1에 도시된 바와 같이, 서셉터 어셈블리(140)의 최상부 표면(141)의 리세스(142)는, 리세스(142) 내에 지지되는 기판(60)이 서셉터(140)의 최상부 표면(141)과 실질적으로 동일 평면 상에 있는 최상부 표면(61)을 갖도록, 사이즈가 정해진다. 본 명세서 및 첨부된 청구항들에서 사용되는 바와 같이, "실질적으로 동일 평면 상에 있는"이라는 용어는, 웨이퍼의 최상부 표면과 서셉터 어셈블리의 최상부 표면이 ±0.2 mm 내에서 동일 평면 상에 있다는 것을 의미한다. 일부 실시예들에서, 최상부 표면들은, ±0.15 mm, ±0.10 mm, 또는 ±0.05 mm 내에서 동일 평면 상에 있다.
[0035] 도 1의 서셉터 어셈블리(140)는, 서셉터 어셈블리(140)를 상승, 하강, 및 회전시킬 수 있는 지지 포스트(post)(160)를 포함한다. 서셉터 어셈블리는, 지지 포스트(160)의 중심 내에 가열기, 또는 가스 라인들, 또는 전기 컴포넌트들을 포함할 수 있다. 지지 포스트(160)는, 서셉터 어셈블리(140)와 가스 분배 어셈블리(120) 사이의 갭을 증가시키거나 감소시켜서 서셉터 어셈블리(140)를 적절한 포지션으로 이동시키는 주요 수단일 수 있다. 서셉터 어셈블리(140)는 또한, 서셉터 어셈블리(140)와 가스 분배 어셈블리(120) 사이에 미리결정된 갭(170)을 생성하기 위해 서셉터 어셈블리(140)에 대해 미세-조정(micro-adjustment)들을 행할 수 있는 정밀 튜닝 액추에이터(fine tuning actuator)들(162)을 포함할 수 있다.
[0036] 일부 실시예들에서, 갭(170) 거리는, 약 0.1 mm 내지 약 5.0 mm의 범위, 또는 약 0.1 mm 내지 약 3.0 mm의 범위, 또는 약 0.1 mm 내지 약 2.0 mm의 범위, 또는 약 0.2 mm 내지 약 1.8 mm의 범위, 또는 약 0.3 mm 내지 약 1.7 mm의 범위, 또는 약 0.4 mm 내지 약 1.6 mm의 범위, 또는 약 0.5 mm 내지 약 1.5 mm의 범위, 또는 약 0.6 mm 내지 약 1.4 mm의 범위, 또는 약 0.7 mm 내지 약 1.3 mm의 범위, 또는 약 0.8 mm 내지 약 1.2 mm의 범위, 또는 약 0.9 mm 내지 약 1.1 mm의 범위, 또는 약 1 mm 이다.
[0037] 도면들에 도시된 프로세싱 챔버(100)는, 서셉터 어셈블리(140)가 복수의 기판들(60)을 홀딩(hold)할 수 있는 캐러셀-타입 챔버(carousel-type chamber)이다. 도 2에 도시된 바와 같이, 가스 분배 어셈블리(120)는 복수의 별개의 주입기 유닛들(122)을 포함할 수 있고, 각각의 주입기 유닛(122)은, 웨이퍼가 주입기 유닛 아래로 이동됨에 따라, 웨이퍼 상에 막을 증착할 수 있다. 2개의 파이-형상(pie-shaped) 주입기 유닛들(122)이, 서셉터 어셈블리(140) 위에 그리고 서셉터 어셈블리(140)의 대략적 대향 측들 상에 포지셔닝된 것으로 도시된다. 이러한 수의 주입기 유닛들(122)은 단지 예시적인 목적들을 위해 도시된다. 더 많거나 더 적은 주입기 유닛들(122)이 포함될 수 있다는 것이 이해될 것이다. 일부 실시예들에서, 서셉터 어셈블리(140)의 형상과 일치하는 형상을 형성하기에 충분한 수의 파이-형상 주입기 유닛들(122)이 존재한다. 일부 실시예들에서, 개별적 파이-형상 주입기 유닛들(122) 각각은, 다른 주입기 유닛들(122) 중 어느 것에도 영향을 미치지 않으면서, 독립적으로 이동, 제거, 및/또는 교체될 수 있다. 예컨대, 로봇이 기판들(60)을 로딩/언로딩하기 위해 서셉터 어셈블리(140)와 가스 분배 어셈블리(120) 사이의 영역에 액세스하는 것을 허용하기 위하여, 하나의 세그먼트(segment)가 상승될 수 있다.
[0038] 웨이퍼들이 동일한 프로세스 흐름을 경험하도록, 다수의 웨이퍼들을 동시에 프로세싱하기 위해, 다수의 가스 주입기들을 갖는 프로세싱 챔버들이 사용될 수 있다. 예컨대, 도 3에 도시된 바와 같이, 프로세싱 챔버(100)는 4개의 가스 주입기 어셈블리들 및 4개의 기판들(60)을 갖는다. 프로세싱의 착수 시에, 기판들(60)은 주입기 어셈블리들(30) 사이에 포지셔닝될 수 있다. 서셉터 어셈블리(140)를 45°만큼 회전시키는 것(17)은, 주입기 어셈블리들(120) 아래의 점선 원에 의해 예시된 바와 같이, 주입기 어셈블리들(120) 사이에 있는 각각의 기판(60)이, 막 증착을 위해 주입기 어셈블리(120)로 이동되는 것을 초래할 것이다. 부가적인 45° 회전은 기판들(60)을 주입기 어셈블리들(30)로부터 멀어지게 이동시킬 것이다. 기판들(60) 및 가스 분배 어셈블리들(120)의 수는 동일하거나 상이할 수 있다. 일부 실시예들에서, 존재하는 가스 분배 어셈블리들과 동일한 수의 프로세싱되는 웨이퍼들이 존재한다. 하나 이상의 실시예들에서, 프로세싱되는 웨이퍼들의 수는, 가스 분배 어셈블리들의 수의 분율(fraction) 또는 정수배이다. 예컨대, 4개의 가스 분배 어셈블리들이 존재하는 경우, 프로세싱되는 4x개의 웨이퍼들이 존재하며, 여기서, x는 1과 동일하거나 그 초과인 정수 값이다. 일 예시적인 실시예에서, 가스 분배 어셈블리(120)는 가스 커튼들에 의해 분리되는 8개의 프로세싱 영역들을 포함하며, 서셉터 어셈블리(140)는 6개의 웨이퍼들을 홀딩할 수 있다.
[0039] 도 3에 도시된 프로세싱 챔버(100)는 단지 하나의 가능한 구성을 나타낼 뿐이며, 본 발명의 범위를 제한하는 것으로 고려되지 않아야 한다. 여기서, 프로세싱 챔버(100)는 복수의 가스 분배 어셈블리들(120)을 포함한다. 도시된 실시예에서, 프로세싱 챔버(100) 둘레에 균등하게 이격된 4개의 가스 분배 어셈블리들(주입기 어셈블리들(30)로 또한 지칭됨)이 존재한다. 도시된 프로세싱 챔버(100)는 팔각형이지만, 이는 하나의 가능한 형상이고, 본 발명의 범위를 제한하는 것으로 고려되지 않아야 한다는 것을 당업자들은 이해할 것이다. 도시된 가스 분배 어셈블리들(120)은 사다리꼴이지만, 단일 원형 컴포넌트이거나 또는 도 2에 도시된 것과 같이 복수의 파이-형상 세그먼트들로 구성될 수 있다.
[0040] 도 3에 도시된 실시예는 로드 록(load lock) 챔버(180), 또는 버퍼 스테이션과 같은 보조 챔버를 포함한다. 이러한 챔버(180)는, 예컨대 기판들(기판들(60)로 또한 지칭됨)이 챔버(100)로 로딩되는 것/챔버(100)로부터 언로딩되는 것을 허용하기 위해, 프로세싱 챔버(100)의 측면에 연결된다. 기판을 서셉터 상으로 이동시키기 위해, 웨이퍼 로봇이 챔버(180)에 포지셔닝될 수 있다.
[0041] 캐러셀(예컨대, 서셉터 어셈블리(140))의 회전은 연속적이거나 단속적(intermittent)(불연속적)일 수 있다. 연속적인 프로세싱에서, 웨이퍼들은, 웨이퍼들이 주입기들 각각에 차례로 노출되도록, 끊임없이 회전한다. 불연속적인 프로세싱에서, 웨이퍼들은, 주입기 영역으로 이동되어 정지될 수 있으며, 그런 다음, 주입기들 사이의 영역(84)으로 이동되어 정지될 수 있다. 예컨대, 캐러셀은, 웨이퍼들이 주입기를 거쳐 주입기-간(inter-injector) 영역으로부터 이동하고(또는, 주입기 근처에서 정지함) 그리고 캐러셀이 다시 일시정지될 수 있는 다음 주입기-간 영역으로 이동하도록, 회전할 수 있다. 주입기들 사이에서 일시정지되는 것은, 각각의 층 증착 사이의 부가적의 프로세싱 단계들(예컨대, 플라즈마에 대한 노출)을 위한 시간을 제공할 수 있다.
[0042] 도 4는, 주입기 유닛(122)으로 지칭될 수 있는 가스 분배 어셈블리(220)의 섹터 또는 부분을 도시한다. 주입기 유닛들(122)은 개별적으로 또는 다른 주입기 유닛들과 결합되어 사용될 수 있다. 예컨대, 도 5에 도시된 바와 같이, 도 4의 주입기 유닛(122)들 4개가 결합되어, 단일 가스 분배 어셈블리(220)를 형성한다. (명확성을 위해, 4개의 주입기 유닛들을 분리하는 라인들은 도시되지 않음) 도 4의 주입기 유닛(122)이, 퍼지 가스 포트들(155) 및 진공 포트들(145)에 부가하여, 제1 반응성 가스 포트(125) 및 제2 가스 포트(135) 둘 모두를 갖지만, 주입기 유닛(122)이 이들 컴포넌트들 전부를 필요로 하지는 않는다.
[0043] 도 4 및 도 5 둘 모두를 참조하면, 하나 이상의 실시예에 따른 가스 분배 어셈블리(220)는, 복수의 섹터들(또는 주입기 유닛들(122))을 포함할 수 있고, 각각의 섹터는 동일하거나 상이하다. 가스 분배 어셈블리(220)는 프로세싱 챔버 내에 포지셔닝되며, 가스 분배 어셈블리(220)의 전방 표면(121)에 복수의 세장형 가스 포트들(125, 135, 145)을 포함한다. 복수의 세장형 가스 포트들(125, 135, 145, 155)은, 가스 분배 어셈블리(220)의 내측 둘레 에지(123)에 인접한 영역으로부터 외측 둘레 에지(124)에 인접한 영역을 향해 연장된다. 도시된 복수의 가스 포트들은, 제1 반응성 가스 포트(125), 제2 가스 포트(135), 제1 반응성 가스 포트들 및 제2 반응성 가스 포트들 각각을 둘러싸는 진공 포트(145), 및 퍼지 가스 포트(155)를 포함한다.
[0044] 도 4 또는 도 5에 도시된 실시예들을 참조하면, 포트들이 적어도 내측 둘레 영역 주위로부터 적어도 외측 둘레 영역 주위로 연장되는 것으로 서술되지만, 포트들은 내측 영역으로부터 외측 영역으로 단지 방사상으로 연장되는 것 이상으로 연장될 수 있다. 포트들은, 진공 포트(145)가 반응성 가스 포트(125) 및 반응성 가스 포트(135)를 둘러쌈에 따라, 접선방향으로(tangentially) 연장될 수 있다. 도 4 및 도 5에 도시된 실시예에서, 웨지 형상 반응성 가스 포트들(125, 135)은, 내측 둘레 영역 및 외측 둘레 영역에 인접해 있는 것을 포함하는 모든 에지들이 진공 포트(145)에 의해 둘러싸인다.
[0045] 도 4를 참조하면, 기판이 경로(127)를 따라 이동함에 따라, 기판 표면의 각각의 부분은 다양한 반응성 가스들에 노출된다. 경로(127)를 따르기 위해, 기판은, 퍼지 가스 포트(155), 진공 포트(145), 제1 반응성 가스 포트(125), 진공 포트(145), 퍼지 가스 포트(155), 진공 포트(145), 제2 가스 포트(135) 및 진공 포트(145)에 노출되거나 또는 이들을 "겪을(see)" 것이다. 따라서, 도 4에 도시된 경로(127)의 종단에서, 기판은 제1 반응성 가스(125) 및 제2 반응성 가스(135)에 노출되어 층을 형성한다. 도시된 주입기 유닛(122)은 사분원(quarter circle)을 구성하지만, 더 크거나 더 작을 수 있다. 도 5에 도시된 가스 분배 어셈블리(220)는, 도 4의 주입기 유닛(122) 4개가 연속해서 연결되어 결합된 것으로 고려될 수 있다.
[0046] 도 4의 주입기 유닛(122)은, 반응성 가스들을 분리하는 가스 커튼(150)을 도시한다. "가스 커튼"이라는 용어는, 혼합으로부터 반응성 가스들을 분리하는, 가스 유동들 또는 진공의 임의의 조합을 설명하기 위해 사용된다. 도 4에 도시된 가스 커튼(150)은, 제1 반응성 가스 포트(125) 옆의 진공 포트(145)의 부분, 중간의 퍼지 가스 포트(155), 및 제2 가스 포트(135) 옆의 진공 포트(145)의 부분을 포함한다. 가스 유동 및 진공의 이러한 조합은, 제1 반응성 가스와 제2 반응성 가스의 가스상 반응들을 방지하거나 최소화하는 데 사용될 수 있다.
[0047] 도 5를 참조하면, 가스 분배 어셈블리(220)로부터의 가스 유동들 및 진공의 조합은, 복수의 프로세싱 영역들(250)로의 분리(separation)를 형성한다. 프로세싱 영역들은, 프로세싱 영역들(250) 사이의 가스 커튼(150)과 함께, 개별적인 반응성 가스 포트들(125, 135) 주위에 개략적으로 정의된다. 도 5에 도시된 실시예는 8개의 별개의 프로세싱 영역들(250)을 구성하며, 이들 사이에 8개의 별개의 가스 커튼들(150)이 있다. 프로세싱 챔버는 적어도 2개의 프로세싱 영역을 가질 수 있다. 일부 실시예들에서, 적어도 3개, 4개, 5개, 6개, 7개, 8개, 9개, 10개, 11개 또는 12개의 프로세싱 영역들이 존재한다.
[0048] 프로세싱 동안, 기판은 임의의 주어진 시간에서 하나 초과의 프로세싱 영역(250)에 노출될 수 있다. 그러나, 상이한 프로세싱 영역들에 노출되는 부분들은 그 둘을 분리하는 가스 커튼을 가질 것이다. 예컨대, 기판의 리딩 에지(leading edge)가, 제2 가스 포트(135)를 포함하는 프로세싱 영역에 진입하는 경우, 기판의 중간 부분은 가스 커튼(150) 아래에 있을 것이며, 기판의 트레일링 에지(trailing edge)는 제1 반응성 가스 포트(125)를 포함하는 프로세싱 영역 내에 있을 것이다.
[0049] 예컨대, 로드 록 챔버일 수 있는 팩토리 인터페이스(factory interface)(280)가 프로세싱 챔버(100)에 연결된 것으로 도시되어 있다. 기판(60)은, 레퍼런스 프레임(frame of reference)을 제공하기 위해 가스 분배 어셈블리(220) 위에 겹쳐져 있는 것으로 도시된다. 기판(60)은 종종, 가스 분배 플레이트(120)의 전방 표면(121) 근처에 홀딩될 서셉터 어셈블리 상에 놓일 수 있다. 기판(60)은, 팩토리 인터페이스(280)를 통해 프로세싱 챔버(100) 내로, 기판 지지부 또는 서셉터 어셈블리(도 3 참조) 상에 로딩된다. 기판(60)은 프로세싱 영역 내에 포지셔닝되는 것으로 도시될 수 있는데, 이는, 기판이 제1 반응성 가스 포트(125)에 인접하게 그리고 2개의 가스 커튼들(150a, 150b) 사이에 로케이팅되기 때문이다. 경로(127)를 따라 기판(60)을 회전시키는 것은, 기판을 프로세싱 챔버(100) 주위로 반시계방향으로 이동시킬 것이다. 따라서, 기판(60)은 제1 프로세싱 영역(250a) 내지 8번째 프로세싱 영역(250h)(이들 사이의 모든 프로세싱 영역들을 포함함)에 노출될 것이다.
[0050] 본 발명의 실시예들은, 복수의 프로세싱 영역들(250a 내지 250h)을 갖는 프로세싱 챔버(100)를 포함하는 프로세싱 방법들에 관한 것이며, 각각의 프로세싱 영역은 가스 커튼(150)에 의해 인접 영역으로부터 분리된다. 예컨대, 프로세싱 챔버는 도 5에 도시된다. 프로세싱 챔버 내의 가스 커튼들 및 프로세싱 영역들의 수는, 가스 유동들의 어레인지먼트(arrangement)에 따라 임의의 적절한 수일 수 있다. 도 5에 도시된 실시예는 8개의 가스 커튼들(150) 및 8개의 프로세싱 영역들(250a-250h)을 갖는다. 가스 커튼들의 수는 일반적으로, 프로세싱 영역들의 수와 동일하거나 그 초과이다.
[0051] 복수의 기판들(60)이, 기판 지지부, 예컨대, 도 1 및 도 2에 도시된 서셉터 어셈블리(140) 상에 포지셔닝된다. 복수의 기판들(60)은 프로세싱을 위해 프로세싱 영역들 주위로 회전된다. 일반적으로, 가스 커튼들(150)은, 챔버 내로 어떠한 반응성 가스도 유동하지 않는 기간들을 포함하여 프로세싱 전체에 걸쳐 인게이징(engag)된다(가스가 유동하고 진공이 온(on) 됨).
[0052] 제1 반응성 가스 A는 프로세싱 영역들(250) 중 하나 이상 내로 유동되는 한편, 불활성 가스는, 제1 반응성 가스 A가 그 내부로 유동되지 않는 임의의 프로세싱 영역(250) 내로 유동된다. 예컨대, 제1 반응성 가스가 프로세싱 영역들(250b) 내지 프로세싱 영역(250h) 내로 유동되는 경우, 불활성 가스는 프로세싱 영역(250a) 내로 유동될 것이다. 불활성 가스는 제1 반응성 가스 포트(125) 또는 제2 가스 포트(135)를 통해 유동될 수 있다.
[0053] 프로세싱 영역들 내에서의 불활성 가스 유동은 일정하거나 또는 변할 수 있다. 일부 실시예들에서, 반응성 가스는 불활성 가스와 공동-유동(co-flow)된다. 불활성 가스는 캐리어 및 희석제로서 작용할 것이다. 캐리어 가스에 비해 반응성 가스의 양이 적으므로, 공동-유동은 인접 영역들 간의 압력 차이들을 감소시킴으로써 프로세싱 영역들 간의 가스 압력들을 더 용이하게 밸런싱(balance)하게 할 수 있다.
[0054] 도 6a 내지 도 6c는, 표면 포이즈닝을 사용하는 갭 충전 프로세스의 예시를 도시한다. 본 개시내용의 일부 실시예들은 트렌치의 최하부를 향한 표면 상의 억제제(inhibitor)의 느린 환원으로 최상부들 및 최상부 측부들에 집중되는 성장 억제제(표면 포이즈닝)를 이용하여 구조를 먼저 처리하는 것을 포함한다. 이는, 구조의 최상부에 비해 최하부에서의 더 높은 전구체 흡수율을 촉진시킨다. 이러한 조건이 충족될 때, 다수의 ALD 사이클들은 바텀 업 성장을 초래한다. 일부 실시예들에서, 포이즈닝은 한 번 수행된다. 일부 실시예들에서, 포이즈닝은 매 프로세스 사이클마다 이용된다. 일부 실시예들에서, 포이즈닝 처리들은 약 10 내지 약 50 사이클들의 범위 후에 발생한다.
[0055] 본 개시내용의 일부 실시예들은, 트렌치의 최상부로부터 최하부로 포이즈닝 구배(gradient)를 생성하기 위해 지향성(directional) 플라즈마를 사용하는 방법들에 관한 것이다. 다이렉트 플라즈마(direct plasma)는, 트렌치의 최상부들 및 최하부들에 충격을 가할 수 있는 지향성 이온들을 생성한다. 트렌치가 작은 개구 및 긴 길이(AR>10:1)를 가지면, 플라즈마는, 표면들을 최상부로부터 측부를 따라 아래로 최하부까지 비활성화(de-activate)하도록 압력(0-15 T) 및 전력(50-1000 W)을 통해 튜닝될 수 있다. 포이즈닝 프로세스가 정확하게 튜닝되면, 포이즈닝은, (도 6b에 도시된 바와 같이) 최상부 상에서 더 강하고 측부들 상에서 더 약할 수 있다. 막 증착 프로세스는, 사용할 플라즈마 포이즈닝의 타입을 결정할 것이다. 예를 들면, 비스(디에틸아미노)실란(BDEAS) 및 O2 플라즈마를 사용하는 SiO2의 ALD의 경우, O2 플라즈마가 후속되는 BDEAS 전에 NH3 플라즈마 포이즈닝 단계를 이용하는 것은, 성장의 감소로 이어진다. 임의의 특정 동작 이론에 얽매임이 없이, 성장 감소가 발생하게 하는 메커니즘은, BDEAS 상의 아민기들과 반응할 수 없는 표면 NH2기들의 형성으로부터 비롯되는 것으로 여겨진다.
[0056] 도 7은, NH3 플라즈마 전력 대 사이클당 성장(GPC; growth per cycle)의 플롯을 도시한다. 이 프로세스에 대한 펄스열(pulse train)은: 3초 NH3 플라즈마; 20초 퍼지; 1초 BDEAS, 20초 퍼지; 5초 O2 플라즈마; 및 20초 퍼지이다. 기판 온도는 350 ℃이다. NH3 플라즈마 전력은 50-500 W이고, 압력은 0.5-10 Torr이다. O2 플라즈마 전력은 50-500 W이고, 압력은 0.5-10 Torr이다. 퍼지/캐리어 가스 유동 또는 Ar 또는 N2는 50-5000 sccm이다. 400 W의 NH3 플라즈마 전력에서, 성장률(0.38 Å/사이클)은, NH3 플라즈마 처리가 없는 BDEAS/O2 플라즈마 프로세스에 대해 관측되는 성장률(0.83 Å/사이클)의 절반이다.
[0057] GPC에 대한 NH3 포이즈닝 압력의 효과가 도 8에 도시된다. 막의 두께는 트렌치의 최상부로부터 최하부로 50 사이클에 걸쳐 느리게 증가한다는 것이 관측되었다. 100 사이클 이후, 보이드(void) 또는 시임(seam)의 존재의 표시 없이 트렌치의 대부분이 충전되는 것으로 관측되었다. 바텀 업 성장을 표시하는, 최상부 = 70.7 Å, 최상부-측부 = 117 Å, 및 최하부-측부 = 156.0 Å를 갖는 유사한 두께 프로파일이 관측되었다.
[0058] 본 방법들의 실시예들은 표면들을 포이즈닝하기 위해 다양한 플라즈마 가스들을 사용할 수 있다. 일부 플라즈마 가스들은, NH3, N2, Ar, H2O, CO2, N2O, H2, N2 + H2, 히드라진, 및 이들의 조합들을 포함하지만 이들로 제한되지 않는다.
[0059] 본 개시내용의 일부 실시예들은, 플라즈마(예컨대, Ar, N2, H2, NH3) 내에 포이즈닝 분자들을 도입시킨다. 포이즈닝 분자들은, 히드라진, 물, 에틸렌디아민, 에탄올아민, 알칸류, 아민류, 알켄류, 폴리아민류, 에폭시류, 알코올류, 및 이들의 조합들을 포함하지만 이들로 제한되지 않는다. 일부 실시예들에서, 표면을 포이즈닝하는 것은 표면을 유기계 포이즈닝제에 노출시키는 것을 포함한다. 일부 실시예들에서, 유기계 포이즈닝제는, 탄소 및 수소를 함유하는 분자를 포함한다. 하나 이상의 실시예들에서, 유기계 포이즈닝제는, 산소, 질소, 황, 및/또는 할로겐 원자들 중 하나 이상을 또한 포함하는 탄소 및 수소 함유 분자들을 포함한다. 일부 실시예들에서, 유기계 포이즈닝제는, 에탄올아민(ETA), 헥산, 및/또는 톨루엔 중 하나 이상을 포함한다. 하나 이상의 실시예들에서, 억제제는, 몰 기준으로 약 10 %와 동일하거나 그 초과의 유기계 포이즈닝제를 포함한다.
[0060] 하나 이상의 실시예들에서, 포이즈닝 분자는, 최상부 피쳐들 및 피쳐들의 최상부 측부들(즉, 피쳐들의 측부들의 최상부 부분)을 포이즈닝하기에 충분한 분자들만이 플라즈마 내에 존재하도록, 분자의 아포화 도우즈(sub-saturative dose of the molecule)만이 플라즈마 내에 도입된다. 이와 관련하여 사용되는 바와 같이, 아포화 도우즈는, 포이즈닝 분자들 중 본질적으로 모든 분자들(>90 %)이 피쳐(예컨대, 트렌치)의 최하부와 반응하기 전에 소모될 때 발생한다. 일부 실시예들의 아포화 도우즈는, 분자의 점착 계수(sticking coefficient), 농도, 및/또는 라디칼/이온 수명 중 하나 이상에 의존한다.
[0061] 다른 실시예에서, 플라즈마는, 스퍼터링이 구조의 최상부에서 발생하여 바텀 업 성장으로 이어지도록 튜닝될 수 있다. 단지 최상부들 및 측부들을 포이즈닝하는 대신, 플라즈마는 ALD 사이클들 동안 재료를 제거하도록 튜닝될 수 있다.
[0062] 일부 실시예들에서, 아포화 전구체 도우징(dosing)에 의한 열적 포이즈닝이 사용될 수 있다. 포이즈닝 효과를 달성하기 위한 일 방식은, (플라즈마의 사용 없이도) 표면과 열적으로 반응할 수 있는 포이즈닝 분자를 사용하는 것일 것이다. 이러한 방식으로, 포이즈닝 분자는, 구조의 최상부들 및 측부-최상부들과 반응하기에 충분한 전구체들만이 존재하도록, 작은 도우즈로 표면에 도입될 수 있다. 전구체가 다 사용된 후, 트렌치 아래로 어떠한 반응도 더 존재하지 않을 수 있다. 일부 실시예들의 포이즈닝 분자는 충전 프로세스의 성장을 포이즈닝하고 표면과 신속하게 반응한다.
[0063] 예컨대, 바텀 업 방식으로 SiN을 성장시키기 위해 낮은 도우즈의 물 이후에 실릴 할로겐화물(예컨대, SiBr4)이 그리고 그 다음에 NH3 가스가 후속될 수 있다. 이러한 시퀀스의 연속적인 사이클들이 사용되어 트렌치를 완전히 충전할 수 있다. 낮은 도우즈의 H2O는 최상부들 및 최상부 측부들 상에 OH를 만들지만, 트렌치의 최하부에는 만들지 않는다. Si-OH 결합들은 150 내지 450 ℃의 온도들에서 Si-X(X = 할로겐화물 결합)에 대해 활성이 아니다. SiX4가 OH기들과 반응할 수 없으면, 구조의 최상부들 및 최상부 측부들에서의 더 낮은 성장은 바텀 업 성장으로 이어질 것이다.
[0064] 일부 실시예들에서, 표면을 포이즈닝하기 위해 아포화 도우즈들의 에틸렌디아민 또는 다른 폴리아민류가 사용된다. 포이즈닝 분자들의 예들은, 알킬류, 폴리아민류, 알코올류, OH기 및 NHx기를 함유하는 분자들, 및 이들의 조합들을 포함하지만 이들로 제한되지 않는다. ALD 프로세스의 성장을 포이즈닝하는 임의의 분자가 사용될 수 있다.
[0065] 일부 실시예들은 CVD 프로세스 동안 사용되며, 여기서, CVD를 수행하는 동안 아포화 도우즈가 표면 포이즈닝 분자로 사용된다. 예컨대, TEOS/O3 프로세스 CVD 프로세스에 매우 낮은 도우즈 H2O를 부가하는 것은, 구조들의 최상부들 및 최상부 측부들을 비활성화하여, 트렌치의 최하부에서의 더 높은 성장으로 이어지고, 그에 따라, 바텀 업 갭 충전으로 이어진다.
[0066] 일부 실시예들에서, 기판 표면은 적어도 하나의 피쳐를 갖는다. 피쳐는, 예컨대, 트렌치 또는 필러일 수 있다. 이와 관련하여 사용되는 바와 같이, "피쳐"라는 용어는 임의의 의도적인 표면 불규칙성을 의미한다. 피쳐들의 적절한 예들은, 최상부, 2개의 측벽들 및 최하부를 갖는 트렌치들, 최상부 및 2개의 측벽들을 갖는 피크(peak)들을 포함한다(그러나 이들로 제한되지 않음). 피쳐들은 임의의 적절한 종횡비(피쳐의 깊이 대 피쳐의 폭의 비)를 가질 수 있다. 일부 실시예들에서, 종횡비는 약 5:1, 10:1, 15:1, 20:1, 25:1, 30:1, 35:1 또는 40:1과 동일하거나 그 초과이다.
[0067] 도 6a를 참조하면, 기판(310) 내의 트렌치로서 피쳐(330)가 도시된다. 피쳐는 최상부(332), 최하부(336), 및 측벽들(334)을 갖는다. 측벽들(334) 사이에 갭이 생성된다. 피쳐 상에 증착되는 막은, 트렌치의 최상부(332), 최하부(336), 및 측벽들(334)을 커버할 것이다.
[0068] 본 개시내용의 하나 이상의 실시예들은, 기판을 포이즈닝하는 단계 및 바텀 업 방식으로 막을 증착하는 단계를 포함하는 프로세싱 방법들에 관한 것이다. 이와 관련하여 사용되는 바와 같이, "바텀 업 방식"이라는 용어는, 피쳐의 최상부에 비해 피쳐의 최하부에 막이 우선적으로 증착된다는 것을 의미한다.
[0069] 하나 이상의 실시예들은, 증착되는 막의 성장을 억제하기 위한, 피쳐(330)의 최상부(332)의 포이즈닝을 포함한다. 도 6a에서, 적어도 하나의 피쳐(330)를 갖는 기판이 프로세싱 챔버 내에 포지셔닝된다. 기판(310)은, 도 6b에 도시된 바와 같이, 최상부 표면(332)을 우선적으로 포이즈닝하여 포이즈닝된 부분(340)을 생성하기 위해 반응물에 노출된다. 포이즈닝은, 도시된 바와 같이, 피쳐의 최상부 상에만 있을 수 있거나 피쳐의 최하부를 향해 강도가 감소할 수 있다. 포이즈닝제 중 일부가 피쳐에 진입하는 것에 기인하여 구배 포이즈닝이 발생할 수 있다.
[0070] 기판(310) 및 피쳐(330) 상에 막(350)이 증착된다. 최상부(352)에서의 막(350)의 두께는 최하부(356)에서의 막(350)의 두께보다 작다. 바텀 업 방식으로 피쳐의 갭을 충전시키기 위해 프로세스가 반복될 수 있다. 막은, ALD 및 CVD를 포함하는(그러나 이들로 제한되지 않음) 임의의 적절한 기법에 의해 증착될 수 있다. 일부 실시예들에서, 막은, 기판이 전구체 및 반응물에 순차적으로 노출되는 ALD 프로세스에 의해 증착된다.
[0071] 도 6c는, 피쳐의 최상부 상의 소량의 성장을 도시한다. 일부 실시예들에서, 포이즈닝제에 대한 노출 이후 피쳐의 최상부 상에 실질적으로 성장이 존재하지 않는다. 이와 관련하여 사용되는 바와 같이, 실질적으로 성장이 존재하지 않는다는 것은, 피쳐의 최상부 상에서의 성장이 피쳐의 최하부에서 발생하는 성장의 약 25 % 미만이라는 것을 의미한다.
[0072] 막의 증착은, 포이즈닝제가 비활성화되는 것을 초래할 수 있다. 달리 말하자면, 막 증착 프로세스는 포이즈닝 프로세스를 역전시킬 수 있다. 일부 실시예들에서, 기판은, 막 성장의 모든 각각의 사이클 후에 또는 전구체에 대한 각각의 노출 전에 포이즈닝제에 노출된다. 일부 실시예들에서, 기판 표면은, 약 10 Å 내지 약 50 Å의 범위 내의 두께를 갖는 막을 증착한 후 포이즈닝제에 노출된다. 일부 실시예들에서, 기판을 포이즈닝하는 것은, 2회 내지 약 10회의 범위 내에서 기판을 전구체 및 반응물에 순차적으로 노출시킨 후 발생한다.
[0073] 일부 실시예들의 포이즈닝제는 플라즈마이다. 플라즈마 포이즈닝제는, NH3, N2, Ar, H2O, CO2, N2O, H2, N2 + H2, 및/또는 히드라진 중 하나 이상일 수 있다. 플라즈마는 원격 플라즈마 또는 다이렉트 플라즈마일 수 있다. 일부 실시예들에서, 플라즈마는, 기판 표면을 향해 이온들 및/또는 라디칼들을 지향시키도록 바이어싱되는 지향성 플라즈마이다.
[0074] 일부 실시예들에서, 포이즈닝제는 플라즈마 내에 도입된다. 예컨대, 플라즈마는 표면을 충분히 포이즈닝하지 않을 수 있거나 포이즈닝제로서 전혀 작용하지 않을 수 있다. 포이즈닝제는, 플라즈마에 부가될 수 있고 그리고 플라즈마에 의해 활성화될 수 있다. 포이즈닝제는, 포이즈닝을 피쳐의 최상부로 제한하거나 피쳐의 최상부와 우선적으로 반응하는 것을 돕기 위해 아포화량으로 부가될 수 있다. 달리 말하자면, 일부 실시예들은, 최상부 피쳐들 및 최상부 측부들을 포이즈닝하기에 충분한 분자들만이 플라즈마 내에 존재하도록, 분자의 아포화 도우즈만으로 플라즈마 내에 포이즈닝 분자를 도입시키는 것을 포함한다. 포이즈닝제가 너무 많이 부가되면, 피쳐의 전체 깊이가 포이즈닝될 수 있다. 일부 실시예들의 포이즈닝제는, 히드라진, 물, 에틸렌디아민, 에틸렌트리아민, 에탄올아민, 알킬류(예컨대, 헥산), 방향족(예컨대, 톨루엔), 아민류, 알켄류, 폴리아민류, 및/또는 알코올류 중 하나 이상을 포함한다.
[0075] 다른 실시예에서, 플라즈마는, 스퍼터링이 구조의 최상부에서 발생하여 바텀 업 성장으로 이어지도록 튜닝될 수 있다. 단지 최상부들 및 측부들을 포이즈닝하는 대신, 플라즈마는 ALD 사이클들 동안 재료를 제거하도록 튜닝되는 것이 가능할 수 있다.
[0076] 일부 실시예들에서, 포이즈닝제는 기판 표면과 열적으로 반응한다. 예컨대, 표면을 포이즈닝하거나 표면을 포이즈닝하는 것을 보조하는 데 있어 플라즈마를 사용하지 않는 프로세스이다. 포이즈닝제는, 피쳐의 최상부와 우선적으로 반응하도록 소량으로 도입될 수 있다.
[0077] 일부 실시예들에서, 피쳐에 증착되는 막은, 포이즈닝제 없이 형성되는 막보다 적은 보이드들 또는 갭들을 갖는다. 일부 실시예들의 피쳐에 증착되는 막들은 2 미만의 습식 에칭률 비를 갖는다. 습식 에칭률 비(WERR; wet etch rate ratio)는 열적 SiO2 막에 대해 1:100 HF로 측정된다.
[0078] 따라서, 본 개시내용의 하나 이상의 실시예들은, 도 5에 도시된 것과 같은 배치 프로세싱 챔버를 활용하는 프로세싱 방법들에 관한 것이다. 기판(60)은 복수의 섹션들(250)을 갖는 프로세싱 챔버 내에 배치되며, 각각의 섹션은 가스 커튼(150)에 의해 인접 섹션으로부터 분리된다. 기판 표면의 적어도 부분은 프로세싱 챔버의 제1 섹션(250a)에서 제1 프로세스 조건에 노출된다. 일부 실시예들의 제1 프로세스 조건은 포이즈닝제를 포함한다.
[0079] 기판 표면은, 가스 커튼(150)을 통해 프로세싱 챔버의 제2 섹션(250b)으로 측방향으로 이동된다. 기판 표면은 제2 섹션(250b)에서 제2 프로세스 조건에 노출된다. 일부 실시예들의 제2 프로세스 조건은, 바텀 업 방식으로 피쳐와 우선적으로 반응하는 전구체를 포함한다.
[0080] 기판 표면은, 가스 커튼(150)을 통해 프로세싱 챔버의 제3 섹션(250c)으로 측방향으로 이동된다. 그런 다음, 기판 표면은 제3 섹션(250c)에서 제3 프로세스 조건에 노출될 수 있다. 일부 실시예들의 제3 프로세스 조건은, 막이 바텀 업 방식으로 형성되도록, 피쳐에 화학 흡착(chemisorbe)된 전구체와 반응하는 반응물을 포함한다.
[0081] 일부 실시예들에서, 기판은, 미리결정된 막 두께를 갖는 막을 형성하기 위해, 부가적인 제2 프로세스 조건들 및 제3 프로세스 조건들에 노출된다. 일부 실시예들에서, 기판은, 기판 표면을 반복적으로 포이즈닝하기 위해 부가적인 제1 프로세스 조건들에 노출된다.
[0082] 예들
[0083] 공간적 ALD 프로세싱 챔버 상에서의 고정된 증착 사이클들(100 사이클들)에서의 두께에 대한 NH3 플라즈마 노출 시간의 효과가 도 9에 도시된다. 이러한 예는, 일 증착 사이클에 대한 일 포이즈닝 노출로 구현되었다.
[0084] 도 10은, 다양한 포이즈닝 노출 시간들을 갖는 샘플들에 대한 사이클당 성장(GPC) 두께에 대한 포이즈닝 효과들의 그래프를 도시한다. 가장 낮은 GPC는, 블랭킷(blanket) 웨이퍼들 상에서의 4초 동안의 포이즈닝에 대한 유효 노출로 관측되었다. 이러한 노출 시간에서의 GPC는 사이클당 0.1 Å 미만이었다.
[0085] 도 11은, 100 사이클들만으로 증착된 막의 횡단면 TEM 이미지들을 도시한다. 막의 두께는 최상부로부터 최하부로 느리게 증가했다. 도 12는, 최상부로부터 최하부로의, 스텝 커버리지 %로 변환된 측정된 두께를 도시하며, 여기서, 평평한 최상부 표면은 100 % 커버리지를 갖는다. 이 데이터는 구조의 최상부 상에서 더 많은 포이즈닝을 나타내었다.
[0086] 도 13은, 트렌치를 완전히 충전하는, 구조 상에 증착된 막의 TEM을 도시한다. 300 증착 사이클들이 존재했으며, 트렌치 전체에 걸쳐 임의의 보이드들 또는 시임들의 표시가 없었다.
[0087] 유기계 포이즈닝제들로서 N2 플라즈마, 에틸렌디아민(EDA), 및 에탄올아민(ETA)을 사용하여 실리콘 및 실리콘 산화물 표면들 상에 실리콘 질화물 막들이 성장되었다. 샘플들이 성장 억제제에 노출된 후, 150 사이클 동안의 헥사클로로디실란(HCDS)/NH3 플라즈마의 사이클이 후속되었다. 막 성장들은 표 1에 요약되어 있다.
Figure pct00001
[0088] 에탄올아민 억제제는 약 87 %의 성장 감소를 나타내었다.
[0089] 다양한 플라즈마 전력과 함께 억제제로서 ETA를 사용하여 실리콘 및 실리콘 산화물 표면들 상에 실리콘 질화물 막들이 성장되었다. 증착은 HCDS/NH3 플라즈마를 사용하여 수행되었다. 사이클당 성장(GPC)이 측정되었고 표 2에 요약되어 있다.
Figure pct00002
[0090] ETA를 이용한 억제가 아포화량들로 제어될 수 있음을 표시하는, 트렌치의 최상부로부터 최하부로의 컨포멀(conformal)한 억제를 ETA가 야기한다는 것이 관측되었다.
[0091] ETA의 억제 능력은, 다양한 온도들에서의 아포화량들에서 평가되었다. ETA는 0.1 초 동안 표면에 전달되었다. 150 사이클들의 HCDS/NH3 플라즈마(100 W)를 사용하여 실리콘 및 실리콘 산화물 표면들 상에 SiN 막이 증착되었다. 결과들은 표 3에 요약되어 있다.
Figure pct00003
[0092] 다양한 플라즈마 전력들에서 헥산 및 질소 플라즈마 억제에 표면들을 노출시킨 후, HCDS/NH3 플라즈마(100 W)에 의해 실리콘 질화물 막들이 증착되었다. 150 사이클들 이후의 막 성장이 측정되었다. 증착 온도는 350 ℃이었다. 결과들은 표 4에 요약되어 있다.
Figure pct00004
[0093] 상이한 플라즈마 전력들에서 억제제로서 헥산 및 아르곤 플라즈마에 대한 노출 이후 실리콘 질화물 막들이 증착되었다. 억제 후, 150 사이클들의 HCDS/NH3 플라즈마(100 W)가 350 ℃에서 증착되었고 두께가 측정되었다. 결과들은 표 5에 요약되어 있다.
Figure pct00005
[0094] 상이한 챔버 압력들에서 억제제로서 헥산 및 아르곤 플라즈마에 대한 노출 이후 실리콘 질화물 막들이 증착되었다. 억제 후, 150 사이클들의 HCDS/NH3 플라즈마(100 W)가 350 ℃에서 증착되었고 두께가 측정되었다. 결과들은 표 6에 요약되어 있다.
Figure pct00006
[0095] 상이한 플라즈마 전력들에서 억제제로서 헥산 및 아르곤 플라즈마에 대한 노출 이후 실리콘 질화물 막들이 증착되었다. 억제 후, 150 사이클들의 HCDS/NH3 플라즈마(100 W)가 350 ℃, 3.5 Torr에서 증착되었다. 트렌치 깊이의 함수로써 막의 두께가 측정되었고 기울기(slope)가 결정되었다. 더 큰 기울기는 트렌치의 최하부에서 더 두꺼운 막을 나타냈다. 결과들은 표 7에 요약되어 있다.
Figure pct00007
[0096] 상이한 압력들에서 억제제로서 헥산 및 아르곤 플라즈마(100 W)에 대한 노출 이후 실리콘 질화물 막들이 증착되었다. 억제 후, 150 사이클들의 HCDS/NH3 플라즈마(100 W)가 350 ℃에서 증착되었다. 트렌치 깊이의 함수로써 막의 두께가 측정되었고 기울기가 결정되었다. 더 큰 기울기는 트렌치의 최하부에서 더 두꺼운 막을 나타냈다. 결과들은 표 8에 요약되어 있다.
Figure pct00008
[0097] 다양한 플라즈마 전력들에서 톨루엔 및 질소 플라즈마 억제에 표면들을 노출시킨 후, HCDS/NH3 플라즈마(100 W)에 의해 실리콘 질화물 막들이 증착되었다. 150 사이클들 이후의 막 성장이 측정되었다. 증착 온도는 350 ℃이었다. 결과들은 표 9에 요약되어 있다.
Figure pct00009
[0098] 상이한 플라즈마 전력들에서 억제제로서 톨루엔 및 아르곤 플라즈마에 대한 노출 이후 실리콘 질화물 막들이 증착되었다. 억제 후, 150 사이클들의 HCDS/NH3 플라즈마(100 W)가 350 ℃에서 증착되었고 두께가 측정되었다. 결과들은 표 10에 요약되어 있다.
Figure pct00010
[0099] 상이한 플라즈마 전력들에서 억제제로서 톨루엔 및 아르곤 플라즈마에 대한 노출 이후 실리콘 질화물 막들이 증착되었다. 억제 후, 150 사이클들의 HCDS/NH3 플라즈마(100 W)가 350 ℃, 3.5 Torr에서 증착되었다. 트렌치 깊이의 함수로써 막의 두께가 측정되었고 기울기가 결정되었다. 더 큰 기울기는 트렌치의 최하부에서 더 두꺼운 막을 나타냈다. 결과들은 표 11에 요약되어 있다.
Figure pct00011
[00100] 하나 이상의 실시예들에 따르면, 기판은, 층을 형성하기 전에 그리고/또는 층을 형성한 후에, 프로세싱을 겪는다. 이러한 프로세싱은, 동일한 챔버에서 또는 하나 이상의 별개의 프로세싱 챔버들에서 수행될 수 있다. 일부 실시예들에서, 기판은, 추가적인 프로세싱을 위해, 제1 챔버로부터 별개의 제2 챔버로 이동된다. 기판은, 제1 챔버로부터 별개의 프로세싱 챔버로 직접적으로 이동될 수 있거나, 또는 기판은, 제1 챔버로부터 하나 이상의 이송 챔버들로 이동될 수 있고, 그 후에, 별개의 프로세싱 챔버로 이동될 수 있다. 따라서, 프로세싱 장치는 이송 스테이션과 통신하는 다수의 챔버들을 포함할 수 있다. 이러한 종류의 장치는 "클러스터 툴(cluster tool)" 또는 "클러스터링된 시스템" 등으로 지칭될 수 있다.
[00101] 일반적으로, 클러스터 툴은, 기판 중심-발견 및 배향, 탈기, 어닐링, 증착, 및/또는 에칭을 포함하는 다양한 기능들을 수행하는 다수의 챔버들을 포함하는 모듈러 시스템이다. 하나 이상의 실시예들에 따르면, 클러스터 툴은, 적어도 제1 챔버 및 중앙 이송 챔버를 포함한다. 중앙 이송 챔버는, 로드 록 챔버들과 프로세싱 챔버들 사이에서 그리고 이들 간에서 기판들을 셔틀링(shuttle)할 수 있는 로봇을 하우징(house)할 수 있다. 이송 챔버는 통상적으로, 진공 조건에서 유지되고, 기판들을, 하나의 챔버로부터 다른 챔버로, 그리고/또는 클러스터 툴의 전방 단부에 포지셔닝된 로드 록 챔버로 셔틀링하기 위한 중간 스테이지를 제공한다. 본 발명에 대해 적응될 수 있는 2개의 잘-알려진 클러스터 툴들은 Centura® 및 Endura®이고, 이들 둘 모두는, 캘리포니아 주 Santa Clara의 Applied Materials, Inc.로부터 상업적으로 입수가능하다. 하지만, 챔버들의 정확한 어레인지먼트(arrangement) 및 조합은, 본원에서 설명되는 바와 같은 프로세스의 특정 단계들을 수행하는 목적들을 위해 변경될 수 있다. 사용될 수 있는 다른 프로세싱 챔버들은, CLD(cyclical layer deposition), ALD(atomic layer deposition), CVD(chemical vapor deposition), PVD(physical vapor deposition), 에칭, 사전-세정, 화학 세정, RTP와 같은 열 처리, 플라즈마 질화(nitridation), 탈기, 배향, 히드록실화(hydroxylation), 및 다른 기판 프로세스들을 포함하지만 이들로 제한되지 않는다. 클러스터 툴 상의 챔버에서 프로세스들을 수행함으로써, 대기 불순물들에 의한 기판의 표면 오염이, 후속 막을 증착하기 전의 산화 없이, 회피될 수 있다.
[00102] 하나 이상의 실시예들에 따르면, 기판은 지속적으로 진공 또는 "로드 록" 조건들 하에 있고, 하나의 챔버로부터 다음 챔버로 이동될 때, 주변 공기에 노출되지 않는다. 따라서, 이송 챔버들은 진공 하에 있고, 진공 압력 하에서 "펌핑 다운(pump down)"된다. 불활성 가스들이 프로세싱 챔버들 또는 이송 챔버들에 존재할 수 있다. 일부 실시예들에서, 불활성 가스는, 반응물들의 일부 또는 전부를 제거하기 위해, 퍼지 가스로서 사용된다. 하나 이상의 실시예들에 따르면, 퍼지 가스는, 반응물들이 증착 챔버로부터 이송 챔버로 그리고/또는 부가적인 프로세싱 챔버로 이동하는 것을 방지하기 위해, 증착 챔버의 출구에서 주입된다. 따라서, 불활성 가스의 유동은 챔버의 출구에서 커튼을 형성한다.
[00103] 기판은, 단일 기판이 로딩되고, 프로세싱되고, 그리고 다른 기판이 프로세싱되기 전에 언로딩되는, 단일 기판 증착 챔버들에서 프로세싱될 수 있다. 기판은 또한, 다수의 기판이 챔버의 제1 부분 내로 개별적으로 로딩되고, 챔버를 통해 이동하고, 그리고 챔버의 제2 부분으로부터 언로딩되는, 컨베이어 시스템과 유사하게, 연속적인 방식으로 프로세싱될 수 있다. 챔버 및 연관된 컨베이어 시스템의 형상은 직선 경로 또는 곡선 경로를 형성할 수 있다. 부가적으로, 프로세싱 챔버는, 다수의 기판들이 중심 축을 중심으로 이동되고 그리고 캐러셀 경로 전반에 걸쳐 증착, 에칭, 어닐링, 세정 등의 프로세스들에 노출되는, 캐러셀일 수 있다.
[00104] 프로세싱 동안, 기판은 가열 또는 냉각될 수 있다. 그러한 가열 또는 냉각은, 기판 지지부의 온도를 변화시키는 것 및 가열된 또는 냉각된 가스들을 기판 표면으로 유동시키는 것을 포함하는(그러나 이에 제한되지 않음) 임의의 적절한 수단에 의해 달성될 수 있다. 일부 실시예들에서, 기판 지지부는, 기판 온도를 전도성으로 변화시키도록 제어될 수 있는 가열기/냉각기를 포함한다. 하나 이상의 실시예들에서, 이용되는 가스들(반응성 가스들 또는 불활성 가스들)은, 기판 온도를 국부적으로 변화시키도록 가열 또는 냉각된다. 일부 실시예들에서, 가열기/냉각기는, 기판 온도를 대류성으로(convectively) 변화시키기 위해, 챔버 내에서 기판 표면에 인접하게 포지셔닝된다.
[00105] 기판은 또한, 프로세싱 동안, 정적일 수 있거나 또는 회전될 수 있다. 회전되는 기판은, 연속적으로 또는 불연속적인 단계들로 회전될 수 있다. 예컨대, 기판은 전체 프로세스 전체에 걸쳐 회전될 수 있거나, 또는 기판은, 상이한 반응성 또는 퍼지 가스들에 대한 노출들 사이에서 작은 양만큼 회전될 수 있다. (연속적으로 또는 단계들로) 프로세싱 동안 기판을 회전시키는 것은, 예컨대, 가스 유동 기하학적 구조들에서의 국부적인 변동성의 영향을 최소화함으로써, 더 균일한 증착 또는 에칭을 생성하는 것을 도울 수 있다.
[00106] 원자 층 증착 타입 챔버들에서, 기판은, 공간적으로 또는 시간적으로 분리된 프로세스들에서 제1 및 제2 전구체들에 노출될 수 있다. 시간적(temporal) ALD는, 제1 전구체가 챔버 내로 유동하여 표면과 반응하는 전통적인 프로세스이다. 제2 전구체를 유동시키기 전에, 제1 전구체가 챔버로부터 퍼지된다. 공간적 ALD에서, 제1 및 제2 전구체들 둘 모두가 동시에 챔버로 유동되지만, 공간적으로 분리되어서, 전구체들의 혼합을 방지하는 영역이 유동들 사이에 존재한다. 공간적 ALD에서, 기판이 가스 분배 플레이트에 대해 이동되거나, 또는 그 반대도 가능하다.
[00107] 방법들의 부분들 중 하나 이상이 하나의 챔버에서 발생하는 실시예들에서, 프로세스는 공간적 ALD 프로세스일 수 있다. 위에서 설명된 화학물(chemistry)들 중 하나 이상이 호환가능하지 않을 수 있지만(즉, 챔버 상에서의 증착 및/또는 기판 표면 상에서가 아닌 반응을 초래함), 공간적 분리는 시약들이 가스상에서 각각에 노출되지 않는다는 것을 보장한다. 예컨대, 시간적 ALD는 증착 챔버를 퍼지하는 것을 수반한다. 그러나, 실제로, 추가의 시약을 유동시키기 전에 모든 과잉 시약을 챔버로부터 퍼지하는 것은 때때로 불가능하다. 따라서, 챔버 내의 임의의 남아있는 시약이 반응할 수 있다. 공간적 분리를 이용하면, 과잉 시약이 퍼지될 필요가 없으며, 교차-오염이 제한된다. 또한, 챔버를 퍼지하기 위해서는 많은 시간이 요구될 수 있으며, 따라서, 퍼지 단계를 제거함으로써 스루풋이 증가될 수 있다.
[00108] 본 명세서 전반에 걸쳐 "일 실시예", "특정 실시예들", "하나 이상의 실시예들" 또는 "실시예"에 대한 언급은, 실시예와 관련하여 설명되는 특정 피쳐, 구조, 재료, 또는 특징이 본 발명의 적어도 하나의 실시예에 포함된다는 것을 의미한다. 따라서, 본 명세서 전반에 걸쳐 다양한 위치들에서의 "하나 이상의 실시예들에서", "특정 실시예들에서", "일 실시예에서" 또는 "실시예에서"와 같은 문구들의 출현들은 반드시 본 발명의 동일한 실시예를 지칭하는 것은 아니다. 또한, 특정 피쳐들, 구조들, 재료들, 또는 특징들은 하나 이상의 실시예들에서 임의의 적절한 방식으로 조합될 수 있다.
[00109] 본원의 발명이 특정 실시예들을 참조하여 설명되었지만, 이러한 실시예들은 단지 본 발명의 원리들 및 애플리케이션들을 예시하는 것임이 이해되어야 한다. 본 발명의 사상 및 범위를 벗어나지 않으면서 본 발명의 방법 및 장치에 대해 다양한 수정들 및 변형들이 이루어질 수 있음이 당업자에게 자명할 것이다. 따라서, 본 발명은 첨부된 청구항들 및 그 등가물들의 범위 내에 있는 수정들 및 변형들을 포함하는 것으로 의도된다.

Claims (15)

  1. 프로세싱 방법으로서,
    적어도 하나의 피쳐(feature)를 갖는 기판 표면을, 상기 피쳐의 최하부에 비해 상기 피쳐의 최상부를 우선적으로 포이즈닝(poison)하기 위해, 억제제(inhibitor)를 포함하는 유기계 포이즈닝제(organic-based poisoning agent)에 노출시키는 단계; 및
    바텀 업(bottom-up) 방식으로 상기 피쳐에 막을 증착하는 단계를 포함하는, 프로세싱 방법.
  2. 제1항에 있어서,
    상기 피쳐에 막을 증착하는 단계는, 상기 기판 표면을 전구체(precursor) 및 반응물(reactant)에 순차적으로 노출시키는 단계를 포함하는, 프로세싱 방법.
  3. 제2항에 있어서,
    상기 기판 표면을 상기 포이즈닝제에 노출시키는 단계는, 상기 전구체에 대한 각각의 노출 전에 발생하는, 프로세싱 방법.
  4. 제1항 내지 제3항 중 어느 한 항에 있어서,
    상기 기판 표면은, 약 10 Å 내지 약 50 Å의 범위 내의 두께를 갖는 막을 증착한 후 상기 포이즈닝제에 노출되는, 프로세싱 방법.
  5. 제1항 내지 제3항 중 어느 한 항에 있어서,
    상기 포이즈닝제는 플라즈마를 포함하는, 프로세싱 방법.
  6. 제5항에 있어서,
    상기 플라즈마는, NH3, N2, Ar, H2O, CO2, N2O, H2 및/또는 히드라진 중 하나 이상을 포함하는, 프로세싱 방법.
  7. 제1항 내지 제3항 중 어느 한 항에 있어서,
    상기 포이즈닝제는 플라즈마 내에 도입되는, 프로세싱 방법.
  8. 제7항에 있어서,
    상기 포이즈닝제는 아포화량(sub-saturative amount)으로 상기 플라즈마 내에 도입되는, 프로세싱 방법.
  9. 제7항에 있어서,
    상기 유기계 포이즈닝제는, 히드라진, 물, 에틸렌디아민, 에탄올아민, 알킬류, 아민류, 알켄류, 에폭시류, 폴리아민류, 및/또는 알코올류 중 하나 이상을 포함하는, 프로세싱 방법.
  10. 제1항 내지 제3항 중 어느 한 항에 있어서,
    상기 유기계 포이즈닝제는 상기 표면과 열적으로 반응하는, 프로세싱 방법.
  11. 제10항에 있어서,
    상기 유기계 포이즈닝제는, 상기 피쳐의 최상부와 우선적으로 반응하도록 소량으로 도입되는, 프로세싱 방법.
  12. 제1항 내지 제3항 중 어느 한 항에 있어서,
    상기 피쳐는 10:1과 동일하거나 그 초과의 종횡비를 갖는, 프로세싱 방법.
  13. 제1항 내지 제3항 중 어느 한 항에 있어서,
    상기 피쳐를 충전(fill)하기 위해, 상기 유기계 포이즈닝제에 노출 및 막 증착을 반복하는 단계를 더 포함하는, 프로세싱 방법.
  14. 제13항에 있어서,
    상기 피쳐에 증착되는 막은 2 미만의 습식 에칭률 비(wet etch rate ratio)를 갖는, 프로세싱 방법.
  15. 프로세싱 방법으로서,
    복수의 섹션(section)들을 포함하는 프로세싱 챔버 내에 기판 표면을 갖는 기판을 배치하는 단계 ― 각각의 섹션은 가스 커튼(curtain)에 의해 인접 섹션들로부터 분리되고, 기판 표면은, 최상부, 최하부, 및 측부들을 갖고 그리고 10:1과 동일하거나 그 초과의 종횡비를 갖는 적어도 하나의 피쳐를 가짐 ―;
    상기 프로세싱 챔버의 제1 섹션에서 상기 기판 표면의 적어도 부분을 제1 프로세스 조건에 노출시키는 단계 ― 상기 제1 프로세스 조건은, 상기 피쳐의 최하부에 비해 상기 피쳐의 최상부에서의 막 성장을 우선적으로 억제하기 위한 유기계 포이즈닝제를 포함함 ―;
    상기 기판 표면을 가스 커튼을 통해 상기 프로세싱 챔버의 제2 섹션으로 측방향으로 이동시키는 단계;
    상기 프로세싱 챔버의 제2 섹션에서 상기 기판 표면을 제2 프로세스 조건에 노출시키는 단계 ― 상기 제2 프로세스 조건은 실리콘 전구체를 포함함 ―;
    상기 기판 표면을 가스 커튼을 통해 상기 프로세싱 챔버의 제3 섹션으로 측방향으로 이동시키는 단계;
    상기 프로세싱 챔버의 제3 섹션에서 상기 기판 표면을 제3 프로세스 조건에 노출시키는 단계 ― 상기 제3 프로세스 조건은, SiO2 막을 형성하기 위한 산소-함유 반응물을 포함함 ―; 및
    상기 피쳐를 충전하기 위해, 기판 표면의 측방향 이동을 비롯하여 상기 제1 섹션, 상기 제2 섹션, 및 상기 제3 섹션에 대한 노출을 반복하는 단계를 포함하는, 프로세싱 방법.
KR1020187014446A 2015-10-23 2016-10-19 표면 포이즈닝 처리에 의한 바텀 업 갭-충전 KR102527897B1 (ko)

Applications Claiming Priority (5)

Application Number Priority Date Filing Date Title
US201562245977P 2015-10-23 2015-10-23
US62/245,977 2015-10-23
US201562265734P 2015-12-10 2015-12-10
US62/265,734 2015-12-10
PCT/US2016/057671 WO2017070190A1 (en) 2015-10-23 2016-10-19 Bottom-up gap-fill by surface poisoning treatment

Publications (2)

Publication Number Publication Date
KR20180061387A true KR20180061387A (ko) 2018-06-07
KR102527897B1 KR102527897B1 (ko) 2023-04-28

Family

ID=58558075

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020187014446A KR102527897B1 (ko) 2015-10-23 2016-10-19 표면 포이즈닝 처리에 의한 바텀 업 갭-충전

Country Status (6)

Country Link
US (1) US11028477B2 (ko)
JP (1) JP7125343B2 (ko)
KR (1) KR102527897B1 (ko)
CN (1) CN108140578B (ko)
TW (1) TWI732795B (ko)
WO (1) WO2017070190A1 (ko)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20200102362A (ko) * 2019-02-20 2020-08-31 에이에스엠 아이피 홀딩 비.브이. 기판 표면 내에 형성된 오목부를 충진하기 위한 주기적 증착 방법 및 장치

Families Citing this family (27)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9564309B2 (en) 2013-03-14 2017-02-07 Asm Ip Holding B.V. Si precursors for deposition of SiN at low temperatures
US9576792B2 (en) 2014-09-17 2017-02-21 Asm Ip Holding B.V. Deposition of SiN
US10410857B2 (en) 2015-08-24 2019-09-10 Asm Ip Holding B.V. Formation of SiN thin films
JP6545094B2 (ja) * 2015-12-17 2019-07-17 東京エレクトロン株式会社 成膜方法及び成膜装置
JP6548586B2 (ja) * 2016-02-03 2019-07-24 東京エレクトロン株式会社 成膜方法
US10246774B2 (en) * 2016-08-12 2019-04-02 Lam Research Corporation Additive for ALD deposition profile tuning in gap features
JP2019029333A (ja) * 2017-07-26 2019-02-21 東芝メモリ株式会社 プラズマ処理装置および半導体装置の製造方法
JP6832808B2 (ja) * 2017-08-09 2021-02-24 東京エレクトロン株式会社 シリコン窒化膜の成膜方法及び成膜装置
US10843618B2 (en) * 2017-12-28 2020-11-24 Lam Research Corporation Conformality modulation of metal oxide films using chemical inhibition
US10580645B2 (en) * 2018-04-30 2020-03-03 Asm Ip Holding B.V. Plasma enhanced atomic layer deposition (PEALD) of SiN using silicon-hydrohalide precursors
US11075266B2 (en) 2019-04-29 2021-07-27 International Business Machines Corporation Vertically stacked fin semiconductor devices
JP7246284B2 (ja) * 2019-08-15 2023-03-27 東京エレクトロン株式会社 成膜方法
KR102141547B1 (ko) * 2019-09-25 2020-09-14 솔브레인 주식회사 박막 제조 방법
KR20210079767A (ko) 2019-12-20 2021-06-30 삼성전자주식회사 물질막 형성 방법과, 집적회로 소자 및 그 제조 방법
US11776980B2 (en) * 2020-03-13 2023-10-03 Applied Materials, Inc. Methods for reflector film growth
US11967502B2 (en) * 2020-06-30 2024-04-23 Samsung Electronics Co., Ltd. Methods of forming material layer, semiconductor devices, and methods of manufacturing the same
KR20220081905A (ko) 2020-12-09 2022-06-16 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 증착용 실리콘 전구체
US11781218B2 (en) 2020-12-11 2023-10-10 Applied Materials, Inc. Defect free germanium oxide gap fill
US20220186365A1 (en) * 2020-12-11 2022-06-16 Applied Materials, Inc. Super-conformal germanium oxide films
KR20220092104A (ko) 2020-12-24 2022-07-01 삼성전자주식회사 집적회로 소자
KR102417431B1 (ko) * 2021-06-28 2022-07-06 주식회사 한화 보이드 또는 심의 발생을 억제하는 기판 처리 장치 및 방법
JP2023075832A (ja) * 2021-11-19 2023-05-31 東京エレクトロン株式会社 窒化膜の成膜方法及びプラズマ処理装置
WO2023114870A1 (en) * 2021-12-17 2023-06-22 Lam Research Corporation High pressure plasma inhibition
TW202346626A (zh) * 2022-02-15 2023-12-01 美商蘭姆研究公司 用以改善膜接縫品質及wer的高壓惰性氧化及原位退火製程
WO2024091844A1 (en) * 2022-10-24 2024-05-02 Lam Research Corporation Fluorine reduction is silicon-containing films
WO2024102763A1 (en) * 2022-11-08 2024-05-16 Lam Research Corporation A robust icefill method to provide void free trench fill for logic and memory applications
WO2024107567A1 (en) * 2022-11-17 2024-05-23 Lam Research Corporation Nonconformal films deposited within a recess using atomic layer deposition

Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20070141779A1 (en) * 2005-11-01 2007-06-21 The Board Of Trustees Of The University Of Lllinois Methods for Coating and Filling High Aspect Ratio Recessed Features
KR20110049710A (ko) * 2009-11-04 2011-05-12 도쿄엘렉트론가부시키가이샤 기판 처리 장치, 기판 처리 방법 및 기억 매체
KR20120121356A (ko) * 2011-04-26 2012-11-05 에이에스엠 저펜 가부시기가이샤 원자층 증착에 의해 필름을 형성시키는 방법 및 원자층 증착에 의한 필름 성장을 기하학적으로 조절하는 방법

Family Cites Families (26)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6391785B1 (en) 1999-08-24 2002-05-21 Interuniversitair Microelektronica Centrum (Imec) Method for bottomless deposition of barrier layers in integrated circuit metallization schemes
JP3803528B2 (ja) * 2000-03-31 2006-08-02 株式会社東芝 半導体装置の製造方法及び半導体装置
US20040224504A1 (en) * 2000-06-23 2004-11-11 Gadgil Prasad N. Apparatus and method for plasma enhanced monolayer processing
US6802944B2 (en) * 2002-10-23 2004-10-12 Applied Materials, Inc. High density plasma CVD process for gapfill into high aspect ratio features
JP2006261434A (ja) * 2005-03-17 2006-09-28 L'air Liquide Sa Pour L'etude & L'exploitation Des Procede S Georges Claude シリコン酸化膜の形成方法
WO2007140377A2 (en) * 2006-05-30 2007-12-06 Applied Materials, Inc. A novel deposition-plasma cure cycle process to enhance film quality of silicon dioxide
US7625820B1 (en) 2006-06-21 2009-12-01 Novellus Systems, Inc. Method of selective coverage of high aspect ratio structures with a conformal film
US9337054B2 (en) 2007-06-28 2016-05-10 Entegris, Inc. Precursors for silicon dioxide gap fill
US7541297B2 (en) * 2007-10-22 2009-06-02 Applied Materials, Inc. Method and system for improving dielectric film quality for void free gap fill
US8808456B2 (en) * 2008-08-29 2014-08-19 Tokyo Electron Limited Film deposition apparatus and substrate process apparatus
US8293647B2 (en) * 2008-11-24 2012-10-23 Applied Materials, Inc. Bottom up plating by organic surface passivation and differential plating retardation
US10256142B2 (en) * 2009-08-04 2019-04-09 Novellus Systems, Inc. Tungsten feature fill with nucleation inhibition
JP2011199021A (ja) * 2010-03-19 2011-10-06 Renesas Electronics Corp 半導体装置及びその製造方法
JP5927679B2 (ja) * 2010-10-16 2016-06-01 ウルトラテック,インコーポレイテッド Aldコーティングシステム
JP5725454B2 (ja) 2011-03-25 2015-05-27 株式会社アルバック NiSi膜の形成方法、シリサイド膜の形成方法、シリサイドアニール用金属膜の形成方法、真空処理装置、及び成膜装置
US8524600B2 (en) 2011-03-31 2013-09-03 Applied Materials, Inc. Post deposition treatments for CVD cobalt films
US8921228B2 (en) 2011-10-04 2014-12-30 Imec Method for selectively depositing noble metals on metal/metal nitride substrates
TWI606136B (zh) * 2011-11-04 2017-11-21 Asm國際股份有限公司 沉積摻雜氧化矽的方法以及用於沉積摻雜氧化矽至基板上的原子層沉積製程
US8754531B2 (en) * 2012-03-14 2014-06-17 Nanya Technology Corp. Through-silicon via with a non-continuous dielectric layer
US8778801B2 (en) * 2012-09-21 2014-07-15 Taiwan Semiconductor Manufacturing Company, Ltd. Method for forming seed layer structure
US9117657B2 (en) * 2013-06-07 2015-08-25 Asm Ip Holding B.V. Method for filling recesses using pre-treatment with hydrocarbon-containing gas
US9425078B2 (en) * 2014-02-26 2016-08-23 Lam Research Corporation Inhibitor plasma mediated atomic layer deposition for seamless feature fill
US9349637B2 (en) * 2014-08-21 2016-05-24 Lam Research Corporation Method for void-free cobalt gap fill
US9748137B2 (en) 2014-08-21 2017-08-29 Lam Research Corporation Method for void-free cobalt gap fill
US9997405B2 (en) 2014-09-30 2018-06-12 Lam Research Corporation Feature fill with nucleation inhibition
US9564312B2 (en) * 2014-11-24 2017-02-07 Lam Research Corporation Selective inhibition in atomic layer deposition of silicon-containing films

Patent Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20070141779A1 (en) * 2005-11-01 2007-06-21 The Board Of Trustees Of The University Of Lllinois Methods for Coating and Filling High Aspect Ratio Recessed Features
KR20110049710A (ko) * 2009-11-04 2011-05-12 도쿄엘렉트론가부시키가이샤 기판 처리 장치, 기판 처리 방법 및 기억 매체
KR20120121356A (ko) * 2011-04-26 2012-11-05 에이에스엠 저펜 가부시기가이샤 원자층 증착에 의해 필름을 형성시키는 방법 및 원자층 증착에 의한 필름 성장을 기하학적으로 조절하는 방법

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20200102362A (ko) * 2019-02-20 2020-08-31 에이에스엠 아이피 홀딩 비.브이. 기판 표면 내에 형성된 오목부를 충진하기 위한 주기적 증착 방법 및 장치

Also Published As

Publication number Publication date
CN108140578B (zh) 2022-07-08
US20170114459A1 (en) 2017-04-27
TW201725627A (zh) 2017-07-16
KR102527897B1 (ko) 2023-04-28
JP2018533218A (ja) 2018-11-08
WO2017070190A1 (en) 2017-04-27
US11028477B2 (en) 2021-06-08
JP7125343B2 (ja) 2022-08-24
TWI732795B (zh) 2021-07-11
CN108140578A (zh) 2018-06-08

Similar Documents

Publication Publication Date Title
KR102527897B1 (ko) 표면 포이즈닝 처리에 의한 바텀 업 갭-충전
US10319583B2 (en) Selective deposition of silicon nitride films for spacer applications
US10134581B2 (en) Methods and apparatus for selective dry etch
US10147599B2 (en) Methods for depositing low K and low wet etch rate dielectric thin films
US20170053792A1 (en) High Temperature Thermal ALD Silicon Nitride Films
KR102539130B1 (ko) 컨포멀한 갭-충전 비정질 실리콘 박막들의 증착
US11289374B2 (en) Nucleation-free gap fill ALD process
US20200095674A1 (en) Gap-Fill With Aluminum-Containing Films
US20160307748A1 (en) Deposition Of Si-H Free Silicon Nitride
US11515144B2 (en) In-situ film annealing with spatial atomic layer deposition
US11515145B2 (en) Deposition of silicon boron nitride films

Legal Events

Date Code Title Description
A201 Request for examination
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right
GRNT Written decision to grant