CN108140578A - 通过表面毒化处理的由下而上的间隙填充 - Google Patents

通过表面毒化处理的由下而上的间隙填充 Download PDF

Info

Publication number
CN108140578A
CN108140578A CN201680061712.1A CN201680061712A CN108140578A CN 108140578 A CN108140578 A CN 108140578A CN 201680061712 A CN201680061712 A CN 201680061712A CN 108140578 A CN108140578 A CN 108140578A
Authority
CN
China
Prior art keywords
feature
film
substrate
exposed
substrate surface
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
CN201680061712.1A
Other languages
English (en)
Other versions
CN108140578B (zh
Inventor
M·萨利
田中启
田中启一
E·文卡塔苏布磊曼聂
M·斯里拉姆
B·J·布扬
P·曼纳
D·汤普森
A·肖特
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Applied Materials Inc
Original Assignee
Applied Materials Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Applied Materials Inc filed Critical Applied Materials Inc
Publication of CN108140578A publication Critical patent/CN108140578A/zh
Application granted granted Critical
Publication of CN108140578B publication Critical patent/CN108140578B/zh
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45527Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/32056Deposition of conductive or semi-conductive organic layers
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/02Pretreatment of the material to be coated
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/04Coating on selected surface areas, e.g. using masks
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/04Coating on selected surface areas, e.g. using masks
    • C23C16/045Coating cavities or hollow spaces, e.g. interior of tubes; Infiltration of porous substrates
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/34Nitrides
    • C23C16/345Silicon nitride
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/40Oxides
    • C23C16/401Oxides containing silicon
    • C23C16/402Silicon dioxide
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45527Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations
    • C23C16/45534Use of auxiliary reactants other than used for contributing to the composition of the main film, e.g. catalysts, activators or scavengers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02205Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/02274Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition in the presence of a plasma [PECVD]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/0228Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition deposition by cyclic CVD, e.g. ALD, ALE, pulsed CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/76Making of isolation regions between components
    • H01L21/762Dielectric regions, e.g. EPIC dielectric isolation, LOCOS; Trench refilling techniques, SOI technology, use of channel stoppers
    • H01L21/76224Dielectric regions, e.g. EPIC dielectric isolation, LOCOS; Trench refilling techniques, SOI technology, use of channel stoppers using trench refilling with dielectric materials
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76829Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers
    • H01L21/76834Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers formation of thin insulating films on the sidewalls or on top of conductors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76837Filling up the space between adjacent conductive structures; Gap-filling properties of dielectrics

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Power Engineering (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Computer Hardware Design (AREA)
  • Manufacturing & Machinery (AREA)
  • General Physics & Mathematics (AREA)
  • Materials Engineering (AREA)
  • Organic Chemistry (AREA)
  • Metallurgy (AREA)
  • Mechanical Engineering (AREA)
  • General Chemical & Material Sciences (AREA)
  • Inorganic Chemistry (AREA)
  • Plasma & Fusion (AREA)
  • Chemical Vapour Deposition (AREA)
  • Formation Of Insulating Films (AREA)

Abstract

用于沉积膜的方法包含使基板表面暴露于有机基毒化剂,以相对于特征的底部优先抑制在特征的顶部的膜生长并沉积膜。可以使基板暴露于毒化剂任意次数以促进在特征中由下而上的膜生长。

Description

通过表面毒化处理的由下而上的间隙填充
技术领域
本公开大体而言涉及沉积薄膜的方法。具体言之,本公开涉及用于填充窄沟槽的方法。
背景技术
在微电子器件的制造中,需要没有孔隙地填充深宽比(AR)大于10:1的窄沟槽以用于许多的应用。一种应用是用于浅沟槽隔离(STI)。对于这个应用来说,膜需要遍及整个沟槽皆具有高的质量(例如具有小于2的湿蚀刻速率比),且具有非常少的泄漏。过去成功的一种方法是可流动的CVD。在这个方法中,低聚物被小心地形成为气相、在表面上冷凝、随后“流”入沟槽中。刚沉积好的膜具有非常差的质量,并需要诸如蒸汽退火和UV固化的处理步骤。
随着结构的尺寸减小并且深宽比提高,刚沉积好的可流动膜的后固化方法变得困难。导致膜在整个填充的沟槽各处具有不同的成分。因此,需要可以产生由下而上的膜生长的新方法,该膜生长中刚沉积好的膜具有高的质量且没有任何孔隙。
发明内容
本公开的一个或更多个实施例是针对处理方法,该处理方法包含使上面具有至少一个特征的基板表面暴露于有机基毒化剂,以相对于该特征的底部优先毒化该特征的顶部。膜被以由下而上的方式沉积在该特征中。
本公开的其他实施例是针对处理方法,该处理方法包含将基板表面定位在处理腔室中。该基板表面上具有至少一个特征。该至少一个特征产生缝隙,该缝隙具有底部、顶部及侧壁。使该基板表面暴露于有机基毒化剂以相对于该特征的底部优先抑制在该特征的顶部的膜生长。使该基板表面依序暴露于前驱物和反应物以在该缝隙中沉积层。重复暴露该前驱物和该反应物而以由下而上的方式填充该特征的该缝隙。
本公开的进一步实施例是针对处理方法,该处理方法包含将具有基板表面的基板放入处理腔室中,该处理腔室包含多个扇区。该处理腔室的每个扇区都通过气幕与相邻的扇区分隔。该基板表面具有至少一个特征,该特征具有顶部、底部和侧面及大于或等于10:1的深宽比。在该处理腔室的第一扇区中使该基板表面的至少一部分暴露于第一处理条件。该第一处理条件包含有机基毒化剂,以相对于该特征的该底部优先抑制在该特征的该顶部的膜生长。将该基板表面横向移动通过气幕到该处理腔室的第二扇区。在该处理腔室的该第二扇区中使该基板表面暴露于第二处理条件。该第二处理条件包含硅前驱物。将该基板表面横向移动通过气幕到该处理腔室的第三扇区。在该处理腔室的该第三扇区中使该基板表面暴露于第三处理条件。该第三处理条件包含含氧反应物以形成SiO2膜。重复对该第一扇区、第二扇区及第三扇区的暴露以填充该特征,该暴露包括横向移动该基板表面。
附图说明
为详细了解本发明的上述特征的方式,可参照实施例(其中一些示出于附图中)得到以上简要概述的本发明的更具体的描述。然而,应注意的是,附图仅示出本发明的典型实施例,因此不应将该等附图视为限制本发明的范围,因本发明可认可其他同样有效的实施例。
图1示出依据本公开的一个或更多个实施例的批处理腔室的剖视图;
图2示出依据本公开的一个或更多个实施例的批处理腔室的局部立体图;
图3示出依据本公开的一个或更多个实施例的批处理腔室的示意图;
图4示出依据本公开的一个或更多个实施例的用于批处理腔室的楔形气体分配组件的一部分的示意图;
图5示出依据本公开的一个或更多个实施例的批处理腔室的示意图;
图6A至图6C示出依据本公开的一个或更多个实施例的间隙填充工艺;
图7示出依据本公开的一个或更多个实施例的每循环生长和湿蚀刻速率比为氨等离子体功率的函数的曲线图;及
图8示出依据本公开的一个或更多个实施例的每循环生长和湿蚀刻速率比为表面毒化过程中腔室压力的函数的曲线图;
图9示出依据本公开的一个或更多个实施例的膜厚度为毒化时间的函数的曲线图;
图10示出依据本公开的一个或更多个实施例的膜厚度为暴露时间和每循环生长的函数的曲线图;
图11示出依据本公开的一个或更多个实施例的有或无毒化所填充的特征的剖面TEM;
图12示出阶梯覆盖为图11中的垂直位置的函数的曲线图;以及
图13示出依据本公开的一个或更多个实施例填充的沟槽缝隙的TEM影像。
具体实施方式
在描述本发明的若干示例性实施例之前,应当理解的是,本发明并不限于以下描述中阐述的建构或处理步骤的细节。本发明能够具有其他实施例并且能够以各种方式实施或进行。
本文中使用的“基板”是指在制造工艺期间上面进行膜处理的任何基板或形成在基板上的材料表面。例如,上面可以进行处理的基板表面包括诸如硅、氧化硅、应变硅、绝缘体上硅(SOI)、掺杂碳的氧化硅、非晶硅、掺杂硅、锗、砷化镓、玻璃、蓝宝石等材料、及任何其他材料,例如金属、金属氮化物、金属合金、及其他导电材料,视应用而定。基板包括、但不限于半导体晶片。可以使基板暴露于预处理工艺,以抛光、蚀刻、还原、氧化、羟基化、退火和/或烘烤基板表面。除了直接在基板本身的表面上的膜处理之外,在本发明中,也可以在形成于基板上的下层上进行所公开的任何膜处理步骤,如下面更详细公开的,而且术语“基板表面”意图包括如上下文指示的这种下层。因此,举例来说,当膜/层或部分膜/层已被沉积到基板表面上时,新沉积的膜/层的暴露表面即变成基板表面。
本公开的一个或更多个实施例是针对毒化(poison)沟槽顶部和顶部侧壁的方法,以便以由下而上的方式生长膜。本公开的一些实施例提供用膜有利地填充深宽比大于5的特征的方法。本公开的一个或更多个实施例有利地提供具有高产量和再现性的间隙填充特征的方法。
依据一个或更多个实施例,该方法使用原子层沉积(ALD)工艺。在此类实施例中,使基板表面依序或大致上依序地暴露于前驱物(或反应气体)。如本文中贯穿说明书使用的,“大致上依序地”意指前驱物暴露的大部分持续时间不与对共试剂的暴露重叠,但是可能有一些重叠。如本说明书和所附权利要求中使用的,术语“前驱物”、“反应物”、“反应气体”及类似用语可互换使用来指称可与基板表面反应的任何气态物种。
在一个或更多个实施例中,使用原子层沉积(ALD)工艺进行间隙填充方法。ALD工艺是一种自限制工艺,其中使用二元(或更高阶)反应来沉积单层材料。ALD工艺中的个别反应持续进行,直到基板表面上的所有可用活性位点都已进行反应。ALD工艺可以通过时域或空间ALD来进行。
在时域工艺中,使处理腔室和基板在任意给定时间暴露于单一反应气体。在示例性时域工艺中,处理腔室可以被填充金属前驱物一段时间,以允许金属前驱物与基板上的可用位点充分反应。随后可以在使第二反应气体流入处理腔室中并允许第二反应气体与基板上的活性位点完全反应之前净化处理腔室的前驱物。时域工艺通过确保在任意给定时间时只有一种反应气体存在于处理腔室中来最少化反应气体的混合。在任意反应气体步骤开始时,存在延迟,其中反应物种的浓度必须从零到最终的预定压力。类似地,在从处理腔室净化所有反应物种时存在延迟。
在空间ALD工艺中,在单一处理腔室内的不同处理区域之间移动基板。各个处理区域中的每一个通过气幕与相邻的处理区域分隔。气幕有助于防止反应气体混合,以最少化任何气相反应。
在一些空间ALD处理腔室中,存在多个可用于引入不同化学品或等离子体气体的气体入口通道。在空间上,这些通道被惰性净化气体和/或形成气幕的真空抽吸孔分隔,气幕将相邻的处理区域分隔。气幕有助于防止反应气体混合,以最少化任何气相反应。来自不同通道的气体基本上没有或有最少的混合,以避免不想要的气相反应。移动通过这些不同的空间分隔通道的晶片得以依序和多次表面暴露于不同的化学或等离子体环境,因此空间ALD模式的逐层膜生长或表面蚀刻工艺成为可能。
在一些实施例中,处理腔室在气体分配组件上具有模块化架构,而且每个模块都具有独立的控制,诸如能够提供弹性频率的气流和/或RF暴露的RF或气流,而不只是空间的。本公开的实施例利用这些架构并且包含首先使用生长抑制剂处理结构(表面毒化),该生长抑制剂被集中到特征的顶部和顶部侧面,且表面上的抑制剂往沟槽底部缓慢减少。相信,这将相对于顶部在底部促进较高的前驱物吸收速率。当满足此条件时,多个ALD循环应导致由下而上的生长。在某些情况下毒化只需进行一次,而其他情况可能需要每个循环都使用毒化。在大多数情况下,毒化处理的最小量将在约1至约50个循环的范围内。
本公开的一些实施例是针对使用批处理腔室(也称为空间处理腔室)的膜沉积工艺。图1示出包括气体分配组件120(也称为注射器或注射器组件)和基座组件140的处理腔室100的剖面。气体分配组件120是处理腔室中使用的、任意类型的气体输送装置。气体分配组件120包括面向基座组件140的前表面121。前表面121可以具有任意数量或各种的开口,以输送气流前往基座组件140。气体分配组件120还包括外缘124,在示出的实施例中外缘124大体上是圆形的。
所使用的气体分配组件120的具体类型可以依据所使用的特定工艺而改变。本发明的实施例可以与任意类型的处理系统一起使用,其中基座与气体分配组件之间的缝隙受到控制。尽管可以采用各种类型的气体分配组件(例如喷淋头),但本发明的实施例对于具有多个大致上平行的气体通道的空间气体分配组件可能特别有用。如本说明书和所附权利要求中使用的,术语“大致上平行”意指气体通道的长轴在大致相同的方向上延伸。气体通道的平行性可能存在微小的不完美。在二元反应中,多个大致上平行的气体通道可以包括至少一个第一反应气体A通道、至少一个第二反应气体B通道、至少一个净化气体P通道和/或至少一个真空V通道。流自第一反应气体A通道、第二反应气体B通道及净化气体P通道的气体被导向晶片的顶表面。一些气流水平地移动穿过晶片的表面并通过净化气体P通道离开处理区域。从气体分配组件的一端移动到另一端的基板将依次暴露于每种处理气体,从而在基板表面上形成层。
在一些实施例中,气体分配组件120是由单一注射器单元制成的刚性静止主体。在一个或更多个实施例中,气体分配组件120是由多个个别的扇区(例如注射器单元122)制成,如图2所示。单件主体或多扇区主体任一者都可以与所述发明的各种实施例一起使用。
基座组件140被定位在气体分配组件120下方。基座组件140包括顶表面141和在顶表面141中的至少一个凹槽142。基座组件140还具有底表面143和边缘144。凹槽142可以具有任何适当的形状和尺寸,取决于被处理的基板60的形状和尺寸。在图1示出的实施例中,凹槽142具有平坦底部以支撑晶片的底部;然而,凹槽的底部可以有变化。在一些实施例中,凹槽具有围绕凹槽的外周缘的台阶区域,台阶区域的尺寸被设计来支撑晶片的外周缘。晶片被台阶支撑的外周缘的量可以取决于例如晶片的厚度和已经存在于晶片背面上的特征的存在而改变。
在一些实施例中,如图1所示,基座组件140的顶表面141中的凹槽142的尺寸被设计成使得被支撑在凹槽142中的基板60具有与基座140的顶表面141大致上共面的顶表面61。如本说明书和所附权利要求中使用的,术语“大致上共面”意指晶片的顶表面与基座组件的顶表面在±0.2mm内共面。在一些实施例中,顶表面在±0.15mm、±0.10mm或±0.05mm内共面。
图1的基座组件140包括能够升举、降低及旋转基座组件140的支撑柱160。基座组件可以包括在支撑柱160中心内的加热器、或气体管线、或电气组件。支撑柱160可以是增大或减小基座组件140与气体分配组件120之间的缝隙、将基座组件140移动到适当位置的主要装置。基座组件140还可以包括微调致动器162,微调致动器162可以对基座组件140进行微调整,以在基座组件140与气体分配组件120之间形成预定缝隙170。
在一些实施例中,缝隙170的距离在约0.1mm至约5.0mm的范围内、或在约0.1mm至约3.0mm的范围内、或在约0.1mm至约2.0mm的范围内、或在约0.2mm至约1.8mm的范围内、或在约0.3mm至约1.7mm的范围内、或在约0.4mm至约1.6mm的范围内、或在约0.5mm至约1.5mm的范围内、或在约0.6mm至约1.4mm的范围内、或在约0.7mm至约1.3mm的范围内、或在约0.8mm至约1.2mm的范围内、或在约0.9mm至约1.1mm的范围内、或约1mm。
图中所示的处理腔室100是转盘型腔室,其中基座组件140可以固持多个基板60。如图2所示,气体分配组件120可以包括多个不同的注射器单元122,当晶片在注射器单元下方移动时,每个注射器单元122都能够在晶片上沉积膜。图示出两个扇形注射器单元122被定位在基座组件140的大致相对侧上和上方。此注射器单元122的数量仅被示出用于说明的目的。应当理解的是,可以包括更多或更少的注射器单元122。在一些实施例中,存在足够数量的扇形注射器单元122以形成符合基座组件140形状的形状。在一些实施例中,各个扇形注射器单元122中的每一个都可以被独立移动、移除和/或更换而不影响任何其他的注射器单元122。例如,可以升高一个区段以允许机器人进入基座组件140与气体分配组件120之间的区域,以装载/卸除基板60。
可以使用具有多个气体注射器的处理腔室来同时处理多个晶片,使得晶片经历相同的工艺流程。例如,如图3所示,处理腔室100具有四个气体注射器组件和四个基板60。在处理开始时,基板60可以被定位在注射器组件30之间。将基座组件140旋转(17)45°将导致在注射器组件120之间的每个基板60被移动到注射器组件120以进行膜沉积,如注射器组件120下方的虚线圆圈所示出的。附加的45°旋转将使基板60移动离开注射器组件30。基板60与气体分配组件120的数量可以相同或不同。在一些实施例中,存在与气体分配组件相同数量的被处理晶片。在一个或更多个实施例中,正被处理的晶片数量是气体分配组件的数量的分数或整数倍。例如,假使有四个气体分配组件,则有4×被处理的晶片,其中x是大于或等于1的整数值。在示例性实施例中,气体分配组件120包括由气幕分隔的八个处理区域,并且基座组件140可以容纳6个晶片。
图3示出的处理腔室100仅表示一种可能的配置,并且不应被视为限制本发明的范围。这里,处理腔室100包括多个气体分配组件120。在示出的实施例中,有四个气体分配组件(也称为注射器组件120)被平均地分隔在整个处理腔室100中。示出的处理腔室100是八边形的;然而,所属技术领域中具有通常知识者将理解的是,这是一种可能的形状,并且不应被视为限制本发明的范围。图示的气体分配组件120是梯形的,但也可以是单一圆形组件或由多个扇状区段组成,就像图2示出的。
图3示出的实施例包括负载锁定腔室180或像是缓冲站的辅助腔室。此腔室180连接到处理腔室100的一侧,以允许例如基板(也称为基板60)被从腔室100装载/卸除。晶片机器人可以被定位在腔室180中以将基板移到基座上。
转盘(例如基座组件140)的旋转可以是连续或间歇的(不连续的)。在连续处理中,晶片被持续旋转,使得晶片被依次暴露于每个注射器。在不连续处理中,晶片可以被移到注射器区域并停止,随后被移到注射器之间的区域84并停止。例如,转盘可以旋转,使得晶片从注射器间的区域移动穿过注射器(或停止在注射器附近)并到达下一个注射器间区域上,在此转盘可以再次暂停。在注射器之间的暂停可为每层沉积(例如暴露于等离子体)之间的额外处理步骤提供时间。
图4图示气体分配组件220的扇区或部分,该扇区或部分可被称为注射器单元122。注射器单元122可以单独使用或与其他注射器单元组合使用。例如,如图5所示,将图4的四个注射器单元122组合以形成单个气体分配组件。(为了清楚起见,未示出分隔四个注射器单元的线)。尽管除了净化气体端口155和真空端口145之外,图4的注射器单元122还具有第一反应气体端口125和第二气体端口135,但注射器单元122并不需要全部的这些组件。
参照图4和图5,依据一个或更多个实施例的气体分配组件可以包含多个扇区(或注射器单元122),每个扇区相同或不同。气体分配组件被定位在处理腔室内并在气体分配组件220的前表面121中包含多个细长气体端口125、135、145。多个细长气体端口125、135、145、155从邻近内周缘123的区域往邻近气体分配组件的外周缘124的区域延伸。示出的多个气体端口包括第一反应气体端口125、第二气体端口135、包围第一反应气体端口和第二反应气体端口中的每一个的真空端口145、以及净化气体端口155。
参照图4或图5示出的实施例,然而,当说明端口从至少内周区域附近延伸到至少外周区域附近时,端口可以不只从内区径向延伸到外区。当真空端口145围绕反应气体端口125和反应气体端口135时,端口可以切向延伸。在图4和图5示出的实施例中,真空端口145包围楔形反应气体端口125、135的所有边缘,包括内周区域和外周区域附近。
参照图4,当基板沿着路径127移动时,基板表面的每个部分都暴露于各种反应气体。遵循路径127,基板将暴露于或“看到”净化气体端口155、真空端口145、第一反应气体端口125、真空端口145、净化气体端口155、真空端口145、第二气体端口135及真空端口145。因此,在图4所示的路径127的末端,基板已暴露于第一反应气体125和第二反应气体135而形成层。图示的注射器单元122形成四分之一个圆,但可以更大或更小。可以将图5所示的气体分配组件视为四个图4的注射器单元122串联的组合。
图4的注射器单元122示出分开反应气体的气幕150。术语“气幕”用于描述将反应气体分开免于混合的任何气流或真空的组合。图4示出的气幕150包含真空端口145邻近第一反应气体端口125的部分、中间的净化气体端口155、及真空端口145邻近第二气体端口135的部分。这种气流与真空的组合可用以防止或最少化第一反应气体与第二反应气体的气相反应。
参照图5,来自气体分配组件的气流和真空的组合形成多个处理区域250的分隔。处理区域被250之间的气幕150大致界定在各个气体端口125、135周围。图5示出的实施例构成8个不同的处理区域250,且其间具有8个不同的气幕150。处理腔室可以具有至少2个处理区域。在一些实施例中,有至少3个、4个、5个、6个、7个、8个、9个、10个、11个或12个处理区域。
在处理过程中,可以在任何给定时间使基板暴露于多于一个处理区域250。然而,暴露于不同处理区域的部分将使气幕将两个处理区域分隔。例如,假使基板的前缘进入包括第二气体端口135的处理区域,则基板的中间部分将在气幕150下方,并且基板的后缘将在包括第一反应气体端口125的处理区域中。
将工厂接口280(可以是例如负载锁定腔室)示出为连接到处理腔室100。将基板60示出为叠加在气体分配组件上方以提供参考。基板60可以通常位于基座组件上以被固持在气体分配板120的前表面121附近。经由工厂接口280将基板60加载到处理腔室100中到基板支座或基座组件上(参见图3)。可以将基板60示出为被定位在处理区域内,因为基板位于第一反应气体端口125附近以及两个气幕之间。沿着路径127旋转基板60将使基板围绕处理腔室100逆时针移动。因此,基板60将被暴露于第一处理区域250a至第八处理区域250h,包括其间的所有处理区域。
本发明的实施例是针对包含处理腔室100的处理方法,处理腔室100具有多个处理区域250a-250h,且每个处理区域都通过气幕150与相邻区域分隔。例如,图5示出的处理腔室。处理腔室内的气幕和处理区域的数量可以是任何适当的数量,取决于气流的配置。图5示出的实施例具有8个气幕150和8个处理区域250a-250h。气幕的数量通常等于或多于处理区域的数量。
多个基板60被定位在基板支座上,基板支座例如图1和图2示出的基座组件140。多个基板60被围绕处理区域旋转以进行处理。一般来说,气幕150在整个处理过程中都接通(气体流动和真空开启),包括没有反应气体流入腔室的时段。
使第一反应气体A流入一个或更多个处理区域250中,同时使惰性气体流入没有第一反应气体A流入其中的任何处理区域250中。例如,如果第一反应气体流入处理区域250b至处理区域250h,则使惰性气体流入处理区域250a。可以使惰性气体流经第一反应气体端口125或第二气体端口135。
处理区域内的惰性气流可以是恒定的或变化的。在一些实施例中,反应气体与惰性气体共流。惰性气体将作为载体和稀释剂。由于反应气体相对于载体气体的量是少的,因此共流可以通过减少相邻区域之间的压力差来更容易地平衡处理区域之间的气体压力。
图6A至图6C示出使用表面毒化的间隙填充工艺的说明。本公开的一些实施例包含首先使用生长抑制剂处理结构(表面毒化),该生长抑制剂被集中到顶部和顶部侧面,且表面上的抑制剂往沟槽底部缓慢减少。此举相对于结构的顶部在底部促进较高的前驱物吸收速率。当满足此条件时,多个ALD循环导致由下而上的生长。在一些实施例中,进行一次毒化。在一些实施例中,每个工艺循环都使用毒化。在一些实施例中,毒化处理在范围约10至约50个的循环之后发生。
本公开的一些实施例是针对使用定向等离子体形成从沟槽顶部到底部的毒化梯度的方法。直接等离子体产生可以轰击沟槽顶部和底部的定向离子。如果沟槽具有小的开口和长的长度(AR>10:1),则可以通过压力(0-15T)和功率(50-1000W)来调节等离子体,以从顶部沿侧面向下到达底部使表面失活。如果毒化工艺被正确地调节,则毒化可以在顶部较强并且在侧面较弱(如图6B所示)。膜沉积工艺将决定使用何种类型的等离子体毒化。例如,在使用双(二乙基胺基)硅烷(BDEAS)和O2等离子体的SiO2ALD的情况下,在BDEAS之前采用NH3等离子体毒化步骤、随后使用O2等离子体导致生长减少。不受任何特定操作理论的束缚,相信生长减少发生的机制是来自于表面NH2基团的形成,表面NH2基团不能与BDEAS上的胺基团反应。
图7示出NH3等离子体功率相对于每循环的生长(GPC)的曲线图。此工艺的脉冲列是:3秒NH3等离子体;20秒净化;1秒BDEAS、20秒净化;5秒O2等离子体;以及20秒净化。基板温度为350℃。NH3等离子体功率为50-500W,压力为0.5-10托。O2等离子体功率为50-500W,压力为0.5-10托。净化/载体气体流量或Ar或N2为50-5000sccms。在400W的NH3等离子体功率下,生长速率是在没有NH3等离子体处理的BDEAS/O2等离子体工艺中观察到的一半。
将NH3毒化压力对于GPC的影响示出在图8中。观察到超过50个循环时膜厚度从沟槽顶部到底部缓慢增加。在100个循环之后,观察到大部分的沟槽被填满,且没有孔隙或接缝存在的指示。观察到类似的厚度分布为 表示由下而上的生长。
方法的实施例可以使用各种等离子体气体来毒化表面。一些等离子体气体包括、但不限于NH3、N2、Ar、H2O、CO2、N2O、H2、N2+H2、肼、及上述气体的组合。
本公开的一些实施例将毒化分子引入等离子体(例如Ar、N2、H2、NH3)中。毒化分子包括、但不限于肼、水、乙二胺、乙醇胺、烷烃、胺、烯烃、多胺、环氧化物、醇、及上述的组合。在一些实施例中,将表面毒化包含使表面暴露于有机基毒化剂。在一些实施例中,有机基毒化剂包含含有碳和氢的分子。在一个或更多个实施例中,有机基毒化剂包含含碳和氢的分子,该含碳和氢的分子也包含氧、氮、硫和/或卤素原子中的一种或更多种。在一些实施例中,有机基毒化剂包含乙醇胺(ETA)、己烷、和/或甲苯中的一种或更多种。在一个或更多个实施例中,抑制剂以摩尔为基准包含多于或等于约10%的有机基毒化剂。
在一个或更多个实施例中,毒化分子只以亚饱和剂量的分子引入等离子体中,使得等离子体中只有足以毒化顶部特征和特征顶侧(即特征的侧面的顶部)的分子。如在这方面所使用的,亚饱和剂量出现,其中基本上所有的(>90%)毒化分子在与特征(例如沟槽)的底部反应之前已被消耗。一些实施例的亚饱和剂量取决于分子的黏附系数、浓度和/或自由基/离子寿命中的一者或更多者。
在另一个实施例中,可以调节等离子体,使得溅射发生在结构的顶部,从而导致由下而上的生长。取代仅毒化顶部和侧面,可以在ALD循环期间调节等离子体以移除材料。
在一些实施例中,可以使用通过亚饱和前驱物剂量的热毒化。实现毒化作用的一种方式是使用可与表面热反应的毒化分子(不使用等离子体)。以这种方式,毒化分子可被以小剂量引到表面,使得只有足以与结构的顶部和侧面顶部反应的前驱物。在前驱物被用尽之后,不会有进一步到沟槽下方的反应。一些实施例的毒化分子毒化填充工艺的生长并与表面快速反应。
例如,低剂量的水之后可以是硅基卤化物(例如SiBr4),随后是NH3气体而以由下而上的方式生长SiN。可以使用此程序的连续循环来完全填充沟槽。低剂量的H2O可以在顶部和顶部侧面、但不在沟槽的底部上产生OH。Si-OH键在150-450℃的温度下对Si-X(X=卤化物键)没有活性。如果SiX4无法与OH基团反应,则在结构的顶部和顶部侧面的较少生长应导致由下而上的生长。
在一些实施例中,使用亚饱和剂量的乙二胺或其他多胺来毒化表面。毒化分子的示例包括、但不限于烷基、多胺、醇、含有OH和NHx基团的分子、及上述的组合。可以使用任何毒化ALD工艺的生长的分子。
在CVD工艺期间使用一些实施例,其中在进行CVD的同时使用亚饱和剂量作为表面毒化分子。例如,添加非常低剂量的H2O到TEOS/O3工艺CVD工艺可以使结构的顶部和侧面顶部失去活性,导致在沟槽底部的较快生长及因此由下而上的间隙填充。
在一些实施例中,基板表面上具有至少一个特征。特征可以是例如沟槽或柱状物。如这方面所使用的,术语“特征”意指任何意图的表面不规则性。特征的适当示例包括、但不限于具有顶部、两个侧壁、及底部的沟槽、具有顶部和两个侧壁的尖峰。特征可以具有任何适当的深宽比(特征的深度与特征的宽度的比率)。在一些实施例中,深宽比大于或等于约5:1、10:1、15:1、20:1、25:1、30:1、35:1或40:1。
参照图6A,将特征330示出为基板310中的沟槽。特征具有顶部332、底部336及侧壁334。侧壁334之间形成缝隙。被沉积在特征上的膜将覆盖沟槽的顶部332、底部336及侧壁334。
本公开的一个或更多个实施例是针对包含毒化基板并以由下而上的方式沉积膜的处理方法。如在这方面使用的,术语“由下而上的方式”意指相对于特征的顶部膜被优先沉积在特征的底部。
一个或更多个实施例包括毒化特征330的顶部332以抑制沉积膜的生长。在图6A中,具有至少一个特征330的基板被定位在处理腔室中。使基板310暴露于反应物以优先毒化顶部表面332而形成毒化部分340,如图6B所示。毒化可以只在特征的顶部上,或者可以往特征的底部减小强度,如图所示。梯度毒化可以由于一些毒化剂进入特征中而发生。
膜350被沉积在基板310和特征330上。在顶部352的膜350的厚度小于在底部356的膜350的厚度。可以重复工艺来以由下而上的方式填充特征的缝隙。膜可以通过任何适当的技术沉积,该技术包括、但不限于ALD和CVD。在一些实施例中,膜通过ALD工艺沉积,其中使基板依序暴露于前驱物和反应物。
图6C示出在特征顶部上的少量生长。在一些实施例中,在暴露于毒化剂之后特征顶部上大致上没有生长。如在这方面使用的,大致上没有生长意味着特征顶部上的生长少于发生在特征底部的生长的约25%。
膜的沉积可以导致毒化剂失活。换句话说,膜沉积工艺可以逆转毒化工艺。在一些实施例中,在每个膜生长循环之后、或在每次暴露于前驱物之前使基板暴露于毒化剂。在一些实施例中,在沉积厚度在约至约范围中的膜之后,使基板表面暴露于毒化剂。在一些实施例中,毒化基板发生在使基板依序暴露于前驱物和反应物两次至约10次的范围中之后。
一些实施例的毒化剂是等离子体。等离子体毒化剂可以是NH3、N2、Ar、H2O、CO2、N2O、H2、N2+H2和/或肼中的一种或更多种。等离子体可以是远程等离子体或直接等离子体。在一些实施例中,等离子体是被加偏压以将离子和/或自由基导向基板表面的定向等离子体。
在一些实施例中,将毒化剂引入等离子体中。例如,等离子体可以不足以毒化表面,或者可以根本不作为毒化剂。毒化剂可以被添加到等离子体中并且可以通过等离子体活化。毒化剂可被以亚饱和量添加,以有助于将毒化限制于特征的顶部或优先与特征的顶部反应。换句话说,一些实施例包括只将亚饱和分子剂量的毒化分子引入等离子体中,使得等离子体中只有足以毒化顶部特征和顶部侧面的分子。如果添加太多的毒化剂,则特征的整个深度可能都被毒化。一些实施例的毒化剂包含肼、水、乙二胺、乙三胺、乙醇胺、烷(例如己烷)、芳香族化合物(例如甲苯)、胺、烯烃、多胺和/或醇中的一种或更多种。
在另一个实施例中,可以调节等离子体使得溅射发生在结构的顶部,从而导致由下而上的生长。取代仅仅毒化顶部和侧面,等离子体可以能被调节以在ALD循环期间去除材料。
在一些实施例中,毒化剂与基板表面热反应。例如,不使用等离子体来毒化表面或帮助毒化表面的工艺。毒化剂可被引入少量,以优先与特征的顶部反应。
在一些实施例中,被沉积在特征中的膜具有比没有使用毒化剂所形成的膜更少的孔隙或缝隙。被沉积在一些实施例的特征中的膜具有小于2的湿蚀刻速率比。湿蚀刻速率比(WERR)是使用1:100的HF相对于热SiO2膜所测量的。
因此,本公开的一个或更多个实施例是针对利用像是图5示出的批处理腔室的处理方法。基板60被放入具有多个区250的处理腔室中,每个区通过气幕150与相邻的区分隔。在处理腔室的第一区250a中使基板表面的至少一部分暴露于第一处理条件。一些实施例的第一处理条件包含毒化剂。
将基板表面横向移动通过气幕150到达处理腔室的第二区250b。在第二区250b中使基板表面暴露于第二处理条件。一些实施例的第二处理条件包含优先以由下而上的方式与特征反应的前驱物。
将基板表面横向移动通过气幕150到达处理腔室的第三区250c。随后可以在第三区250c中使基板表面暴露于第三处理条件。一些实施例的第三处理条件包含与前驱物反应的反应物,该前驱物已化学吸附到特征上,使得膜以由下而上的方式形成。
在一些实施例中,使基板暴露于附加的第二处理条件和第三处理条件以形成具有预定膜厚度的膜。在一些实施例中,使基板暴露于附加的第一处理条件以重复毒化基板表面。
示例
将在空间ALD处理腔室中NH3等离子体暴露时间相对于固定沉积循环(100个循环)的厚度的影响示出在图9中。将此示例实施为一个毒化暴露对一个沉积循环。
图10示出具有不同毒化暴露时间的样品每循环生长(GPC)厚度的毒化有效性的曲线图。在有效暴露以毒化空白晶片4秒的情况下观察到最低的GPC。以此暴露时间的GPC为每循环小于
图11示出仅利用100个循环沉积的膜的剖面TEM图像。膜的厚度从顶部到底部缓慢增加。图12示出从顶部到底部的测量厚度并转换为阶梯覆盖率%,其中平顶表面具有100%的覆盖率。数据显示在结构顶部有较多的毒化。
图13示出被沉积在结构上完全填充沟槽的膜的TEM。有300个沉积循环,并且在整个沟槽中没有任何孔隙或接缝的迹象。
使用N2等离子体、乙二胺(EDA)及乙醇胺(ETA)作为有机基毒化剂在硅和氧化硅表面上生长氮化硅膜。使样品暴露于生长抑制剂,随后是六氯二硅烷(HCDS)/NH3等离子体的循环,进行150个循环。将膜生长总结在表1中。
表1
乙醇胺抑制剂显示约87%的生长减少。
使用ETA作为抑制剂以变化的等离子体功率在硅和氧化硅表面上生长氮化硅膜。使用HCDS/NH3等离子体进行沉积。测量每循环的生长(GPC)并总结在表2中。
表2
观察到ETA造成沟槽顶部到底部的共形抑制,表示可以用亚饱和量控制ETA的抑制。
在各种温度下以亚饱和量评估ETA的抑制能力。将ETA输送到表面0.1秒。使用150个HCDS/NH3等离子体(100W)循环在硅和氧化硅表面上沉积SiN膜。将结果总结在表3中。
表3
在各种等离子体功率下使表面暴露于己烷和氮气等离子体抑制之后通过HCDS/NH3等离子体(100W)沉积氮化硅膜。测量150个循环之后的膜生长。沉积温度为350℃。将结果总结在表4中。
表4
在不同等离子体功率下暴露于作为抑制剂的己烷和氩等离子体之后沉积氮化硅膜。抑制之后,在350℃下沉积150个循环的HCDS/NH3等离子体(100W)并测量厚度。将结果总结在表5中。
表5
在不同腔室压力下暴露于作为抑制剂的己烷和氩等离子体之后沉积氮化硅膜。抑制之后,在350℃下沉积150个循环的HCDS/NH3等离子体(100W)并测量厚度。将结果总结在表6中。
表6
在不同等离子体功率下暴露于作为抑制剂的己烷和氩等离子体之后沉积氮化硅膜。抑制之后,在350℃、3.5托下沉积150个循环的HCDS/NH3等离子体(100W)。量测膜厚度并确定斜率,该膜厚度为沟槽中深度的函数。较大的斜率表示在沟槽底部有较厚的膜。将结果总结在表7中。
表7
在不同压力下暴露于作为抑制剂的己烷和氩等离子体(100W)之后沉积氮化硅膜。抑制之后,在350℃下沉积150个循环的HCDS/NH3等离子体(100W)。量测膜厚度并确定斜率,该膜厚度为沟槽中深度的函数。较大的斜率表示在沟槽底部有较厚的膜。将结果总结在表8中。
表8
在各种等离子体功率下使表面暴露于甲苯和氮气等离子体抑制之后通过HCDS/NH3等离子体(100W)沉积氮化硅膜。测量150个循环之后的膜生长。沉积温度为350℃。将结果总结在表9中。
表9
在不同等离子体功率下暴露于作为抑制剂的甲苯和氩等离子体之后沉积氮化硅膜。抑制之后,在350℃下沉积150个循环的HCDS/NH3等离子体(100W)并测量厚度。将结果总结在表10中。
表10
在不同等离子体功率下暴露于作为抑制剂的甲苯和氩等离子体之后沉积氮化硅膜。抑制之后,在350℃、3.5托下沉积150个循环的HCDS/NH3等离子体(100W)。量测膜厚度并确定斜率,该膜厚度为沟槽中深度的函数。较大的斜率表示在沟槽底部有较厚的膜。将结果总结在表11中。
表11
依据一个或更多个实施例,基板在形成层之前和/或之后经受处理。此处理可以在同一腔室中或在一个或更多个不同的处理腔室中进行。在一些实施例中,将基板从第一腔室移到不同的第二腔室以进行进一步处理。可以将基板直接从第一腔室移到不同的处理腔室,或者可以将基板从第一腔室移到一个或更多个移送腔室,随后移到不同的处理腔室。因此,处理设备可以包含与移送站连通的多个腔室。可以将这类设备称为“群集工具”或“群集系统”等。
一般来说,群集工具是包含多个腔室的模块化系统,该等腔室进行各种功能,包括基板中心寻找和定向、除气、退火、沉积和/或蚀刻。依据一个或更多个实施例,群集工具包括至少第一腔室和中央移送腔室。中央移送腔室可以容纳机器人,机器人可以在处理腔室和负载锁定腔室之间来回移送基板。移送腔室通常被保持在真空条件下,并提供用于将基板从一个腔室移送到另一个腔室和/或到位于群集工具前端的负载锁定腔室的中间阶段。两种可以适用于本发明的公知群集工具是都可以向美国加州圣克拉拉的应用材料公司购得的然而,为了进行本文所述工艺的特定步骤的目的,可以改变腔室的确切配置和组合。可以使用的其他处理腔室包括、但不限于循环层沉积(CLD)、原子层沉积(ALD)、化学气相沉积(CVD)、物理气相沉积(PVD)、蚀刻、预清洗、化学清洗、诸如RTP的热处理、等离子体氮化、除气、定向、羟基化及其他基板工艺。通过在群集工具的腔室中进行工艺,可以在沉积后续膜之前避免大气杂质污染基板的表面且没有氧化。
依据一个或更多个实施例,使基板连续处于真空或“负载锁定”条件下,并在被从一个腔室移到下一个腔室时不暴露于环境空气。因此移送腔室处于真空下并且在真空压力下被“抽空”。惰性气体可以存在于处理腔室或移送腔室中。在一些实施例中,使用惰性气体作为净化气体来去除一些或全部的反应物。依据一个或更多个实施例,在沉积腔室的出口注射净化气体,以防止反应物从沉积腔室移到移送腔室和/或附加的处理腔室。因此,惰性气体的流动在腔室的出口形成气幕。
可以在单一基板沉积腔室中处理基板,其中单一基板被加载、处理及卸除,之后再处理另一个基板。也可以类似于输送带系统以连续的方式处理基板,其中多个基板被个别加载腔室的第一部分中、移动通过腔室、并被从腔室的第二部分卸除。腔室的形状和相关的输送带系统可以形成直的路径或弯曲路径。另外,处理腔室可以是转盘,其中多个基板被围绕中心轴移动并在整个转盘的路径中暴露于沉积、蚀刻、退火、清洗等工艺。
在处理过程中,可以将基板加热或冷却。这种加热或冷却可以通过任何适当的手段来完成,包括、但不限于改变基板支座的温度并使加热或冷却气体流到基板表面。在一些实施例中,基板支座包括可被控制以导电式地改变基板温度的加热器/冷却器。在一个或更多个实施例中,所使用的气体(反应气体或惰性气体)被加热或冷却以局部改变基板温度。在一些实施例中,加热器/冷却器被定位在邻近基板表面的腔室内,以对流地改变基板温度。
基板在处理过程中也可以是静止的或旋转的。转动的基板可以被连续旋转或在不连续的步骤中旋转。例如,基板可以旋转持续整个工艺,或者基板可以在暴露于不同的反应或净化气体之间被旋转少量。在处理过程中旋转基板(连续地或在步骤中)可以通过最小化例如气流几何形状的局部变化的影响而有助于产生更均匀的沉积或蚀刻。
在原子层沉积型腔室中,可以在空间上或时间上分隔的工艺中使基板暴露于第一和第二前驱物。时间ALD是一种传统工艺,其中第一前驱物流入腔室中与表面反应。在流入第二前驱物之前净化腔室中的第一前驱物。在空间ALD中,第一和第二前驱物都同时流到腔室中,但在空间上被分隔,使得在流动之间存在防止前驱物混合的区域。在空间ALD中,基板被相对于气体分配板移动,或反之亦然。
在方法的一个或更多个部分在一个腔室中进行的实施例中,工艺可以是空间ALD工艺。尽管上述的一种或更多种化学品可能不兼容(即产生在基板表面以外的反应和/或在腔室上的沉积物),但空间分隔确保试剂不暴露于气相中的每一种化学品。例如,时间ALD涉及净化沉积腔室。然而,在实施中,有时不可能在流入另外的试剂之前将所有的过量试剂从腔室中净化掉。因此,腔室中的所有剩余试剂都可以反应。使用空间分隔的下不需要净化过量的试剂,而且可限制交叉污染。此外,可能需要大量时间来净化腔室,因此可以通过免除净化步骤来提高产量。
贯穿本说明书提及“一个实施例”、“某些实施例”、“一个或更多个实施例”或“一实施例”意指结合该实施例所描述的特定特征、结构、材料、或特性被包括在本发明的至少一个实施例中。因此,贯穿本说明书在各处出现的词语例如“在一个或更多个实施例中”、“在某些实施例中”、“在一个实施例中”或“在一实施例中”不一定是指本发明的同一实施例。此外,可以在一个或更多个实施例中以任何适当的方式组合该特定特征、结构、材料、或特性。
尽管已经参照特定实施例描述了本文的发明,但应当理解的是,这些实施例只是说明本发明的原理和应用。对于所属技术领域技术人员而言显而易见的是,可以在不偏离本发明的精神和范围下对本发明的方法和设备进行各种修改和变化。因此,本发明意在包括在所附权利要求及其均等物的范围内的修改和变化。

Claims (15)

1.一种处理方法,包含:
使上面具有至少一个特征的基板表面暴露于包含抑制剂的有机基毒化剂,以相对于所述特征的底部优先毒化所述特征的顶部;以及
以由下而上的方式在所述特征中沉积膜。
2.如权利要求1所述的方法,其中在所述特征中沉积所述膜包含使所述基板表面依序暴露于前驱物和反应物。
3.如权利要求2所述的方法,其中使所述基板表面暴露于所述毒化剂在每次暴露于所述前驱物之前发生。
4.如权利要求1至3中任一项所述的方法,其中在沉积厚度在约至约 的范围中的膜之后使所述基板表面暴露于所述毒化剂。
5.如权利要求1至3中任一项所述的a方法,其中所述毒化剂包含等离子体。
6.如权利要求5所述的方法,其中所述等离子体包含NH3、N2、Ar、H2O、CO2、N2O、H2和/或肼中的一者或更多者。
7.如权利要求1至3中任一项所述的方法,其中所述毒化剂被引入等离子体中。
8.如权利要求7所述的方法,其中所述毒化剂以亚饱和量引入所述等离子体中。
9.如权利要求7所述的方法,其中所述有机基毒化剂包含肼、水、乙二胺、乙醇胺、烷基、胺、烯烃、环氧化物、多胺、和/或醇中的一者或更多者。
10.如权利要求1至3中任一项所述的方法,其中所述有机基毒化剂与所述表面热反应。
11.如权利要求10所述的方法,其中所述有机基毒化剂以小的量引入以优先与所述特征的所述顶部反应。
12.如权利要求1至3中任一项所述的方法,其中所述特征具有大于或等于10:1的深宽比。
13.如权利要求1至3中任一项所述的方法,进一步包含重复暴露于所述有机基毒化剂和膜沉积以填充所述特征。
14.如权利要求13所述的方法,其中被沉积在所述特征中的所述膜具有小于2的湿蚀刻速率比。
15.一种处理方法,包含:
将具有基板表面的基板放入处理腔室中,所述处理腔室包含多个扇区,每个扇区都通过气幕与相邻的扇区分隔,所述基板表面具有至少一个特征,所述至少一个特征具有顶部、底部和侧面及大于或等于10:1的深宽比;
在所述处理腔室的第一扇区中使所述基板表面的至少一部分暴露于第一处理条件,所述第一处理条件包含有机基毒化剂,以相对于所述特征的所述底部优先抑制在所述特征的所述顶部的膜生长;
将所述基板表面横向移动通过气幕到所述处理腔室的第二扇区;
在所述处理腔室的所述第二扇区中使所述基板表面暴露于第二处理条件,所述第二处理条件包含硅前驱物;
将所述基板表面横向移动通过气幕到所述处理腔室的第三扇区;
在所述处理腔室的所述第三扇区中使所述基板表面暴露于第三处理条件,所述第三处理条件包含含氧反应物,以形成SiO2膜;以及
重复对所述第一扇区、第二扇区及第三扇区的暴露以填充所述特征,所述暴露包括横向移动所述基板表面。
CN201680061712.1A 2015-10-23 2016-10-19 通过表面毒化处理的由下而上的间隙填充 Active CN108140578B (zh)

Applications Claiming Priority (5)

Application Number Priority Date Filing Date Title
US201562245977P 2015-10-23 2015-10-23
US62/245,977 2015-10-23
US201562265734P 2015-12-10 2015-12-10
US62/265,734 2015-12-10
PCT/US2016/057671 WO2017070190A1 (en) 2015-10-23 2016-10-19 Bottom-up gap-fill by surface poisoning treatment

Publications (2)

Publication Number Publication Date
CN108140578A true CN108140578A (zh) 2018-06-08
CN108140578B CN108140578B (zh) 2022-07-08

Family

ID=58558075

Family Applications (1)

Application Number Title Priority Date Filing Date
CN201680061712.1A Active CN108140578B (zh) 2015-10-23 2016-10-19 通过表面毒化处理的由下而上的间隙填充

Country Status (6)

Country Link
US (1) US11028477B2 (zh)
JP (1) JP7125343B2 (zh)
KR (1) KR102527897B1 (zh)
CN (1) CN108140578B (zh)
TW (1) TWI732795B (zh)
WO (1) WO2017070190A1 (zh)

Cited By (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN112391605A (zh) * 2019-08-15 2021-02-23 东京毅力科创株式会社 成膜方法
CN115244212A (zh) * 2020-03-13 2022-10-25 应用材料公司 反射膜生长的方法
CN115595556A (zh) * 2021-06-28 2023-01-13 韩华株式会社(Kr) 对空隙或接缝的发生进行抑制的基板处理装置以及方法

Families Citing this family (23)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9564309B2 (en) 2013-03-14 2017-02-07 Asm Ip Holding B.V. Si precursors for deposition of SiN at low temperatures
US9576792B2 (en) 2014-09-17 2017-02-21 Asm Ip Holding B.V. Deposition of SiN
US10410857B2 (en) 2015-08-24 2019-09-10 Asm Ip Holding B.V. Formation of SiN thin films
JP6545094B2 (ja) * 2015-12-17 2019-07-17 東京エレクトロン株式会社 成膜方法及び成膜装置
JP6548586B2 (ja) * 2016-02-03 2019-07-24 東京エレクトロン株式会社 成膜方法
US10246774B2 (en) * 2016-08-12 2019-04-02 Lam Research Corporation Additive for ALD deposition profile tuning in gap features
JP2019029333A (ja) * 2017-07-26 2019-02-21 東芝メモリ株式会社 プラズマ処理装置および半導体装置の製造方法
JP6832808B2 (ja) * 2017-08-09 2021-02-24 東京エレクトロン株式会社 シリコン窒化膜の成膜方法及び成膜装置
US10843618B2 (en) * 2017-12-28 2020-11-24 Lam Research Corporation Conformality modulation of metal oxide films using chemical inhibition
US10580645B2 (en) * 2018-04-30 2020-03-03 Asm Ip Holding B.V. Plasma enhanced atomic layer deposition (PEALD) of SiN using silicon-hydrohalide precursors
JP2020136677A (ja) 2019-02-20 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための周期的堆積方法および装置
US11075266B2 (en) 2019-04-29 2021-07-27 International Business Machines Corporation Vertically stacked fin semiconductor devices
KR102141547B1 (ko) * 2019-09-25 2020-09-14 솔브레인 주식회사 박막 제조 방법
KR20210079767A (ko) 2019-12-20 2021-06-30 삼성전자주식회사 물질막 형성 방법과, 집적회로 소자 및 그 제조 방법
US11967502B2 (en) * 2020-06-30 2024-04-23 Samsung Electronics Co., Ltd. Methods of forming material layer, semiconductor devices, and methods of manufacturing the same
US20220186365A1 (en) * 2020-12-11 2022-06-16 Applied Materials, Inc. Super-conformal germanium oxide films
US11781218B2 (en) 2020-12-11 2023-10-10 Applied Materials, Inc. Defect free germanium oxide gap fill
KR20220092104A (ko) 2020-12-24 2022-07-01 삼성전자주식회사 집적회로 소자
JP2023075832A (ja) * 2021-11-19 2023-05-31 東京エレクトロン株式会社 窒化膜の成膜方法及びプラズマ処理装置
WO2023114870A1 (en) * 2021-12-17 2023-06-22 Lam Research Corporation High pressure plasma inhibition
WO2023159012A1 (en) * 2022-02-15 2023-08-24 Lam Research Corporation High pressure inert oxidation and in-situ annealing process to improve film seam quality and wer
WO2024091844A1 (en) * 2022-10-24 2024-05-02 Lam Research Corporation Fluorine reduction is silicon-containing films
WO2024102763A1 (en) * 2022-11-08 2024-05-16 Lam Research Corporation A robust icefill method to provide void free trench fill for logic and memory applications

Citations (8)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2001284327A (ja) * 2000-03-31 2001-10-12 Toshiba Corp ドライエッチング方法、半導体装置の製造方法及び半導体装置
US20040079632A1 (en) * 2002-10-23 2004-04-29 Applied Materials, Inc. High density plasma CVD process for gapfill into high aspect ratio features
US20040224504A1 (en) * 2000-06-23 2004-11-11 Gadgil Prasad N. Apparatus and method for plasma enhanced monolayer processing
US7592254B2 (en) * 2005-11-01 2009-09-22 The Board Of Trustees Of The University Of Illinois Methods for coating and filling high aspect ratio recessed features
CN102054663A (zh) * 2009-11-04 2011-05-11 东京毅力科创株式会社 基板处理装置和基板处理方法
US20130241063A1 (en) * 2012-03-14 2013-09-19 Yu-Shan Chiu Through-silicon via and fabrication method thereof
CN103681612A (zh) * 2012-09-21 2014-03-26 台湾积体电路制造股份有限公司 晶种层结构和方法
CN104928654A (zh) * 2014-02-26 2015-09-23 朗姆研究公司 用于无缝特征填充的抑制剂等离子体介导的原子层沉积

Family Cites Families (21)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6391785B1 (en) 1999-08-24 2002-05-21 Interuniversitair Microelektronica Centrum (Imec) Method for bottomless deposition of barrier layers in integrated circuit metallization schemes
JP2006261434A (ja) * 2005-03-17 2006-09-28 L'air Liquide Sa Pour L'etude & L'exploitation Des Procede S Georges Claude シリコン酸化膜の形成方法
WO2007140377A2 (en) * 2006-05-30 2007-12-06 Applied Materials, Inc. A novel deposition-plasma cure cycle process to enhance film quality of silicon dioxide
US7625820B1 (en) 2006-06-21 2009-12-01 Novellus Systems, Inc. Method of selective coverage of high aspect ratio structures with a conformal film
US9337054B2 (en) 2007-06-28 2016-05-10 Entegris, Inc. Precursors for silicon dioxide gap fill
US7541297B2 (en) * 2007-10-22 2009-06-02 Applied Materials, Inc. Method and system for improving dielectric film quality for void free gap fill
US8808456B2 (en) * 2008-08-29 2014-08-19 Tokyo Electron Limited Film deposition apparatus and substrate process apparatus
US8293647B2 (en) * 2008-11-24 2012-10-23 Applied Materials, Inc. Bottom up plating by organic surface passivation and differential plating retardation
US10256142B2 (en) * 2009-08-04 2019-04-09 Novellus Systems, Inc. Tungsten feature fill with nucleation inhibition
JP2011199021A (ja) * 2010-03-19 2011-10-06 Renesas Electronics Corp 半導体装置及びその製造方法
TWI541378B (zh) * 2010-10-16 2016-07-11 奧特科技公司 原子層沉積鍍膜系統及方法
JP5725454B2 (ja) * 2011-03-25 2015-05-27 株式会社アルバック NiSi膜の形成方法、シリサイド膜の形成方法、シリサイドアニール用金属膜の形成方法、真空処理装置、及び成膜装置
US8524600B2 (en) 2011-03-31 2013-09-03 Applied Materials, Inc. Post deposition treatments for CVD cobalt films
US8592005B2 (en) * 2011-04-26 2013-11-26 Asm Japan K.K. Atomic layer deposition for controlling vertical film growth
US8921228B2 (en) 2011-10-04 2014-12-30 Imec Method for selectively depositing noble metals on metal/metal nitride substrates
TWI627303B (zh) * 2011-11-04 2018-06-21 Asm國際股份有限公司 將摻雜氧化矽沉積在反應室內的基底上的方法
US9117657B2 (en) * 2013-06-07 2015-08-25 Asm Ip Holding B.V. Method for filling recesses using pre-treatment with hydrocarbon-containing gas
US9349637B2 (en) * 2014-08-21 2016-05-24 Lam Research Corporation Method for void-free cobalt gap fill
US9748137B2 (en) 2014-08-21 2017-08-29 Lam Research Corporation Method for void-free cobalt gap fill
US9997405B2 (en) 2014-09-30 2018-06-12 Lam Research Corporation Feature fill with nucleation inhibition
US9564312B2 (en) * 2014-11-24 2017-02-07 Lam Research Corporation Selective inhibition in atomic layer deposition of silicon-containing films

Patent Citations (8)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2001284327A (ja) * 2000-03-31 2001-10-12 Toshiba Corp ドライエッチング方法、半導体装置の製造方法及び半導体装置
US20040224504A1 (en) * 2000-06-23 2004-11-11 Gadgil Prasad N. Apparatus and method for plasma enhanced monolayer processing
US20040079632A1 (en) * 2002-10-23 2004-04-29 Applied Materials, Inc. High density plasma CVD process for gapfill into high aspect ratio features
US7592254B2 (en) * 2005-11-01 2009-09-22 The Board Of Trustees Of The University Of Illinois Methods for coating and filling high aspect ratio recessed features
CN102054663A (zh) * 2009-11-04 2011-05-11 东京毅力科创株式会社 基板处理装置和基板处理方法
US20130241063A1 (en) * 2012-03-14 2013-09-19 Yu-Shan Chiu Through-silicon via and fabrication method thereof
CN103681612A (zh) * 2012-09-21 2014-03-26 台湾积体电路制造股份有限公司 晶种层结构和方法
CN104928654A (zh) * 2014-02-26 2015-09-23 朗姆研究公司 用于无缝特征填充的抑制剂等离子体介导的原子层沉积

Non-Patent Citations (1)

* Cited by examiner, † Cited by third party
Title
ARTHUR KEIGLER等: "优化的TSV填充工艺降低成本", 《集成电路应用》 *

Cited By (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN112391605A (zh) * 2019-08-15 2021-02-23 东京毅力科创株式会社 成膜方法
CN115244212A (zh) * 2020-03-13 2022-10-25 应用材料公司 反射膜生长的方法
CN115595556A (zh) * 2021-06-28 2023-01-13 韩华株式会社(Kr) 对空隙或接缝的发生进行抑制的基板处理装置以及方法

Also Published As

Publication number Publication date
JP7125343B2 (ja) 2022-08-24
US20170114459A1 (en) 2017-04-27
US11028477B2 (en) 2021-06-08
JP2018533218A (ja) 2018-11-08
KR102527897B1 (ko) 2023-04-28
TWI732795B (zh) 2021-07-11
TW201725627A (zh) 2017-07-16
WO2017070190A1 (en) 2017-04-27
KR20180061387A (ko) 2018-06-07
CN108140578B (zh) 2022-07-08

Similar Documents

Publication Publication Date Title
CN108140578A (zh) 通过表面毒化处理的由下而上的间隙填充
US10170298B2 (en) High temperature silicon oxide atomic layer deposition technology
CN108780735B (zh) 用于间隔件应用的氮化硅膜的选择性沉积
US20170314128A1 (en) Chamber undercoat preparation method for low temperature ald films
CN108778739A (zh) 用于选择性干式蚀刻的方法及设备
CN109417048A (zh) 用于间隙填充应用的可流动非晶硅膜
CN109477214A (zh) 可流动含硅膜的沉积
US20030215570A1 (en) Deposition of silicon nitride
JP6968701B2 (ja) 低誘電率かつ低湿式エッチング速度の誘電体薄膜を堆積させるための方法
TWI733850B (zh) 使用沉積/蝕刻技術之無接縫溝道填充
TW202129049A (zh) 鉬填充
CN108028172A (zh) 使用硅氢加成钝化的表面选择性原子层沉积
US9685325B2 (en) Carbon and/or nitrogen incorporation in silicon based films using silicon precursors with organic co-reactants by PE-ALD
CN110088875A (zh) 无成核的间隙填充ald工艺
CN108369896A (zh) 利用空间原子层沉积的原位膜退火
TW201615879A (zh) 高溫二氧化矽原子層沉積技術
JP2004186210A (ja) 窒素含有ケイ素化合物膜の形成方法

Legal Events

Date Code Title Description
PB01 Publication
PB01 Publication
SE01 Entry into force of request for substantive examination
SE01 Entry into force of request for substantive examination
GR01 Patent grant
GR01 Patent grant