CN109477214A - 可流动含硅膜的沉积 - Google Patents

可流动含硅膜的沉积 Download PDF

Info

Publication number
CN109477214A
CN109477214A CN201780044398.0A CN201780044398A CN109477214A CN 109477214 A CN109477214 A CN 109477214A CN 201780044398 A CN201780044398 A CN 201780044398A CN 109477214 A CN109477214 A CN 109477214A
Authority
CN
China
Prior art keywords
film
silicon
processing method
containing precursor
flowable
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
CN201780044398.0A
Other languages
English (en)
Inventor
L·卡鲁塔拉格
M·萨利
D·汤普森
A·B·玛里克
T·阿肖克
P·曼纳
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Applied Materials Inc
Original Assignee
Applied Materials Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Applied Materials Inc filed Critical Applied Materials Inc
Publication of CN109477214A publication Critical patent/CN109477214A/zh
Pending legal-status Critical Current

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/02274Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition in the presence of a plasma [PECVD]
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/308Oxynitrides
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/32Carbides
    • C23C16/325Silicon carbide
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/34Nitrides
    • C23C16/345Silicon nitride
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/36Carbonitrides
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/40Oxides
    • C23C16/401Oxides containing silicon
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/50Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/56After-treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02126Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing Si, O, and at least one of H, N, C, F, or other non-metal elements, e.g. SiOC, SiOC:H or SiONC
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02126Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing Si, O, and at least one of H, N, C, F, or other non-metal elements, e.g. SiOC, SiOC:H or SiONC
    • H01L21/02129Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing Si, O, and at least one of H, N, C, F, or other non-metal elements, e.g. SiOC, SiOC:H or SiONC the material being boron or phosphorus doped silicon oxides, e.g. BPSG, BSG or PSG
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02164Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon oxide, e.g. SiO2
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02167Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon carbide not containing oxygen, e.g. SiC, SiC:H or silicon carbonitrides
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/0217Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon nitride not containing oxygen, e.g. SixNy or SixByNz
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02205Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
    • H01L21/02208Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02205Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
    • H01L21/02208Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si
    • H01L21/02211Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound being a silane, e.g. disilane, methylsilane or chlorosilane
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02318Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment
    • H01L21/02321Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment introduction of substances into an already existing insulating layer
    • H01L21/02323Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment introduction of substances into an already existing insulating layer introduction of oxygen
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02318Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment
    • H01L21/02337Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment treatment by exposure to a gas or vapour
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02318Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment
    • H01L21/02337Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment treatment by exposure to a gas or vapour
    • H01L21/0234Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment treatment by exposure to a gas or vapour treatment by exposure to a plasma
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/76Making of isolation regions between components
    • H01L21/762Dielectric regions, e.g. EPIC dielectric isolation, LOCOS; Trench refilling techniques, SOI technology, use of channel stoppers
    • H01L21/76224Dielectric regions, e.g. EPIC dielectric isolation, LOCOS; Trench refilling techniques, SOI technology, use of channel stoppers using trench refilling with dielectric materials
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/76Making of isolation regions between components
    • H01L21/762Dielectric regions, e.g. EPIC dielectric isolation, LOCOS; Trench refilling techniques, SOI technology, use of channel stoppers
    • H01L21/76224Dielectric regions, e.g. EPIC dielectric isolation, LOCOS; Trench refilling techniques, SOI technology, use of channel stoppers using trench refilling with dielectric materials
    • H01L21/76232Dielectric regions, e.g. EPIC dielectric isolation, LOCOS; Trench refilling techniques, SOI technology, use of channel stoppers using trench refilling with dielectric materials of trenches having a shape other than rectangular or V-shape, e.g. rounded corners, oblique or rounded trench walls

Landscapes

  • Engineering & Computer Science (AREA)
  • Chemical & Material Sciences (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Materials Engineering (AREA)
  • General Chemical & Material Sciences (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • Inorganic Chemistry (AREA)
  • Plasma & Fusion (AREA)
  • Chemical Vapour Deposition (AREA)
  • Formation Of Insulating Films (AREA)

Abstract

描述了用于无缝间隙填充的方法,包括通过将基板表面暴露于含硅前驱物和共反应物来形成可流动膜。所述含硅前驱物具有至少一个烯基或炔基。可通过任何合适的固化工艺固化所述可流动膜,以形成无缝间隙填充。

Description

可流动含硅膜的沉积
技术领域
本公开总的来说涉及沉积薄膜的方法。具体地,本公开文本涉及用于利用可流动含硅膜来填充窄沟槽的工艺。
背景技术
在微电子器件制造中,对于许多应用而言,需要填充具有大于10∶1的深宽比(AR)的窄沟槽而无空隙。一种应用用于浅沟槽隔离(STI)。对于这种应用,膜需要在整个沟槽上是高质量的(具有例如小于2的湿法蚀刻速率比率)而很少泄漏。随着结构尺寸的减小和深宽比的增加,经沉积的可流动膜的后固化方法变得困难。导致在整个被填充的沟槽上具有不同组分的膜。
介电膜的常规等离子体增强化学气相沉积(PECVD)在窄沟槽的顶部形成“蘑菇形”的膜。这是因为等离子体不能渗透到深沟槽中。这就导致从顶部夹住窄沟槽;在沟槽的底部形成空隙。
另外,诸如SiCO、SiCON、SiCN之类的含硅膜被广泛用于半导体器件的制造。例如,这些含碳间隙填充膜可以用于图案化应用。由于存在高碳水平,与氧化物膜和氮化物膜相比,这些膜通常显示出高蚀刻选择性。蚀刻选择性对于要用于图案化应用的间隙填充膜是重要的。因此,需要用于沉积含硅膜的前驱物和方法。
发明内容
本公开文本的一个或多个实施方式针对的是处理方法,所述处理方法包括将基板表面暴露于含硅前驱物和共反应物来沉积可流动膜。所述含硅前驱物具有至少一个烯基或炔基。
本公开文本的附加实施方式针对的是处理方法,所述处理方法包括提供基板表面,在所述基板表面上具有至少一个特征。所述至少一个特征从所述基板表面向底表面延伸一定深度,并且具有由第一侧壁和第二侧壁限定的宽度。将所述基板表面暴露于含硅前驱物和共反应物来在所述基板表面和所述至少一个特征的所述第一侧壁、所述第二侧壁和所述底表面上形成可流动膜。所述可流动膜填充所述特征而基本上不形成接缝。所述含硅前驱物包括具有结构I-V中的任何结构的化合物:
其中,R1-R6中的每个独立地选自由以下组成的群组:CR′CR″2、CCR′、H、甲基、乙基、丙基、异丙基、正丁基、仲丁基、异丁基、叔丁基、NR′2和OR′,其中R′和R″独立地选自由以下组成的群组:H、甲基、乙基、丙基、异丙基、正丁基、仲丁基、异丁基和叔丁基,R1-R6中的至少一个选自由以下组成的群组:CR′CR″2或CCR′。所述共反应物包括氨等离子体。固化所述可流动膜以凝固所述膜并形成基本上无缝的间隙填充。
本公开的进一步的实施方式针对的是处理方法,所述处理方法包括提供基板表面,在所述基板表面上具有至少一个特征。所述至少一个特征从所述基板表面向底表面延伸一定深度,并且具有由第一侧壁和第二侧壁限定的宽度。将所述基板表面暴露于含硅前驱物和共反应物来在所述基板表面和所述至少一个特征的所述第一侧壁、所述第二侧壁和所述底表面上形成可流动膜。所述可流动膜填充所述特征而基本上不形成接缝。所述含硅前驱物包括四乙烯基硅烷(Si(CHCH2)4)或四乙炔基硅烷(tetraacetalide)(Si(CCH)4)中的一种或多种,并且所述共反应物包括氨等离子体。固化所述可流动膜以凝固所述膜并形成基本上无缝的间隙填充。
附图简述
为了能够详细地理解本发明的上述特征,可以参考实施方式对在上文简要概述的本发明作更具体的描述,所述实施方式中的一些实施方式在附图中示出。然而,需要注意的是,附图仅示出了本发明的典型实施方式,并且因此不应被视为对本发明范围做出限制,因为本发明可允许其它等效实施方式。
图1示出了根据本公开文本的一个或多个实施方式的基板特征的剖视图;
图2示出了图1的基板特征的剖视图,在所述基板特征上具有可流动膜;和
图3示出了根据本公开文本的一个或多个实施方式沉积的膜的SEM图像。
具体实施方式
在描述本发明的数个示例性实施方式前,需要理解的是,本发明不限于以下描述中阐述的构造或工艺步骤的细节。本发明也能够具有其它实施方式并能够以各种方式来实践或实施。
如本文所使用的“基板”是指任何基板或在制造工艺期间在其上执行膜处理的基板上形成的材料表面。例如,可在其上执行处理的基板表面包括诸如硅、氧化硅、应变硅、绝缘体上硅(SOI)、碳掺杂氧化硅、非晶硅、掺杂硅、锗、砷化镓、玻璃、蓝宝石之类的材料,以及任何其它材料(诸如金属、金属氮化物、金属合金和其它导电材料),具体取决于应用。基板包括但不限于半导体晶片。可以将基板暴露于预处理工艺以对基板表面进行抛光、蚀刻、还原、氧化、羟化、退火、UV固化、E束固化和/或烘烤。除了直接地在基板本身的表面上进行膜处理之外,在本发明中,所公开的膜处理步骤中的任何步骤也可以在形成于基板上的下层上执行,如以下更详细所公开地,并且术语“基板表面”旨在包括如上下文所指示的此类下层。因此,例如,在膜/层或部分膜/层已经沉积在基板表面上的情况下,新沉积的膜/层的暴露表面就会变成基板表面。
本公开文本的实施方式提供了在具有小尺寸的高深宽比(AR)结构中沉积间隙填充膜(例如,SiC、SiCO、SiCN、SiCON)的方法。一些实施方式有利地提供了涉及可在群集工具环境中执行的循环沉积-处理工艺的方法。一些实施方式有利地提供了无缝高质量含硅膜以填满具有小尺寸的高AR沟槽。一些实施方式有利地提供了含有高碳含量的膜。在一个或多个实施方式中,高碳含量的膜可以有利地用于硬掩模和低k可流动应用中。
本公开文本的一个或多个实施方式针对的是如下工艺:沉积可流动含硅膜,所述可流动含硅膜能够填充高深宽比结构(例如,AR>8∶1)。本公开文本的实施方式提供了新的前驱物,以使用F-CVD(可流动式化学气相沉积)来产生SiC、SiOC、SiCN、SiOCN、SiO和SiN可流动膜以用于间隙填充应用中。各种实施方式的前驱物包括烯基(乙烯基)和/或炔基。在一个或多个实施方式中,将前驱物暴露于反应自由基以在沉积腔室中引发由自由基诱导的聚合。
所沉积的可流动膜通常不稳定,并且在暴露于大气条件下时老化。一些实施方式的可流动膜通过例如将NH3/O2的含硅前驱物和自由基形式作为共反应物进行沉积。然后通过臭氧/UV/蒸气退火/NH3退火等将这些膜固化,以得到固化膜。
出于描述的目的,描述了用于间隙填充应用的可流动CVD膜的沉积。然而,本领域的技术人员将理解,所描述的前驱物和方法不限于间隙填充应用,并且可用于任何含硅膜。图1示出了具有特征110的基板100的部分剖视图。出于说明目的,附图示出了具有单个特征的基板;然而,本领域的技术人员将理解,可以存在多于一个特征。特征110的形状可以是任何合适的形状,包括但不限于沟槽和圆柱形的过孔。如就此所用的,术语特征摂表示任何有意的表面不规则处。合适的特征示例包括但不限于:具有顶部、两个侧壁和底部的沟槽;具有顶部和两个侧壁的峰部。特征可以具有任何合适的深宽比(特征的深度与特征的宽度的比率)。在一些实施方式中,所述深宽比大于或等于约5∶1、10∶1、15∶1、20∶1、25∶1、30∶1、35∶1或40∶1。
基板100具有基板表面120。所述至少一个特征110在基板表面120中形成开口。特征110从基板表面120向底表面112延伸深度D。特征110具有第一侧壁114和第二侧壁116,二者限定特征110的宽度W。由侧壁和底部形成的敞开区域也被称为间隙。
本公开文本的一个或多个实施方式针对的是处理方法,在所述处理方法中提供基板表面,在所述基板表面上具有至少一个特征。如就此所用的,术语“提供”表示将基板放置到某个位置或环境中以用于进一步处理。
如图2所示,在基板表面120以及所述至少一个特征110的第一侧壁114、第二侧壁116和底表面112上形成可流动膜150。可流动膜150填充所述至少一个特征110,从而基本上无接缝形成。接缝是形成在特征中、在特征110的侧壁之间但不一定在特征110的侧壁中间的间隙。如就此所用的,术语“基本上无接缝”表示在侧壁之间的膜中形成的任何间隙小于侧壁的横截面积的约1%。
可以通过任何合适的工艺形成可流动膜150。在一些实施方式中,可流动膜的形成是通过等离子体增强化学气相沉积(PECVD)来完成的。换句话说,可以通过等离子体增强化学气相沉积工艺来沉积可流动膜。
本公开文本的实施方式有利地提供了用于沉积可流动CVD膜的一类含硅前驱物。一些实施方式有利地提供了间隙填充而不形成接缝的方法。一些实施方式有利地提供了用于固化可流动CVD膜的方法,其中以无缝方式填充沟槽或表面特征。
本公开文本的实施方式针对的是处理方法,所述处理方法包括将基板表面暴露于含硅前驱物和共反应物来沉积可流动膜。含硅前驱物具有至少一个烯基或炔基,以允许参与自由基聚合反应。结构I-V提供了与本公开文本的各种实施方式一起使用的含硅前驱物的示例。
式(I)的R基(R1-R6)各自独立地选自由以下组成的群组:CR′CR″2、CCR′、H、甲基、乙基、丙基、异丙基、正丁基、仲丁基、异丁基、叔丁基、NR′2和OR′。R′和R″基独立地选自由以下组成的群组:H、甲基、乙基、丙基、异丙基、正丁基、仲丁基、异丁基和叔丁基。R1-R6(对于式I而言是R1-R4)中的至少一个选自由CR′CR″2或CCR″组成的群组。本领域的技术人员将理解,术语“R基”的使用是指R1至R6(对于式I而言是R1-R4)中的任一个。在一些实施方式中,R基中的至少一个不是氢。在一些实施方式中,R基中的至少一个是甲基。在一些实施方式中,R基中的至少一个是乙基。在一些实施方式中,至少一个R基是乙烯基。在一些实施方式中,R基中的每个是相同的基团。
在一个或多个实施方式中,硅前驱物包括乙烯基,以使得含硅前驱物的R基中的一个或多个包括CR′CR″2。在一些实施方式中,含硅前驱物的R基全都包括CR′CR″2。在一个或多个实施方式中,硅前驱物的R基基本上全都包括CR′CR″2。如就此所使用的,“基本上全都”是指大于或等于约95%的R基是指定的基团。在一些实施方式中,每个R′都是相同的取代基,并且每个R″都是相同的取代基。在一个或多个实施方式中,含硅前驱物包括四乙烯基硅烷(Si(CHCH2)4)。
在一个或多个实施方式中,含硅前驱物包括炔基,以使得含硅前驱物的R基中的一个或多个包括CCR′。在一些实施方式中,含硅前驱物的R基全都包括CCR′。在一个或多个实施方式中,硅前驱物的R基基本上全都包括CCR′。如就此所使用的,“基本上全都”是指大于或等于约95%的R基是指定的基团。在一些实施方式中,每个R′都是相同的取代基,并且每个R″都是相同的取代基。在一个或多个实施方式中,含硅前驱物包括四乙炔基硅烷(Si(CCH)4)。
可以将具有任何结构I-V的含Si前驱物汽化到CVD腔室,并且可以将合适的共反应物(例如,NH3/O2/C02/CO/Ar/He/H2或其组合)通过例如RPS(远程等离子体源)输送到CVD腔室,RPS将产生作为共反应物的等离子体活性物质。经等离子体活化的共反应物分子(自由基)具有高能量,并且可以与呈气相的含Si前驱物分子反应以形成对应的可流动聚合物。在一些实施方式中,用等离子体气体来产生等离子体,等离子体气体包括NH3、O2、CO2、CO、Ar、He或H2中的一种或多种。在一些实施方式中,等离子体气体包含氨,或基本上由氨组成。如就此所使用的,术语“基本上由......组成”是指等离子体中大于或等于约90分子百分比的反应物质是氨。
等离子体可以在处理腔室内产生或点燃(例如,直接等离子体),或可以在处理腔室外产生并流入处理腔室中(例如,远程等离子体)。
可以在任何合适的温度下形成可流动膜150。在一些实施方式中,可流动膜150在约-20℃至约100℃的范围内的温度下形成。温度可以保持为低,以保存所形成的器件的热预算。在一些实施方式中,形成可流动膜在低于约300℃、250℃、200℃、150℃、100℃、75℃、50℃、25℃或0℃的温度下发生。
具有高碳含量的膜可以具有许多应用,例如,应用在硬掩模图案化中和用于可流动低K膜。在一些实施方式中,使用任何结构I-V的前驱物的可流动膜可以沉积高碳含量的膜。在一些实施方式中,所述膜具有至多约85原子百分比的碳含量。在一个或多个实施方式中,可流动膜具有的碳含量大于约40原子百分比、45原子百分比、50原子百分比、55原子百分比、60原子百分比、65原子百分比、70原子百分比、75原子百分比或80原子百分比并小于约95原子百分比、90原子百分比或85原子百分比。在一些实施方式中,可流动膜具有的碳含量为约40原子%至约85原子百分比,或约50原子百分比至约85原子百分比,或约60原子百分比至约80原子百分比。
可以通过改变反应气体的组成来调整可流动膜的组成。在一些实施方式中,可流动膜包括SiC、SiCO、SiCN、SiCON、SiO和SiN中的一种或多种。为了形成含氧膜,共反应物可以包括例如氧、臭氧或水中的一种或多种。为了形成含氮膜,共反应物可以包括例如氨、肼、NO2或N2中的一种或多种。为了形成含碳膜,反应气体可以包括例如丙烯和乙炔中的一种或多种。本领域的技术人员将理解,可以在反应气体的混合物中包含其它物质或其它物质的组合以改变可流动膜的组成。
可流动膜可以沉积在晶片上(晶片的温度可以是从-10℃至200℃),并且因为它们的流动性,聚合物将流过沟槽并进行间隙填充。然后对这些膜进行固化步骤,诸如臭氧/UV/蒸气退火/NH3退火,以获得稳定膜。在一些实施方式中,该方法提供SiC、SiCO、SiCN、SiCON、SiO和/或SiN可流动膜中的一种或多种。因此,在形成可流动膜150之后,可以使膜固化以凝固可流动膜并形成基本上无接缝的间隙填充。在一个或多个实施方式中,固化可流动膜包括将可流动膜暴露于臭氧、UV光、蒸气退火、氨退火和氧等离子体中的一种或多种。在一些实施方式中,通过将膜暴露于UV固化工艺来固化可流动膜。UV固化工艺可以在约10℃至约550℃的范围内的温度下进行。UV固化工艺可以在足以使可流动膜凝固所需的任何合适的时间范围内发生。UV固化可以以不同的参数(例如,功率、温度、环境)执行。在一些实施方式中,UV固化发生在乙炔/乙烯环境中。
在一些实施方式中,固化可流动膜包括热退火。热退火可以在任何合适的温度和任何合适的环境下进行。在一些实施方式中,通过在乙炔/乙烯环境中的热退火来固化可流动膜。
在一些实施方式中,固化可流动膜包括暴露于等离子体或电子束。用于固化膜的等离子体暴露包括与PECVD等离子体分离的等离子体。等离子体物质和处理腔室可以是相同的,并且等离子体固化是与PECVD工艺不同的步骤。
在一些实施方式中,固化可流动膜包括将可流动膜暴露于蒸气退火和/或氧等离子体。使用蒸气退火和/或氧等离子体可以降低可流动膜的碳含量,使得固化膜具有比刚沉积的可流动膜要低的碳含量。使用蒸气退火和/或氧等离子体可以将所沉积的可流动SiC、SiCN或SiCO膜转化为SiO。这样的膜的碳含量已经基本上被去除;这意味着在膜预固化中存在少于约5%的碳。
在一些实施方式中,任何结构I-V的前驱物可以在可流动的工艺中与另一种前驱物(与另一种含Si前驱物共流动)一起使用以沉积各种组合物的膜。例如,结构I-V的前驱物可以与三硅基胺(TSA)/NH3工艺一起使用来在膜中加入碳。从TSA/NH3工艺获得的可流动膜是SiO或SiN膜。通过添加结构I-V的含硅前驱物,可以沉积SiCO、SiCON或SiCN膜。在另一个示例中,含硅前驱物可以与八甲基环四硅氧烷(OMCTS)/O2工艺一起使用。OMCTS/O2工艺得到SiOC薄膜,并且结构I-V的前驱物可以用于再增加在膜中的C%。可以通过所述方法来获得SiC、SiOC、SiCN、SiOCN、SiO和SiN可流动膜。在进一步的示例中,TSA/硅烷(SixHy)/OMCTS可以与结构I-V的前驱物混合或共流动以沉积SiCO、SiCON或SiN膜。
在一些实施方式中,将结构I-V的硅前驱物添加到另一个工艺中以将碳掺杂到硅膜中。例如,硅沉积工艺可以使来自式I-V的前驱物中的一些前驱物加入到所述工艺中以将碳原子加入膜中。本公开的一些实施方式针对的是通过使含碳硅烷与硅烷胺共流动以形成可流动膜而将碳掺杂到膜中的方法。在一个或多个实施方式中,使四乙烯基硅烷与三甲硅烷基胺和氨等离子体共流动以形成按原子计具有大于或等于约50%、60%、70%、75%或80%的碳含量的膜。
在一些实施方式中,可流动膜掺杂有另一种元素。例如,一些可流动膜可以掺杂有B、As或P中的一种或多种。可流动膜可掺杂有诸如硼(B)和磷(P)的元素以改善膜的性质。含有硼和磷的前驱物可在沉积工艺期间与含Si前驱物共流动,或可在沉积完成之后被浸渗。含硼前驱物可以是氨基硼烷/硼烷化合物,并且含磷前驱物可以是磷酸盐/亚磷酸盐化合物。在一些实施方式中,掺杂可流动膜包括使掺杂剂前驱物与含硅前驱物共流动。在一些实施方式中,掺杂可流动膜包括在单独工艺中注入掺杂剂元素。
本公开的一些实施方式针对的是从硅烷和烃获得SiC、SiCO、SiCON、SiCN、SiN、SiO可流动膜的方法。合适的烃包括但不限于如结构VI-XV所示的那些。
在一些实施方式中,硅烷前驱物包括无碳原子的化合物。本领域的技术人员将理解,作为结构VI-XV列出的化合物仅代表一些可能的硅烷前驱物。可以将所示的结构修改为包括硅烷取代基或其它原子。
结构I-V的至少一种前驱物和来自任何烃(CxHy)的至少一种前驱物可以以任何组合被使用。可以将结构I-V和烃(CxHy)的(一种或多种)硅烷前驱物汽化到CVD腔室,并且可以将共反应物(例如,NH3/O2/CO2/CO/Ar/He/H2或其组合)通过RPS(远程等离子体源)输送到腔室,所述RPS将产生作为共反应物的等离子体活性物质。经等离子体活化的共反应物分子(自由基)具有高能量,并且与呈气相的含Si前驱物分子反应以形成对应的可流动聚合物。这些聚合物将沉积在晶片上(晶片的温度可以是从-10℃至200℃),并且因为它们的流动性,聚合物将流过沟槽并进行间隙填充。然后对这些膜进行固化步骤,诸如臭氧/UV/蒸气退火/NH3退火,以获得稳定的SiC、SiCO、SiCON、SiCN、SiN、SiO膜。
示例1-从四乙烯基硅烷((Si(CHCH2)4,称为TVS)和NH3等离子体沉积可流动SiCON膜
在约80℃、100℃和130℃的温度范围内以小于约1托的压力通过CVD沉积四乙烯基硅烷(TVS)和远程NH3等离子体来获得可流动膜。刚沉积的膜在经100∶1稀释的HF中具有1.58的折射率和1.8A/min的湿法蚀刻速率。在图3中示出可流动膜的SEM图像。
示例2-从四乙炔基硅烷(Si(CCH)4和NH3等离子体沉积可流动SiCON膜
在约0℃至约80℃、100℃和130℃的温度范围内以小于约1托的压力从四乙炔基硅烷和远程NH3等离子体来获得可流动膜。刚沉积的膜具有约1.58的折射率。
示例3-通过使TVS与TSA共流动来增加TSA/NH3工艺中的C%
通过在0℃下使TVS/TVA和NH3等离子体共流动来获得可流动膜。由TSA/NH3获得的可流动膜在膜中几乎没有碳,而通过使TVS和TSA共流动获得的可流动膜具有高达80%的碳含量。
根据一个或多个实施方式,在形成层之前和/或之后对基板进行处理。所述处理可以在相同腔室中或在一个或多个单独的处理腔室中执行。在一些实施方式中,将基板从第一腔室移动到单独的第二腔室,以进一步进行处理。基板可以直接地从第一腔室移动到单独的处理腔室,或基板可以从第一腔室移动到一个或多个传送腔室,并且随后又移动到单独的处理腔室。因此,处理设备可以包括与传送站连通的多个腔室。这种设备可以被称为“群集工具”或“群集系统”等。
一般地,群集工具是包括多个腔室的模块化系统,这些腔室执行各种功能,包括基板定中心和取向、脱气、退火、沉积和/或蚀刻。根据一个或多个实施方式,群集工具包括至少一个第一腔室、以及中心传送腔室。中心传送腔室可以容纳机器人,所述机器人能够使基板穿梭于各处理腔室之间以及各处理腔室与装载锁定腔室之间。传送腔室典型地保持在真空条件下并提供中间平台以用于使基板从一个腔室穿梭到另一个腔室和/或穿梭到定位在群集工具的前端的装载锁定腔室。可适于本发明的两个熟知的群集工具是二者都可获自加利福尼亚州圣克拉拉市应用材料公司(Applied Materials,Inc.,of Santa Clara,Calif.)。然而,可以出于执行如本文所述的工艺的特定步骤的目的来更改腔室的准确布置和组合。其它可用的处理腔室包括但不限于循环层沉积(CLD;Cyclical Layer Deposition)、原子层沉积(ALD;Atomic Layer Deposition)、化学气相沉积(CVD;Chemical Vapor Deposition)、物理气相沉积(PVD;Physical VaporDeposition)、蚀刻、预清洁、化学清洁、热处理(诸如RTP)、等离子体氮化、脱气、取向、羟化和其它基板工艺。通过在群集工具上的腔室中实施工艺,可以避免基板因大气杂质而受到表面污染,而不在沉积后续膜之前氧化。
根据一个或多个实施方式,基板连续地处于真空或“装载锁定”条件下,并且当从一个腔室移动到下一个腔室时不暴露于环境空气。传送腔室因此在真空下并在真空压力下被“抽气”。惰性气体可以存在于处理腔室或传送腔室中。在一些实施方式中,将惰性气体用作净化气体以将一些或所有的反应物去除。根据一个或多个实施方式,在沉积腔室的出口处注入净化气体以防止反应物从沉积腔室移动到传送腔室和/或附加处理腔室。因此,惰性气流在腔室的出口处形成气帘。
基板可以在单个基板沉积腔室中进行处理,其中单个基板在另一个基板被进行处理之前装载、处理和卸载。基板也可以类似于传送机系统的连续方式进行处理,其中多个基板被单独地装载到腔室的第一部分中,移动通过腔室,并且从腔室的第二部分卸载。腔室和相关联的传送机系统的形状可以形成直线路径或弯曲路径。另外,处理腔室可以是转盘(carousel),其中多个基板围绕中心轴线移动并在整个转盘路径上都暴露于沉积、蚀刻、退火、清洁等工艺。
在处理期间,可以加热或冷却基板。可以通过任何合适的手段来完成这样的加热或冷却,包括但不限于改变基板支撑件的温度和使经加热的气体或经冷却的气体流动到基板表面。在一些实施方式中,基板支撑件包括加热器/冷却器,所述加热器/冷却器可被控制来传导地改变基板温度。在一个或多个实施方式中,所采用的气体(反应气体或惰性气体)被加热或冷却以局部地改变基板温度。在一些实施方式中,将加热器/冷却器定位在腔室内邻近基板表面来对流地改变基板温度。
基板也可以在处理期间静止或旋转。旋转的基板可连续地或以分立步骤进行旋转。例如,基板可以在整个工艺中一直旋转,或基板可以在暴露于不同的反应气体或净化气体的操作之间小幅度地旋转。在处理期间旋转基板(连续地或分步地)可以有助于通过使例如气流几何形状的局部变化的影响最小化来产生更均匀的沉积或蚀刻。
在本说明书全文中提到“一个实施方式”、“某些实施方式”、“一个或多个实施方式”或“实施方式”是指结合实施方式描述的特定特征、结构、材料或特性包括在本发明的至少一个实施方式中。因此,本说明书全文各处出现诸如“在一个或多个实施方式中”、“在某些实施方式中”、“在一个实施方式中”或“在实施方式中”之类的短语不一定指本发明的同一实施方式。此外,特定特征、结构、材料或特性可以任何合适的方式结合在一个或多个实施方式中。
虽然,本发明在本文中已参考特定实施方式来描述,但将理解,这些实施方式仅说明了本发明的原理和应用。本领域的技术人员将清楚,在不背离本发明的精神和范围的情况下,可对本发明的方法和设备做出各种的修改和变化。因此,本发明旨在包括在所附的权利要求书和它们的等效物的范围内的修改和变化。

Claims (15)

1.一种处理方法,包括将基板表面暴露于含硅前驱物和共反应物以沉积可流动膜,所述含硅前驱物具有至少一个烯基或炔基。
2.如权利要求1所述的处理方法,其特征在于,所述共反应物包括包含等离子体气体的等离子体。
3.如权利要求2所述的处理方法,其特征在于,所述含硅前驱物包括具有结构I-V中的任何结构的化合物,
其中R1-R6中的每个独立地选自由以下组成的群组:CR′CR″2、CCR′、H、甲基、乙基、丙基、异丙基、正丁基、仲丁基、异丁基、叔丁基、NR′2和OR′,
其中R′和R″独立地选自由以下组成的群组:H、甲基、乙基、丙基、异丙基、正丁基、仲丁基、异丁基和叔丁基,其中R1-R6中的至少一个选自由以下组成的群组:CR′CR″2或CCR′。
4.如权利要求3所述的处理方法,其特征在于,所述含硅前驱物的R1-R6中的一个或多个是CR′CR″2
5.如权利要求4所述的处理方法,其特征在于,所述含硅前驱物的R1-R6全都包括CR′CR″2
6.如权利要求5所述的处理方法,其特征在于,所述含硅前驱物包括四乙烯基硅烷(Si(CHCH2)4)。
7.如权利要求3所述的处理方法,其特征在于,所述含硅前驱物的R1-R6中的一个或多个是CCR′。
8.如权利要求7所述的处理方法,其特征在于,所述含硅前驱物的R1-R6全都包括CCR′。
9.如权利要求5或8所述的处理方法,其特征在于,每个R′是相同的,并且每个R″是相同的取代基。
10.如权利要求9所述的处理方法,其特征在于,所述含硅前驱物包括四乙炔基硅烷(Si(CCH)4)。
11.如权利要求2所述的处理方法,其特征在于,所述等离子体气体包括NH3、O2、CO2、CO、Ar、He或H2中的一种或多种。
12.如权利要求2所述的处理方法,其特征在于,进一步包括固化所述可流动膜以形成固化膜。
13.如权利要求12所述的处理方法,其特征在于,固化所述可流动膜包括将所述可流动膜暴露于臭氧、UV光、蒸气退火、氨退火和氧等离子体中的一种或多种。
14.如权利要求2所述的处理方法,其特征在于,进一步包括用B、As或P中的一种或多种掺杂所述可流动膜。
15.如权利要求1至8或11至14中任一项所述的处理方法,其特征在于,所述含硅前驱物与硅烷前驱物共流动。
CN201780044398.0A 2016-07-19 2017-07-19 可流动含硅膜的沉积 Pending CN109477214A (zh)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US201662364273P 2016-07-19 2016-07-19
US62/364,273 2016-07-19
PCT/US2017/042811 WO2018017684A1 (en) 2016-07-19 2017-07-19 Deposition of flowable silicon-containing films

Publications (1)

Publication Number Publication Date
CN109477214A true CN109477214A (zh) 2019-03-15

Family

ID=60990130

Family Applications (1)

Application Number Title Priority Date Filing Date
CN201780044398.0A Pending CN109477214A (zh) 2016-07-19 2017-07-19 可流动含硅膜的沉积

Country Status (4)

Country Link
US (1) US11515149B2 (zh)
KR (1) KR102259262B1 (zh)
CN (1) CN109477214A (zh)
WO (1) WO2018017684A1 (zh)

Families Citing this family (245)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
US8802201B2 (en) 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
KR20180070971A (ko) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
KR102457289B1 (ko) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10886123B2 (en) 2017-06-02 2021-01-05 Asm Ip Holding B.V. Methods for forming low temperature semiconductor layers and related semiconductor device structures
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US10361113B2 (en) * 2017-06-30 2019-07-23 Taiwan Semiconductor Manufacturing Co., Ltd. Formation and in-situ treatment processes for gap fill layers
US10685834B2 (en) 2017-07-05 2020-06-16 Asm Ip Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR102630301B1 (ko) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10319588B2 (en) 2017-10-10 2019-06-11 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
JP7214724B2 (ja) 2017-11-27 2023-01-30 エーエスエム アイピー ホールディング ビー.ブイ. バッチ炉で利用されるウェハカセットを収納するための収納装置
TWI791689B (zh) 2017-11-27 2023-02-11 荷蘭商Asm智慧財產控股私人有限公司 包括潔淨迷你環境之裝置
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
CN111630203A (zh) 2018-01-19 2020-09-04 Asm Ip私人控股有限公司 通过等离子体辅助沉积来沉积间隙填充层的方法
TW202325889A (zh) 2018-01-19 2023-07-01 荷蘭商Asm 智慧財產控股公司 沈積方法
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
JP7124098B2 (ja) 2018-02-14 2022-08-23 エーエスエム・アイピー・ホールディング・ベー・フェー 周期的堆積プロセスにより基材上にルテニウム含有膜を堆積させる方法
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
KR102501472B1 (ko) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
KR20190128558A (ko) 2018-05-08 2019-11-18 에이에스엠 아이피 홀딩 비.브이. 기판 상에 산화물 막을 주기적 증착 공정에 의해 증착하기 위한 방법 및 관련 소자 구조
TW202349473A (zh) 2018-05-11 2023-12-16 荷蘭商Asm Ip私人控股有限公司 用於基板上形成摻雜金屬碳化物薄膜之方法及相關半導體元件結構
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
US20190376178A1 (en) * 2018-06-11 2019-12-12 Versum Materials Us, Llc Compositions and Methods Using Same for Deposition of Silicon-Containing Film
US20190382886A1 (en) * 2018-06-15 2019-12-19 Versum Materials Us, Llc Siloxane Compositions and Methods for Using the Compositions to Deposit Silicon Containing Films
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
WO2020003000A1 (en) 2018-06-27 2020-01-02 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
CN112292478A (zh) 2018-06-27 2021-01-29 Asm Ip私人控股有限公司 用于形成含金属的材料的循环沉积方法及包含含金属的材料的膜和结构
US20200003937A1 (en) * 2018-06-29 2020-01-02 Applied Materials, Inc. Using flowable cvd to gap fill micro/nano structures for optical components
KR20200002519A (ko) 2018-06-29 2020-01-08 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
US10755922B2 (en) * 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10388513B1 (en) * 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US11713328B2 (en) * 2018-08-23 2023-08-01 Versum Materials Us, Llc Stable alkenyl or alkynyl-containing organosilicon precursor compositions
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
US10847365B2 (en) 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
US10811256B2 (en) 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
JP2020096183A (ja) 2018-12-14 2020-06-18 エーエスエム・アイピー・ホールディング・ベー・フェー 窒化ガリウムの選択的堆積を用いてデバイス構造体を形成する方法及びそのためのシステム
TWI819180B (zh) 2019-01-17 2023-10-21 荷蘭商Asm 智慧財產控股公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
KR20200102357A (ko) 2019-02-20 2020-08-31 에이에스엠 아이피 홀딩 비.브이. 3-d nand 응용의 플러그 충진체 증착용 장치 및 방법
TW202104632A (zh) 2019-02-20 2021-02-01 荷蘭商Asm Ip私人控股有限公司 用來填充形成於基材表面內之凹部的循環沉積方法及設備
TW202044325A (zh) 2019-02-20 2020-12-01 荷蘭商Asm Ip私人控股有限公司 填充一基板之一表面內所形成的一凹槽的方法、根據其所形成之半導體結構、及半導體處理設備
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
TW202100794A (zh) 2019-02-22 2021-01-01 荷蘭商Asm Ip私人控股有限公司 基材處理設備及處理基材之方法
US11742198B2 (en) 2019-03-08 2023-08-29 Asm Ip Holding B.V. Structure including SiOCN layer and method of forming same
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
US11069680B2 (en) 2019-03-28 2021-07-20 International Business Machines Corporation FinFET-based integrated circuits with reduced parasitic capacitance
KR20200116033A (ko) 2019-03-28 2020-10-08 에이에스엠 아이피 홀딩 비.브이. 도어 개방기 및 이를 구비한 기판 처리 장치
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
KR20200123380A (ko) 2019-04-19 2020-10-29 에이에스엠 아이피 홀딩 비.브이. 층 형성 방법 및 장치
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141003A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 가스 감지기를 포함하는 기상 반응기 시스템
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
US20220349049A1 (en) * 2019-06-21 2022-11-03 Versum Materials Us, Llc Compositions and methods using same for deposition of silicon-containing film
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP2021015791A (ja) 2019-07-09 2021-02-12 エーエスエム アイピー ホールディング ビー.ブイ. 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
CN112242296A (zh) 2019-07-19 2021-01-19 Asm Ip私人控股有限公司 形成拓扑受控的无定形碳聚合物膜的方法
TW202113936A (zh) 2019-07-29 2021-04-01 荷蘭商Asm Ip私人控股有限公司 用於利用n型摻雜物及/或替代摻雜物選擇性沉積以達成高摻雜物併入之方法
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
CN112323048B (zh) 2019-08-05 2024-02-09 Asm Ip私人控股有限公司 用于化学源容器的液位传感器
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
TW202129060A (zh) 2019-10-08 2021-08-01 荷蘭商Asm Ip控股公司 基板處理裝置、及基板處理方法
KR20210043460A (ko) 2019-10-10 2021-04-21 에이에스엠 아이피 홀딩 비.브이. 포토레지스트 하부층을 형성하기 위한 방법 및 이를 포함한 구조체
KR20210045930A (ko) 2019-10-16 2021-04-27 에이에스엠 아이피 홀딩 비.브이. 실리콘 산화물의 토폴로지-선택적 막의 형성 방법
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
US11450529B2 (en) 2019-11-26 2022-09-20 Asm Ip Holding B.V. Methods for selectively forming a target film on a substrate comprising a first dielectric surface and a second metallic surface
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11885013B2 (en) 2019-12-17 2024-01-30 Asm Ip Holding B.V. Method of forming vanadium nitride layer and structure including the vanadium nitride layer
KR20210080214A (ko) 2019-12-19 2021-06-30 에이에스엠 아이피 홀딩 비.브이. 기판 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
KR20210095050A (ko) 2020-01-20 2021-07-30 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법 및 박막 표면 개질 방법
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
TW202146882A (zh) 2020-02-04 2021-12-16 荷蘭商Asm Ip私人控股有限公司 驗證一物品之方法、用於驗證一物品之設備、及用於驗證一反應室之系統
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
TW202146715A (zh) 2020-02-17 2021-12-16 荷蘭商Asm Ip私人控股有限公司 用於生長磷摻雜矽層之方法及其系統
KR20210116249A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 록아웃 태그아웃 어셈블리 및 시스템 그리고 이의 사용 방법
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
KR20210117157A (ko) 2020-03-12 2021-09-28 에이에스엠 아이피 홀딩 비.브이. 타겟 토폴로지 프로파일을 갖는 층 구조를 제조하기 위한 방법
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
KR20210132605A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 냉각 가스 공급부를 포함한 수직형 배치 퍼니스 어셈블리
CN113555279A (zh) 2020-04-24 2021-10-26 Asm Ip私人控股有限公司 形成含氮化钒的层的方法及包含其的结构
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
KR20210143653A (ko) 2020-05-19 2021-11-29 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
KR20220010438A (ko) 2020-07-17 2022-01-25 에이에스엠 아이피 홀딩 비.브이. 포토리소그래피에 사용하기 위한 구조체 및 방법
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
TW202212623A (zh) 2020-08-26 2022-04-01 荷蘭商Asm Ip私人控股有限公司 形成金屬氧化矽層及金屬氮氧化矽層的方法、半導體結構、及系統
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
TW202217037A (zh) 2020-10-22 2022-05-01 荷蘭商Asm Ip私人控股有限公司 沉積釩金屬的方法、結構、裝置及沉積總成
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
KR20220076343A (ko) 2020-11-30 2022-06-08 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치의 반응 챔버 내에 배열되도록 구성된 인젝터
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate

Citations (9)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN1518075A (zh) * 2003-01-14 2004-08-04 �����ɷ� 有机绝缘膜、其制造方法、使用该有机绝缘膜的半导体器件及其制造方法
CN1522462A (zh) * 2001-06-29 2004-08-18 ѧУ��������ƴ�ѧУ 制备低介电膜的方法
US20130217239A1 (en) * 2011-09-09 2013-08-22 Applied Materials, Inc. Flowable silicon-and-carbon-containing layers for semiconductor processing
US8557712B1 (en) * 2008-12-15 2013-10-15 Novellus Systems, Inc. PECVD flowable dielectric gap fill
US20140302688A1 (en) * 2013-04-04 2014-10-09 Applied Materials, Inc. Flowable silicon-carbon-oxygen layers for semiconductor processing
US20150004806A1 (en) * 2006-11-01 2015-01-01 Lam Research Corporation Low-k oxide deposition by hydrolysis and condensation
US20150118862A1 (en) * 2013-10-25 2015-04-30 Lam Research Corporation Treatment for flowable dielectric deposition on substrate surfaces
US20160017492A1 (en) * 2014-07-21 2016-01-21 Applied Materials, Inc. Uv assisted silylation for porous low-k film sealing
US20160122869A1 (en) * 2014-11-03 2016-05-05 Air Products And Chemicals, Inc. Silicon-based films and methods of forming the same

Family Cites Families (15)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7563715B2 (en) 2005-12-05 2009-07-21 Asm International N.V. Method of producing thin films
EP1373595A1 (en) * 2001-03-23 2004-01-02 Dow Corning Corporation Method for producing hydrogenated silicon oxycarbide films
US7390537B1 (en) * 2003-11-20 2008-06-24 Novellus Systems, Inc. Methods for producing low-k CDO films with low residual stress
KR20160027244A (ko) 2006-03-10 2016-03-09 인티그리스, 인코포레이티드 티타네이트, 란타네이트 및 탄탈레이트 유전막의 원자층 증착 및 화학 증기 증착용 전구체 조성물
KR100820966B1 (ko) * 2006-12-07 2008-04-11 엘지전자 주식회사 온도 검출에 따른 카메라 동작 제어장치 및 방법
US7892964B2 (en) 2007-02-14 2011-02-22 Micron Technology, Inc. Vapor deposition methods for forming a metal-containing layer on a substrate
US8455049B2 (en) 2007-08-08 2013-06-04 Advanced Technology Materials, Inc. Strontium precursor for use in chemical vapor deposition, atomic layer deposition and rapid vapor deposition
TW200951241A (en) 2008-05-30 2009-12-16 Sigma Aldrich Co Methods of forming ruthenium-containing films by atomic layer deposition
CN102361011B (zh) 2008-06-11 2016-06-22 美格纳半导体有限会社 形成半导体器件的栅极的方法
US20130217240A1 (en) * 2011-09-09 2013-08-22 Applied Materials, Inc. Flowable silicon-carbon-nitrogen layers for semiconductor processing
US8871656B2 (en) * 2012-03-05 2014-10-28 Applied Materials, Inc. Flowable films using alternative silicon precursors
US8912101B2 (en) * 2012-03-15 2014-12-16 Asm Ip Holding B.V. Method for forming Si-containing film using two precursors by ALD
US10049921B2 (en) * 2014-08-20 2018-08-14 Lam Research Corporation Method for selectively sealing ultra low-k porous dielectric layer using flowable dielectric film formed from vapor phase dielectric precursor
JP2016204487A (ja) * 2015-04-20 2016-12-08 アーゼッド・エレクトロニック・マテリアルズ(ルクセンブルグ)ソシエテ・ア・レスポンサビリテ・リミテ 被膜形成用組成物およびそれを用いた被膜形成方法
US9455138B1 (en) * 2015-11-10 2016-09-27 Asm Ip Holding B.V. Method for forming dielectric film in trenches by PEALD using H-containing gas

Patent Citations (9)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN1522462A (zh) * 2001-06-29 2004-08-18 ѧУ��������ƴ�ѧУ 制备低介电膜的方法
CN1518075A (zh) * 2003-01-14 2004-08-04 �����ɷ� 有机绝缘膜、其制造方法、使用该有机绝缘膜的半导体器件及其制造方法
US20150004806A1 (en) * 2006-11-01 2015-01-01 Lam Research Corporation Low-k oxide deposition by hydrolysis and condensation
US8557712B1 (en) * 2008-12-15 2013-10-15 Novellus Systems, Inc. PECVD flowable dielectric gap fill
US20130217239A1 (en) * 2011-09-09 2013-08-22 Applied Materials, Inc. Flowable silicon-and-carbon-containing layers for semiconductor processing
US20140302688A1 (en) * 2013-04-04 2014-10-09 Applied Materials, Inc. Flowable silicon-carbon-oxygen layers for semiconductor processing
US20150118862A1 (en) * 2013-10-25 2015-04-30 Lam Research Corporation Treatment for flowable dielectric deposition on substrate surfaces
US20160017492A1 (en) * 2014-07-21 2016-01-21 Applied Materials, Inc. Uv assisted silylation for porous low-k film sealing
US20160122869A1 (en) * 2014-11-03 2016-05-05 Air Products And Chemicals, Inc. Silicon-based films and methods of forming the same

Non-Patent Citations (2)

* Cited by examiner, † Cited by third party
Title
J.P.GERAULT ET AL.: "X-RAY PHOTOELECTRON SPECTROSCOPY AND RAMAN SPECTROSCOPY INVESTIGATIONS OF AMORPHOUS SixCl_x(H) COATINGS OBTAINED BY CHEMICAL VAPOUR DEPOSITION FROM THERMALLY LABILE ORGANOSILICON COMPOUNDS", 《THIN SOLID FILMS》 *
KYUYOUNG HEO 等: "Quantitative Structure and Property Analysis of Nanoporous Low Dielectric Constant SiCOH Thin Films", 《J. PHYS. CHEM. C》 *

Also Published As

Publication number Publication date
US20180025907A1 (en) 2018-01-25
KR20190010736A (ko) 2019-01-30
WO2018017684A1 (en) 2018-01-25
US11515149B2 (en) 2022-11-29
KR102259262B1 (ko) 2021-05-31

Similar Documents

Publication Publication Date Title
CN109477214A (zh) 可流动含硅膜的沉积
JP7087031B2 (ja) 表面ブロッキング化学作用を用いた薄膜誘電体の選択的堆積
US10515794B2 (en) Atomic layer deposition of silicon carbon nitride based materials
JP6929279B2 (ja) SiOおよびSiNを含む流動性膜を堆積させる方法
TWI715732B (zh) 用於沉積含矽膜的組合物及其方法
CN109417048A (zh) 用于间隙填充应用的可流动非晶硅膜
KR20220079806A (ko) 3차원 ic 트랜지스터들의 핀-형상 채널 영역들을 도핑하기 위한 캡핑된 ald 막들
TW202334478A (zh) 氧化矽之拓撲選擇性膜形成之方法
US6846745B1 (en) High-density plasma process for filling high aspect ratio structures
CN108140578A (zh) 通过表面毒化处理的由下而上的间隙填充
US20050124171A1 (en) Method of forming trench isolation in the fabrication of integrated circuitry
CN110476239A (zh) 使用反应性退火的间隙填充
TW201812999A (zh) 使用沉積/蝕刻技術之無接縫溝道填充
US7129189B1 (en) Aluminum phosphate incorporation in silica thin films produced by rapid surface catalyzed vapor deposition (RVD)
JP7125515B2 (ja) 組成物、及びケイ素含有膜の堆積のための組成物を使用する方法
CN110476222A (zh) 用于硅间隙填充的两步工艺
TW202012682A (zh) 具有高碳含量的含矽膜的製造方法
TW200834681A (en) Safe handling of low energy, high dose arsenic, phosphorus, and boron implanted wafers
JP2016500762A (ja) シリコン含有薄膜の製造方法
US20150140833A1 (en) Method of depositing a low-temperature, no-damage hdp sic-like film with high wet etch resistance
JP7431245B2 (ja) 窒化ケイ素を堆積する方法
US7491653B1 (en) Metal-free catalysts for pulsed deposition layer process for conformal silica laminates
TWI722292B (zh) 氮含量高的氮化矽膜
TW201443274A (zh) 使用二矽氧烷先質之膜的沉積
TWI792005B (zh) 可流動cvd薄膜之表面粗糙度

Legal Events

Date Code Title Description
PB01 Publication
PB01 Publication
SE01 Entry into force of request for substantive examination
SE01 Entry into force of request for substantive examination