CN1522462A - 制备低介电膜的方法 - Google Patents

制备低介电膜的方法 Download PDF

Info

Publication number
CN1522462A
CN1522462A CNA02813172XA CN02813172A CN1522462A CN 1522462 A CN1522462 A CN 1522462A CN A02813172X A CNA02813172X A CN A02813172XA CN 02813172 A CN02813172 A CN 02813172A CN 1522462 A CN1522462 A CN 1522462A
Authority
CN
China
Prior art keywords
film
silane
vinyl
dielectric constant
acetenyl
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
CNA02813172XA
Other languages
English (en)
Other versions
CN1277290C (zh
Inventor
��ʱ��
李时雨
郭相基
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
POHANG POLYTECHNIC SCHOOL
Original Assignee
POHANG POLYTECHNIC SCHOOL
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by POHANG POLYTECHNIC SCHOOL filed Critical POHANG POLYTECHNIC SCHOOL
Publication of CN1522462A publication Critical patent/CN1522462A/zh
Application granted granted Critical
Publication of CN1277290C publication Critical patent/CN1277290C/zh
Anticipated expiration legal-status Critical
Expired - Fee Related legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02126Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing Si, O, and at least one of H, N, C, F, or other non-metal elements, e.g. SiOC, SiOC:H or SiONC
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/40Oxides
    • C23C16/401Oxides containing silicon
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02205Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
    • H01L21/02208Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si
    • H01L21/02211Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound being a silane, e.g. disilane, methylsilane or chlorosilane
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02205Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
    • H01L21/02208Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si
    • H01L21/02214Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound comprising silicon and oxygen
    • H01L21/02216Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound comprising silicon and oxygen the compound being a molecule comprising at least one silicon-oxygen bond and the compound having hydrogen or an organic group attached to the silicon or oxygen, e.g. a siloxane
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/02274Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition in the presence of a plasma [PECVD]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/312Organic layers, e.g. photoresist
    • H01L21/3121Layers comprising organo-silicon compounds
    • H01L21/3122Layers comprising organo-silicon compounds layers comprising polysiloxane compounds
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/314Inorganic layers
    • H01L21/316Inorganic layers composed of oxides or glassy oxides or oxide based glass
    • H01L21/31604Deposition from a gas or vapour
    • H01L21/31633Deposition of carbon doped silicon oxide, e.g. SiOC
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02167Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon carbide not containing oxygen, e.g. SiC, SiC:H or silicon carbonitrides

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Power Engineering (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Computer Hardware Design (AREA)
  • Manufacturing & Machinery (AREA)
  • General Physics & Mathematics (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Organic Chemistry (AREA)
  • General Chemical & Material Sciences (AREA)
  • Metallurgy (AREA)
  • Mechanical Engineering (AREA)
  • Materials Engineering (AREA)
  • Inorganic Chemistry (AREA)
  • Plasma & Fusion (AREA)
  • Spectroscopy & Molecular Physics (AREA)
  • Chemical Vapour Deposition (AREA)
  • Formation Of Insulating Films (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)

Abstract

本发明通过使用含O2气体等离子体、具有至少一个乙烯基或乙炔基的有机硅或有机硅酸酯化合物,或饱和有机硅或有机硅酸酯化合物和不饱和烃的混合物进行化学气相沉积制备了低介电常数的氢化硅-碳氧化物(SiCO:H)膜。

Description

制备低介电膜的方法
                       技术领域
本发明涉及一种改进的等离子体化学气相沉积(CVD)方法,其用于制备低介电常数的氢化硅-碳氧化物(SiCO:H)膜。
                       背景技术
随着ULSI(超大规模集成)电路中使用的电子器件的尺寸不断减小,出现了层内和/或层间电容增大的问题,从而引起信号延迟。因此,需要开发一种低介电常数(k)的材料,其k值低于常规的二氧化硅(SiO2)或氟氧化硅(SiOF)。
US专利No.6,147,009公开了通过使含有Si、C、O和H原子的前体蒸气在平行板等离子体增强化学气相沉积室中发生反应而制得的一种低介电常数的材料。所述前体是具有环状结构的分子例如1,3,5,7-四甲基环四硅氧烷(TMCTS,C4H16O4Si4)、四乙基环四硅氧烷(C8H24O4Si4)或十甲基环五硅氧烷(C10H30O5Si5),其中有或没有氧加入。然而,该专利公开的膜的介电常数仍然较高(为3.3至4.0)。为了进一步减小该专利中所述的材料的介电常数,US专利No.6,312,793建议了一种包括两相或多相的低k材料。然而,这种多相材料的K值仍然大于3.2。
因此,本发明人努力开发了一种介电常数比常规材料低的新型材料。
                      发明概述
因此,本发明的一个目的是提供一种使用化学气相沉积(CVD)技术制备改进的低介电常数氢化硅-碳氧化物(SiCO:H)膜的方法。
本发明的另一个目的是提供一种介电常数(k)低于常规低介电材料的SiCOH膜。
根据本发明的一个方面,提供了一种用于制备低介电常数氢化硅-碳氧化物(SiCO:H)膜的方法,其包括与含O2气体等离子体一起,使用具有至少一个乙烯基或乙炔基的有机硅或有机硅酸酯化合物,或饱和有机硅或有机硅酸酯化合物和不饱和烃的混合物进行化学气相沉积。
根据本发明的另一个方面,提供了一种通过所述方法制备的低介电常数的SiCOH薄膜,其介电常数(k)为2.6或更低。
                       附图简介
本发明的上述及其它目的和特征从以下描述并结合附图理解可显而易见,所述附图分别表示为:
图1a和1b:用于形成本发明的薄膜的等离子体反应器的示意图;
图2:本发明的实施例1中得到的膜的碳含量分别随O2/VTMS流量比的变化;
图3:本发明的实施例1和对比实施例1中得到的膜的介电常数分别随O2/VTMS和O2/4MS流量比的变化;
图4和5:实施例1中得到的膜的介电常数分别作为退火温度和退火时间的函数的变化;
图6和7:本发明的实施例2中得到的膜的碳含量和介电常数分别随O2/(4MS+C2F4)流量比的变化;
图8和9:实施例2中得到的膜的介电常数分别作为退火温度和退火时间的函数的变化;
图10:本发明的实施例3中得到的膜的碳含量分别随O2/TVTMCTSO流量比的变化;
图11:本发明的实施例3和对比实施例2中得到的膜的介电常数分别随O2/TVTMCTSO和O2/TMCTSO流量比的变化;
图12和13:实施例3中得到的膜的介电常数分别作为退火温度和退火时间的函数的变化;
图14和15:本发明的实施例4中得到的膜的碳含量和介电常数分别随O2/(TMCTSO+C2H4)流量比的变化;
图16和17:实施例4中得到的膜的介电常数分别作为退火温度和退火时间的函数的变化;
图18和19:本发明的实施例5中得到的膜的碳含量和介电常数分别随O2/DADMS流量比的变化;
图20和21:实施例5中得到的膜的介电常数分别作为退火温度和退火时间的函数的变化;
图22和23:本发明的实施例6中得到的膜的碳含量和介电常数分别随O2/DVTMDSO流量比的变化;
图24和25:实施例6中得到的膜的介电常数分别作为退火温度和退火时间的函数的变化;
图26和27:本发明的实施例7中得到的膜的碳含量和介电常数分别随O2/VTMOS流量比的变化;
图28和29:实施例7中得到的膜的介电常数分别作为退火温度和退火时间的函数的变化;
图30和31:本发明的实施例8中得到的膜的碳含量和介电常数分别随O2/ETMS流量比的变化;
图32和33:本发明的实施例8中得到的膜的介电常数分别作为退火温度和退火时间的函数的变化;
图34和35:本发明的实施例9中得到的膜的碳含量和介电常数分别随O2/(HMDSO+C2H4)流量比的变化;和
图36和37:本发明的实施例9中得到的膜的介电常数分别作为退火温度和退火时间的函数的变化。
                       发明详述
本发明提供了一种用于制备低介电常数氢化硅-碳氧化物(SiCO:H)膜的方法,通过与含O2气体等离子体一起,使用具有至少一个乙烯基或乙炔基的有机硅或有机硅酸酯化合物,或饱和有机硅或有机硅酸酯化合物和不饱和烃的混合物进行化学气相沉积。
根据本发明,可以使用等离子体CVD设备实施(例如遥控等离子体CVD或直接等离子体(direct plasma)CVD设备)来制备低介电常数的SiCOH膜的方法。
图1a所示的遥控等离子体CVD设备包括石英管(6)、天线(9)、匹配器(5)、高频电源(10)、用于硅前体进料的质量流量控制器(7)、前体容器(2)和用于反应气体进料的质量流量控制器(8)。天线缠绕在石英管(6)的外围,由此将天线(9)与匹配器(5)相连接,该匹配器与高频电源(10)相连接。石英管(6)与质量流量控制器(8)连接,该质量流量控制器用于含O2气体经管道的进料。在所述遥控等离子体CVD设备中,含O2气体和硅前体分别被送到匹配器(5)中,前体通过扩散环(3)引入。此外,在图1b所示的直接等离子体CVD设备中,从前体容器(2)供入的硅前体与从反应气体容器(1)供入的含O2气体混合,然后送入匹配器(5)。
根据本发明的一个优选实施方案,提供了一种用于制备低介电常数SiCOH材料的方法,该方法包括使用具有至少一个乙烯基或乙炔基的有机硅或有机硅酸酯化合物和含O2气体等离子体进行化学气相沉积。
含有至少一个乙烯基或乙炔基的不饱和有机硅或有机硅酸酯化合物的典型实例包括乙烯基三甲基硅烷、乙烯基三乙基硅烷、乙烯基三甲氧基硅烷、乙烯基三乙氧基硅烷、1,3,5-三乙烯基-1,3,5-三甲基环三硅氧烷、1,3,5,7-四乙烯基-1,3,5,7-四甲基环四硅氧烷、1,3-二乙烯基四甲基二硅氧烷、六乙烯基二硅氧烷、烯丙基二甲基硅烷、烯丙基二甲氧基硅烷、乙炔基三甲基硅烷、乙炔基三乙基硅烷以及它们的混合物。
不饱和有机硅或有机硅酸酯化合物可以使用含有一个或多个卤素取代基的有机硅或有机硅酸酯原位(in situ)产生。
根据本发明的另一个优选实施方案,提供了一种用于制备低介电常数SiCOH材料的方法,其包括使用饱和有机硅或有机硅酸酯化合物和不饱和烃的混合物来与含有O2的气体等离子体一起进行化学气相沉积。所述饱和有机硅或有机硅酸酯化合物与所述不饱和烃的混合比优选为1∶0.1至1∶10。如果混合比低于0.1,则膜的介电常数变得过高,而如果混合比超过10,则膜的物理性能变得不能令人满意。
在上述实施方案中,饱和有机硅或有机硅酸酯化合物或不饱和烃可含有一个或多个卤素取代基。
饱和有机硅或有机硅酸酯化合物的典型实例包括三甲基硅烷、三乙基硅烷、三甲氧基硅烷、三乙氧基硅烷、四甲基硅烷、四乙基硅烷、四甲氧基硅烷、四乙氧基硅烷、六甲基环三硅氧烷、四甲基环四硅氧烷、四乙基环四硅氧烷、八甲基环四硅氧烷、六甲基二硅氧烷、双三甲硅烷基甲烷以及它们的混合物。
不饱和烃的典型实例为H2C=CH2、F2C=CF2、H2C=CF2、HFC=CFH、F2C=C=CF2、H2C=C=CF2、HFC=C=CFH、HC≡CH、FC≡CH、FC≡CF、Cl2C=CCl2、H2C=CCl2、HClC=CClH、Cl2C=C=CCl2、H2C=C=CCl2、HClC=C=CClH、ClC≡CH、ClC≡CCl、Br2C=CBr2、H2C=CBr2、HBrC=CBrH、Br2C=C=CBr2、H2C=C=CBr2、HBrC=C=CBrH、BrC≡CH、BrC≡CBr、I2C=CI2、H2C=CI2、HIC=CIH、I2C=C=CI2、H2C=C=CI2、HIC=C=CIH、IC≡CH和IC≡CI;优选为H2C=CH2或F2C=CF2
可用于本发明的含O2气体选自O2、N2O、O3、H2O2、CO2、H2O以及它们的混合物。
本发明的方法可进一步包括将沉积膜退火的步骤,其可在100至800℃进行0.5至8小时,优选在450℃进行1小时,从而得到热稳定的低介电常数的SiCOH膜。退火步骤还可包括快速热处理,其可在100至900℃的温度范围内进行约1分钟,然后进行10秒的尖峰加热(spike-heating)步骤。
根据本发明的方法制备的这种低介电常数SiCOH材料的介电常数(k)为2.8或更低;此外,退火后形成的热稳定的SiCOH膜的介电常数(k)特别低(为1.6至2.6),该介电常数(k)可通过调节工艺参数进行控制。
本发明用下文提供的实施例进一步描述和说明,然而这并不意味着本发明范围的限制。
                    实施例1
在图1b所示的直接等离子体设备中,使用乙烯基三甲基硅烷(VTMS,SiC5H12)和O2在Pt底基上沉积SiCOH膜。在膜沉积的过程中,O2/VTMS的流量比在1至13.3的范围内变化。反应器中的压力和温度分别为1mmHg和30℃,施加的等离子体功率为60W。由此沉积的膜在氩气氛下在300至500℃的温度下退火,得到低介电常数的膜。
如图2所示,沉积膜和450℃退火的膜的相应碳含量随着O2/VTMS流量比的增加而降低。图3显示在450℃退火的膜的介电常数为1.8至2.4,而没有经过退火的沉积膜的介电常数为2.3至2.8。图4和5显示在O2/VTMS流量比为2时,实施例1中得到的膜的介电常数随着退火温度和退火时间的变化而变化。
                      对比实施例1
使用四甲基硅烷(4MS,SiC4H12)代替VTMS重复实施例1的步骤,得到一个沉积膜。如图3所示,由此得到的膜的介电常数为3.0至3.5,比实施例1中得到的沉积膜的介电常数高。
                     实施例2
重复实施例1的步骤,不同的是使用四甲基硅烷(4MS,SiC4H12)和C2F4的混合物(1∶1)代替VTMS,得到一个沉积膜,随后将其退火。
图6和图7分别显示沉积膜和退火膜的碳含量和介电常数。沉积膜的介电常数为3.0或更低,450℃退火的膜的介电常数为2.5或更低。图8和图9分别显示在O2/(4MS+C2F4)流量比为4时,退火温度(退火时间=0.5小时)和退火时间(退火温度=400℃)对膜的介电常数的影响。在300至500℃退火0.5小时的膜的介电常数为2.75或更低。
                  实施例3
重复实施例1的步骤,使用四乙烯基四甲基环四硅氧烷(TVTMCTSO,Si4O4Cl2H24)代替VTMS,得到一个沉积膜,随后将其退火。
图10和图11分别显示沉积膜和退火膜的碳含量和介电常数。沉积膜的介电常数为2.4或更低,在450℃退火的膜的介电常数为2.2或更低。图12和图13分别显示在O2/TVTMCTSO流量比为4时,退火温度(退火时间=0.5小时)和退火时间(退火温度=450℃)对膜的介电常数的影响。在300至500℃退火0.5小时的膜的介电常数为2.1或更低。
                 对比实施例2
重复实施例1的步骤,使用四甲基环四硅氧烷(TMCTSO,Si4O4C4H16)代替VTMS,得到一个沉积膜。如图11所示,由此得到的膜的介电常数为2.5至3.3,比实施例3中得到的沉积膜的介电常数高。
                  实施例4
重复实施例1的步骤,不同的是使用四甲基环四硅氧烷(TMCTSO,Si4O4C4H16)和C2H4的混合物(1∶1)代替VTMS,得到一个沉积膜,随后将其退火。
图14和图15分别显示沉积膜和退火膜的碳含量和介电常数。沉积膜的介电常数为2.3或更低,在450℃退火的膜的介电常数为2.2或更低。图16和图17分别显示在(TMCTSO+C2H4)流量比为2时,退火温度(退火时间=0.5小时)和退火时间(退火温度=400℃)对膜的介电常数的影响。在300至500℃退火0.5小时的膜的介电常数为2.05或更低。
                  实施例5
重复实施例1的步骤,使用二烯丙基二甲基硅烷(DADMS,SiC8H16)代替VTMS,得到一个沉积膜,随后使其退火。
图18和图19分别显示沉积膜和退过火的膜的碳含量和介电常数。沉积膜的介电常数为2.8或更低,在450℃退过火的膜的介电常数为2.4或更低。图20和图21分别显示在O2/DADMS流量比为4时退火温度(退火时间=0.5小时)和退火时间(退火温度=450℃)对膜的介电常数的影响。在300至500℃退火0.5小时的膜的介电常数为2.35或更低。
                  实施例6
重复实施例1的步骤,不同的是使用1,3-二乙烯基四甲基二硅氧烷(DVTMDSO,Si2OC8H18)代替VTMS,得到一个沉积膜,随后将其退火。
图22和图23分别显示沉积膜和退火膜的碳含量和介电常数。沉积膜的介电常数为2.9或更低,在450℃退火的膜的介电常数为2.4或更低。图24和图25分别显示O2/DVTMDSO流量比为2时退火温度(退火时间=0.5小时)和退火时间(退火温度=500℃)对膜的介电常数的影响。在300至500℃退火0.5小时的膜的介电常数为2.15或更低。
                  实施例7
重复实施例1的步骤,使用乙烯基三甲氧基硅烷(VTMOS,SiO3C5H12)代替VTMS,得到一个沉积膜,随后将其退火。
图26和图27分别显示沉积膜和退火膜的碳含量和介电常数。沉积膜的介电常数为2.2至2.75,而在450℃退火的膜的介电常数为1.9至2.55。图28和图29分别显示在O2/VTMOS流量比为2时退火温度(退火时间=0.5小时)和退火时间(退火温度=450℃)对膜的介电常数的影响。
在300至500℃退火0.5小时的膜的介电常数为2.4或更低。
                      实施例8
重复实施例1的步骤,不同的是使用乙炔基三甲基硅烷(ETMS,SiC5H10)代替VTMS,得到一个沉积膜,随后将其退火。
图30和图31分别显示沉积膜和退火膜的碳含量和介电常数。沉积膜的介电常数为2.65或更低,在450℃退火的膜的介电常数为2.35或更低。图32和图33分别显示在O2/ETMS流量比为4时退火温度(退火时间=0.5小时)和退火时间(退火温度=350℃)对膜的介电常数的影响。在300至500℃退火0.5小时的膜的介电常数为2.35或更低。
                       实施例9
重复实施例1的步骤,使用六甲基二硅氧烷(HMDSO,Si2OC6H18)和C2H4(1∶2)的混合物代替VTMS,得到一个沉积膜,随后将其退火。
图34和图35分别显示沉积膜和退火膜的碳含量和介电常数。沉积膜的介电常数为3.0或更低,在450℃退火的膜的介电常数为2.05或更低。图36和图37分别显示O2/(HMDSO+C2H4)流量比为4时退火温度(退火时间=0.5小时)和退火时间(退火温度=450℃)对膜的介电常数的影响。在300至500℃退火0.5小时的膜的介电常数为1.7至1.9。
由以上结果可看出的,根据本发明,通过使用含O2的气体等离子体和不饱和有机硅或有机硅酸酯化合物,或饱和有机硅或有机硅酸酯化合物和不饱和烃的混合物,进行CVD来制备低介电常数的SiCOH膜(其具有2.6或更低的介电常数,这比常规的低k-材料的介电常数还要格外更低)。
虽然本发明只对优选实施方案进行了描述和说明,但其中可以进行各种改变和改进而不脱离本发明的本质原理,本发明的范围仅由所附的权利要求书来限定。

Claims (11)

1.一种用于制备低介电常数的氢化硅-碳氧化物(SiCO:H)膜的方法,其包括使用含O2气体等离子体、具有至少一个乙烯基或乙炔基的有机硅或有机硅酸酯化合物,或饱和有机硅或有机硅酸酯化合物和不饱和烃的混合物来进行化学气相沉积。
2.权利要求1的方法,其中所述饱和有机硅或有机硅酸酯化合物与不饱和烃混合物的混合比为1∶0.1至1∶10。
3.权利要求1的方法,其中所述含有至少一个乙烯基或乙炔基的有机硅或有机硅酸酯化合物选自乙烯基三甲基硅烷、乙烯基三乙基硅烷、乙烯基三甲氧基硅烷、乙烯基三乙氧基硅烷、1,3,5-三乙烯基-1,3,5-三甲基环三硅氧烷、1,3,5,7-四乙烯基-1,3,5,7-四甲基环四硅氧烷、1,3-二乙烯基四甲基二硅氧烷、六乙烯基二硅氧烷、烯丙基二甲基硅烷、烯丙基二甲氧基硅烷、乙炔基三甲基硅烷、乙炔基三乙基硅烷以及它们的混合物。
4.权利要求3的方法,其中所述含有至少一个乙烯基或乙炔基的有机硅或有机硅酸酯化合物选自乙烯基三甲基硅烷、1,3,5,7-四乙烯基-1,3,5,7-四甲基环四硅氧烷、烯丙基二甲基硅烷、1,3-二乙烯基四甲基二硅氧烷、乙烯基三甲氧基硅烷和乙炔基三甲基硅烷。
5.权利要求1的方法,其中所述饱和有机硅或有机硅酸酯化合物选自三甲基硅烷、三乙基硅烷、三甲氧基硅烷、三乙氧基硅烷、四甲基硅烷、四乙基硅烷、四甲氧基硅烷、四乙氧基硅烷、六甲基环三硅氧烷、四甲基环四硅氧烷、四乙基环四硅氧烷、八甲基环四硅氧烷、六甲基二硅氧烷、双三甲基硅烷基甲烷、乙烯基三甲基硅烷、乙烯基三乙基硅烷、乙烯基三甲氧基硅烷、乙烯基三乙氧基硅烷、1,3,5-三乙烯基-1,3,5-三甲基环三硅氧烷、1,3,5,7-四乙烯基-1,3,5,7-四甲基环四硅氧烷、1,3-二乙烯基四甲基二硅氧烷、六乙烯基二硅氧烷、烯丙基二甲基硅烷、烯丙基二甲氧基硅烷、乙炔基三甲基硅烷、乙炔基三乙基硅烷以及它们的混合物。
6.权利要求5的方法,其中所述饱和有机硅或有机硅酸酯化合物选自四甲基硅烷、六甲基二硅氧烷和四甲基环四硅氧烷。
7.权利要求1的方法,其中所述不饱和烃选自H2C=CH2、F2C=CF2、H2C=CF2、HFC=CFH、F2C=C=CF2、H2C=C=CF2、HFC=C=CFH、HC CH、FC CH、FC CF、Cl2C=CCl2、H2C=CCl2、HClC=CClH、Cl2C=C=CCl2、H2C=C=CCl2、HClC=C=CClH、ClCCH、ClC CCl、Br2C=CBr2、H2C=CBr2、HBrC=CBrH、Br2C=C=CBr2、H2C=C=CBr2、HBrC=C=CBrH、BrC CH、BrC CBr、I2C=CI2、H2C=CI2、HIC=CIH、I2C=C=CI2、H2C=C=CI2、HIC=C=CIH、IC CH和IC CI。
8.权利要求7的方法,其中所述不饱和烃选自H2C=CH2或F2C=CF2
9.权利要求1的方法,其中所述含O2气体选自O2、N2O、O3、H2O2、CO2、H2O及其混合物。
10.权利要求1的方法,其还包括将所得沉积膜在100至500℃退火0.5至8小时的步骤。
11.采用权利要求1的方法制备的低介电常数氢化硅-碳氧化物(SiCO:H)膜。
CNB02813172XA 2001-06-29 2002-06-28 制备低介电膜的方法 Expired - Fee Related CN1277290C (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
KR38050/2001 2001-06-29
KR20010038050 2001-06-29

Publications (2)

Publication Number Publication Date
CN1522462A true CN1522462A (zh) 2004-08-18
CN1277290C CN1277290C (zh) 2006-09-27

Family

ID=19711521

Family Applications (1)

Application Number Title Priority Date Filing Date
CNB02813172XA Expired - Fee Related CN1277290C (zh) 2001-06-29 2002-06-28 制备低介电膜的方法

Country Status (8)

Country Link
US (1) US7087271B2 (zh)
EP (1) EP1399955A1 (zh)
JP (1) JP3828540B2 (zh)
KR (1) KR20030002993A (zh)
CN (1) CN1277290C (zh)
RU (1) RU2264675C2 (zh)
TW (1) TW571350B (zh)
WO (1) WO2003005429A1 (zh)

Cited By (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7479306B2 (en) 2005-01-21 2009-01-20 International Business Machines Corporation SiCOH dielectric material with improved toughness and improved Si-C bonding, semiconductor device containing the same, and method to make the same
CN102712603A (zh) * 2009-08-20 2012-10-03 通用电气健康护理有限公司 放射性碘标记方法
CN104271797B (zh) * 2012-03-09 2017-08-25 弗萨姆材料美国有限责任公司 显示器件的阻隔材料
CN109119339A (zh) * 2018-08-26 2019-01-01 合肥安德科铭半导体科技有限公司 一种低介电常数的SiCO间隔层材料及其制备方法和应用
CN109477214A (zh) * 2016-07-19 2019-03-15 应用材料公司 可流动含硅膜的沉积
CN110129769A (zh) * 2019-05-17 2019-08-16 江苏菲沃泰纳米科技有限公司 疏水性的低介电常数膜及其制备方法
US11904352B2 (en) 2019-05-17 2024-02-20 Jiangsu Favored Nanotechnology Co., Ltd. Low dielectric constant film and preparation method thereof

Families Citing this family (64)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6936309B2 (en) 2002-04-02 2005-08-30 Applied Materials, Inc. Hardness improvement of silicon carboxy films
US20030194495A1 (en) * 2002-04-11 2003-10-16 Applied Materials, Inc. Crosslink cyclo-siloxane compound with linear bridging group to form ultra low k dielectric
US8951342B2 (en) 2002-04-17 2015-02-10 Air Products And Chemicals, Inc. Methods for using porogens for low k porous organosilica glass films
US9061317B2 (en) 2002-04-17 2015-06-23 Air Products And Chemicals, Inc. Porogens, porogenated precursors and methods for using the same to provide porous organosilica glass films with low dielectric constants
ATE499458T1 (de) * 2002-04-17 2011-03-15 Air Prod & Chem Verfahren zur herstellung einer porösen sioch- schicht
US6936551B2 (en) * 2002-05-08 2005-08-30 Applied Materials Inc. Methods and apparatus for E-beam treatment used to fabricate integrated circuit devices
US7112615B2 (en) 2002-07-22 2006-09-26 Massachusetts Institute Of Technology Porous material formation by chemical vapor deposition onto colloidal crystal templates
US6846756B2 (en) * 2002-07-30 2005-01-25 Taiwan Semiconductor Manufacturing Co., Ltd Method for preventing low-k dielectric layer cracking in multi-layered dual damascene metallization layers
AU2003282988A1 (en) * 2002-10-21 2004-05-13 Massachusetts Institute Of Technology Pecvd of organosilicate thin films
US20040137757A1 (en) * 2003-01-13 2004-07-15 Applied Materials, Inc. Method and apparatus to improve cracking thresholds and mechanical properties of low-k dielectric material
US7208389B1 (en) 2003-03-31 2007-04-24 Novellus Systems, Inc. Method of porogen removal from porous low-k films using UV radiation
US7241704B1 (en) 2003-03-31 2007-07-10 Novellus Systems, Inc. Methods for producing low stress porous low-k dielectric materials using precursors with organic functional groups
US20040197474A1 (en) * 2003-04-01 2004-10-07 Vrtis Raymond Nicholas Method for enhancing deposition rate of chemical vapor deposition films
US20040253378A1 (en) * 2003-06-12 2004-12-16 Applied Materials, Inc. Stress reduction of SIOC low k film by addition of alkylenes to OMCTS based processes
JP4513956B2 (ja) * 2003-07-30 2010-07-28 日本電気株式会社 有機高分子膜及びその製造方法
US7390537B1 (en) * 2003-11-20 2008-06-24 Novellus Systems, Inc. Methods for producing low-k CDO films with low residual stress
WO2005053009A1 (ja) * 2003-11-28 2005-06-09 Nec Corporation 多孔質絶縁膜及びその製造方法並びに多孔質絶縁膜を用いた半導体装置
CN100446193C (zh) 2004-02-13 2008-12-24 松下电器产业株式会社 有机无机混合绝缘膜的形成方法
US7381662B1 (en) 2004-03-11 2008-06-03 Novellus Systems, Inc. Methods for improving the cracking resistance of low-k dielectric materials
US7341761B1 (en) 2004-03-11 2008-03-11 Novellus Systems, Inc. Methods for producing low-k CDO films
US7781351B1 (en) 2004-04-07 2010-08-24 Novellus Systems, Inc. Methods for producing low-k carbon doped oxide films with low residual stress
US7253125B1 (en) 2004-04-16 2007-08-07 Novellus Systems, Inc. Method to improve mechanical strength of low-k dielectric film using modulated UV exposure
US7622400B1 (en) 2004-05-18 2009-11-24 Novellus Systems, Inc. Method for improving mechanical properties of low dielectric constant materials
US7326444B1 (en) 2004-09-14 2008-02-05 Novellus Systems, Inc. Methods for improving integration performance of low stress CDO films
US9659769B1 (en) 2004-10-22 2017-05-23 Novellus Systems, Inc. Tensile dielectric films using UV curing
US7790633B1 (en) 2004-10-26 2010-09-07 Novellus Systems, Inc. Sequential deposition/anneal film densification method
US7695765B1 (en) * 2004-11-12 2010-04-13 Novellus Systems, Inc. Methods for producing low-stress carbon-doped oxide films with improved integration properties
US7510982B1 (en) 2005-01-31 2009-03-31 Novellus Systems, Inc. Creation of porosity in low-k films by photo-disassociation of imbedded nanoparticles
US7166531B1 (en) 2005-01-31 2007-01-23 Novellus Systems, Inc. VLSI fabrication processes for introducing pores into dielectric materials
US8454750B1 (en) 2005-04-26 2013-06-04 Novellus Systems, Inc. Multi-station sequential curing of dielectric films
US8980769B1 (en) 2005-04-26 2015-03-17 Novellus Systems, Inc. Multi-station sequential curing of dielectric films
US8889233B1 (en) 2005-04-26 2014-11-18 Novellus Systems, Inc. Method for reducing stress in porous dielectric films
US8137465B1 (en) 2005-04-26 2012-03-20 Novellus Systems, Inc. Single-chamber sequential curing of semiconductor wafers
US8282768B1 (en) 2005-04-26 2012-10-09 Novellus Systems, Inc. Purging of porogen from UV cure chamber
JP4747755B2 (ja) * 2005-09-20 2011-08-17 独立行政法人産業技術総合研究所 有機絶縁膜とその作製方法,及び有機絶縁膜を用いた半導体装置
US7892985B1 (en) 2005-11-15 2011-02-22 Novellus Systems, Inc. Method for porogen removal and mechanical strength enhancement of low-k carbon doped silicon oxide using low thermal budget microwave curing
US7381644B1 (en) 2005-12-23 2008-06-03 Novellus Systems, Inc. Pulsed PECVD method for modulating hydrogen content in hard mask
US7923376B1 (en) 2006-03-30 2011-04-12 Novellus Systems, Inc. Method of reducing defects in PECVD TEOS films
JP2007318067A (ja) * 2006-04-27 2007-12-06 National Institute For Materials Science 絶縁膜材料、この絶縁膜材料を用いた成膜方法および絶縁膜
US8465991B2 (en) 2006-10-30 2013-06-18 Novellus Systems, Inc. Carbon containing low-k dielectric constant recovery using UV treatment
US7851232B2 (en) 2006-10-30 2010-12-14 Novellus Systems, Inc. UV treatment for carbon-containing low-k dielectric repair in semiconductor processing
US10037905B2 (en) 2009-11-12 2018-07-31 Novellus Systems, Inc. UV and reducing treatment for K recovery and surface clean in semiconductor processing
US7906174B1 (en) * 2006-12-07 2011-03-15 Novellus Systems, Inc. PECVD methods for producing ultra low-k dielectric films using UV treatment
ES2361361T3 (es) 2007-03-05 2011-06-16 Atotech Deutschland Gmbh Pasivación en negro exenta de cromo (vi) para superficies que contienen zinc.
US8242028B1 (en) 2007-04-03 2012-08-14 Novellus Systems, Inc. UV treatment of etch stop and hard mask films for selectivity and hermeticity enhancement
US7622162B1 (en) 2007-06-07 2009-11-24 Novellus Systems, Inc. UV treatment of STI films for increasing tensile stress
US8211510B1 (en) 2007-08-31 2012-07-03 Novellus Systems, Inc. Cascaded cure approach to fabricate highly tensile silicon nitride films
JP5015705B2 (ja) * 2007-09-18 2012-08-29 ルネサスエレクトロニクス株式会社 層間絶縁膜形成方法、層間絶縁膜、半導体デバイス、および半導体製造装置
JPWO2009051163A1 (ja) * 2007-10-17 2011-03-03 日本電気株式会社 半導体装置およびその製造方法
KR100962044B1 (ko) * 2007-12-06 2010-06-08 성균관대학교산학협력단 저유전 플라즈마 중합체 박막 및 그 제조 방법
JP6258569B2 (ja) * 2008-08-04 2018-01-10 ザ、トラスティーズ オブ プリンストン ユニバーシティ 薄膜トランジスタ用のハイブリッド誘電体材料
US8298965B2 (en) * 2008-09-03 2012-10-30 American Air Liquide, Inc. Volatile precursors for deposition of C-linked SiCOH dielectrics
US9050623B1 (en) 2008-09-12 2015-06-09 Novellus Systems, Inc. Progressive UV cure
DE102009017702B4 (de) 2009-04-15 2011-06-16 Atotech Deutschland Gmbh Verfahren zur Bildung von Korrosionsschutzschichten auf Metalloberflächen
JP5152093B2 (ja) * 2009-04-24 2013-02-27 富士通セミコンダクター株式会社 半導体装置の製造方法
US8247332B2 (en) 2009-12-04 2012-08-21 Novellus Systems, Inc. Hardmask materials
CN102762763B (zh) 2010-02-17 2014-12-31 乔治洛德方法研究和开发液化空气有限公司 SiCOH低K膜的气相沉积法
US9337068B2 (en) 2012-12-18 2016-05-10 Lam Research Corporation Oxygen-containing ceramic hard masks and associated wet-cleans
US9847221B1 (en) * 2016-09-29 2017-12-19 Lam Research Corporation Low temperature formation of high quality silicon oxide films in semiconductor device manufacturing
EP3680098A1 (de) 2019-01-11 2020-07-15 Carl Freudenberg KG Verbundmaterial mit haftvermittlerschicht auf basis von si, c und o
JP2022544951A (ja) * 2019-08-16 2022-10-24 バーサム マテリアルズ ユーエス,リミティド ライアビリティ カンパニー ケイ素化合物、及びそのケイ素化合物を使用する膜を堆積するための方法
KR102387925B1 (ko) * 2020-06-22 2022-04-19 울산과학기술원 고유전 탄화수소 박막 및 이를 이용한 반도체 소자
KR102387926B1 (ko) * 2020-06-22 2022-04-19 울산과학기술원 고유전 탄화수소 박막 및 이를 이용한 반도체 소자
KR102375281B1 (ko) * 2020-06-22 2022-03-17 울산과학기술원 고유전 탄화수소 박막을 이용한 커패시터 및 이를 이용한 반도체 소자

Family Cites Families (14)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5246887A (en) * 1991-07-10 1993-09-21 At&T Bell Laboratories Dielectric deposition
JPH05267480A (ja) * 1992-03-21 1993-10-15 Ricoh Co Ltd 半導体装置とその製造方法
US5530581A (en) * 1995-05-31 1996-06-25 Eic Laboratories, Inc. Protective overlayer material and electro-optical coating using same
TW328971B (en) * 1995-10-30 1998-04-01 Dow Corning Method for depositing Si-O containing coatings
JP3355949B2 (ja) * 1996-08-16 2002-12-09 日本電気株式会社 プラズマcvd絶縁膜の形成方法
GB2331626B (en) * 1996-08-24 2001-06-13 Trikon Equip Ltd Method and apparatus for depositing a planarized dielectric layer on a semiconductor substrate
KR100463858B1 (ko) * 1996-08-29 2005-02-28 마츠시타 덴끼 산교 가부시키가이샤 층간절연막의형성방법
US6303523B2 (en) 1998-02-11 2001-10-16 Applied Materials, Inc. Plasma processes for depositing low dielectric constant films
US6159871A (en) * 1998-05-29 2000-12-12 Dow Corning Corporation Method for producing hydrogenated silicon oxycarbide films having low dielectric constant
US6147009A (en) * 1998-06-29 2000-11-14 International Business Machines Corporation Hydrogenated oxidized silicon carbon material
US6753258B1 (en) * 2000-11-03 2004-06-22 Applied Materials Inc. Integration scheme for dual damascene structure
JP2004526318A (ja) * 2001-03-23 2004-08-26 ダウ・コーニング・コーポレイション 水素化シリコンオキシカーバイド膜を生産するための方法
KR100432704B1 (ko) * 2001-09-01 2004-05-24 주성엔지니어링(주) 수소화된 SiOC 박막 제조방법
US20040197474A1 (en) * 2003-04-01 2004-10-07 Vrtis Raymond Nicholas Method for enhancing deposition rate of chemical vapor deposition films

Cited By (12)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7479306B2 (en) 2005-01-21 2009-01-20 International Business Machines Corporation SiCOH dielectric material with improved toughness and improved Si-C bonding, semiconductor device containing the same, and method to make the same
US7892648B2 (en) 2005-01-21 2011-02-22 International Business Machines Corporation SiCOH dielectric material with improved toughness and improved Si-C bonding
US8101236B2 (en) 2005-01-21 2012-01-24 International Business Machines Corporation Method of fabricating a SiCOH dielectric material with improved toughness and improved Si-C bonding
CN102712603A (zh) * 2009-08-20 2012-10-03 通用电气健康护理有限公司 放射性碘标记方法
CN102712603B (zh) * 2009-08-20 2015-11-25 通用电气健康护理有限公司 放射性碘标记方法
CN104271797B (zh) * 2012-03-09 2017-08-25 弗萨姆材料美国有限责任公司 显示器件的阻隔材料
CN109477214A (zh) * 2016-07-19 2019-03-15 应用材料公司 可流动含硅膜的沉积
US11515149B2 (en) 2016-07-19 2022-11-29 Applied Materials, Inc. Deposition of flowable silicon-containing films
CN109119339A (zh) * 2018-08-26 2019-01-01 合肥安德科铭半导体科技有限公司 一种低介电常数的SiCO间隔层材料及其制备方法和应用
CN110129769A (zh) * 2019-05-17 2019-08-16 江苏菲沃泰纳米科技有限公司 疏水性的低介电常数膜及其制备方法
CN110129769B (zh) * 2019-05-17 2021-05-14 江苏菲沃泰纳米科技股份有限公司 疏水性的低介电常数膜及其制备方法
US11904352B2 (en) 2019-05-17 2024-02-20 Jiangsu Favored Nanotechnology Co., Ltd. Low dielectric constant film and preparation method thereof

Also Published As

Publication number Publication date
WO2003005429A1 (en) 2003-01-16
US7087271B2 (en) 2006-08-08
JP3828540B2 (ja) 2006-10-04
TW571350B (en) 2004-01-11
RU2264675C2 (ru) 2005-11-20
US20040166240A1 (en) 2004-08-26
EP1399955A1 (en) 2004-03-24
KR20030002993A (ko) 2003-01-09
RU2004102519A (ru) 2005-03-20
JP2004534400A (ja) 2004-11-11
CN1277290C (zh) 2006-09-27

Similar Documents

Publication Publication Date Title
CN1277290C (zh) 制备低介电膜的方法
KR101161074B1 (ko) 기판상에 실리콘 옥사이드 층을 형성시키는 방법
CN1160766C (zh) 半导体器件及其制造方法
JP5003722B2 (ja) 有機シロキサン化合物
US6890639B2 (en) Very low dielectric constant plasma-enhanced CVD films
JP4438385B2 (ja) 絶縁膜用材料、有機シラン化合物の製造方法、絶縁膜、及びそれを用いた半導体デバイス
CN1367205A (zh) 用于低介电常数层间介质薄膜的有机硅前体
JP3515074B2 (ja) 低κ誘電性無機/有機ハイブリッドフィルム及びその製造方法
CN1311097C (zh) 制备低介电薄膜的方法、原料混合物及该薄膜
CN1950932A (zh) 用于制造在制成的半导体器件和电子器件内用作层内或层间电介质的超低介电常数材料的改进方法
CN1787881A (zh) 超低介电常数的SiCOH薄膜及其制造方法
KR20090081396A (ko) 에스티아이를 위한 실리콘 디옥사이드의 고품질 유전 필름의 제조: 하프 ⅱ― 원격 플라즈마 향상된 증착 공정을 위한 상이한 실록산―기재 전구체의 용도
CN1659685A (zh) 作为半导体器件中的层内或层间介质的超低介电常数材料
JP2008274365A (ja) Si含有膜形成材料、Si含有膜及びその製造方法、並びに半導体デバイス
US7501354B2 (en) Formation of low K material utilizing process having readily cleaned by-products
CN1716546A (zh) 介电层、其形成方法与具有此介电层的集成电路
CN1507015A (zh) 低介电常数材料以及化学气相沉积(cvd)制备方法
CN1823406A (zh) 低介电常数膜及其制造方法、以及使用它的电子器件
US20150196933A1 (en) Carbon dioxide and carbon monoxide mediated curing of low k films to increase hardness and modulus
WO2006080205A1 (ja) 環状シロキサン化合物、Si含有膜形成材料、およびその用途
CN1532896A (zh) 半导体器件的制造方法
JP4628257B2 (ja) 多孔質膜の形成方法
JP2006019377A (ja) 環状シロキサンを含むcvd用絶縁膜原料組成物およびそれを用いた絶縁膜の形成方法
JP2008192899A (ja) 低誘電率膜の改質剤及び製造方法
KR20230086947A (ko) 저 유전율 실리콘 함유 박막 형성용 전구체, 이를 이용한 저 유전율 실리콘 함유 박막 형성 방법 및 상기 저 유전율 실리콘 함유 박막을 포함하는 반도체 소자.

Legal Events

Date Code Title Description
C06 Publication
PB01 Publication
C10 Entry into substantive examination
SE01 Entry into force of request for substantive examination
C14 Grant of patent or utility model
GR01 Patent grant
C17 Cessation of patent right
CF01 Termination of patent right due to non-payment of annual fee

Granted publication date: 20060927