JP3355949B2 - プラズマcvd絶縁膜の形成方法 - Google Patents

プラズマcvd絶縁膜の形成方法

Info

Publication number
JP3355949B2
JP3355949B2 JP21628696A JP21628696A JP3355949B2 JP 3355949 B2 JP3355949 B2 JP 3355949B2 JP 21628696 A JP21628696 A JP 21628696A JP 21628696 A JP21628696 A JP 21628696A JP 3355949 B2 JP3355949 B2 JP 3355949B2
Authority
JP
Japan
Prior art keywords
gas
insulating film
plasma cvd
plasma
film
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Expired - Fee Related
Application number
JP21628696A
Other languages
English (en)
Other versions
JPH1064899A (ja
Inventor
達矢 宇佐美
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
NEC Corp
Original Assignee
NEC Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by NEC Corp filed Critical NEC Corp
Priority to JP21628696A priority Critical patent/JP3355949B2/ja
Priority to KR1019970039026A priority patent/KR100376340B1/ko
Priority to GB9717457A priority patent/GB2316535B/en
Priority to US08/912,468 priority patent/US6077574A/en
Publication of JPH1064899A publication Critical patent/JPH1064899A/ja
Priority to US09/661,830 priority patent/US6340843B1/en
Application granted granted Critical
Publication of JP3355949B2 publication Critical patent/JP3355949B2/ja
Anticipated expiration legal-status Critical
Expired - Fee Related legal-status Critical Current

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/02274Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition in the presence of a plasma [PECVD]
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/40Oxides
    • C23C16/401Oxides containing silicon
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/50Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges
    • C23C16/505Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges using radio frequency discharges
    • C23C16/507Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges using radio frequency discharges using external electrodes, e.g. in tunnel type reactors
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/50Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges
    • C23C16/511Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges using microwave discharges
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02126Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing Si, O, and at least one of H, N, C, F, or other non-metal elements, e.g. SiOC, SiOC:H or SiONC
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02126Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing Si, O, and at least one of H, N, C, F, or other non-metal elements, e.g. SiOC, SiOC:H or SiONC
    • H01L21/02131Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing Si, O, and at least one of H, N, C, F, or other non-metal elements, e.g. SiOC, SiOC:H or SiONC the material being halogen doped silicon oxides, e.g. FSG
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02164Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon oxide, e.g. SiO2
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/314Inorganic layers
    • H01L21/316Inorganic layers composed of oxides or glassy oxides or oxide based glass
    • H01L21/31604Deposition from a gas or vapour
    • H01L21/31625Deposition of boron or phosphorus doped silicon oxide, e.g. BSG, PSG, BPSG

Description

【発明の詳細な説明】
【0001】
【発明の属する技術分野】本発明は、半導体装置におけ
る配線層間に介在するプラズマCVD絶縁膜の形成方法
に関する。
【0002】
【従来の技術】近年、半導体集積回路は微細化が進んで
おり、特に、論理回路においての多層配線では、その傾
向が顕著に見うけられる。多層配線のメタル間隔が微細
になってくると、その隣接する配線間容量が大きくなっ
てしまい電気信号のスピードの低下を招いたり、クロス
トーク(他の信号ノイズとして影響を与える現象)の不
良が発生する。その対策の1つとして配線層間の絶縁膜
の低比誘電率化があり、最近では、従来使用していたプ
ラズマ化学気相成長法(以下P−CVD法という)のプ
ラズマシリコン酸化膜(以下P−SiO2 膜という)か
らフッ素含有プラズマ酸化膜(以下P−SiOF膜とい
う)への転換により、比誘電率を4.5程度から2.8
〜4.3程度への低下の可能性が報告されている。
【0003】P−SiOF膜は、フッ素濃度を濃くして
いくと低比誘電率化が実現されるが、あまり濃くすると
耐湿性が劣化してしまうという欠点がある。そのため耐
湿性が劣化しないレベルの濃度では比誘電率をそれほど
低下させられない(比誘電率3.3程度)との報告があ
る。この報告は、95 SSDM P157 Flu−
orine Doped SiO2 Low Diel
ectric Con−stant Films in
Sub−Half Micron ULSIMult
ilevel Interconnectionに開示
されている。
【0004】しかしながら、デバイスとしてこのP−S
iOF膜を使用する場合は、平坦化は必須で、そのP−
SiOF膜の平坦化を行なう化学的機械研磨(以下CM
Pという)では、研磨液を使用するためこの耐湿性の問
題が難点となり、その結果、CMPを使用する場合は、
さらにフッ素濃度を低くし結果的に誘電率を上げざるを
得なかった。
【0005】図4は従来のプラズマCVD絶縁膜および
その形成方法の一例を説明するためのプラズマCVD装
置の模式断面図である。ここで、従来、この種の絶縁膜
であるP−SiOF膜を形成する方法は、例えば、’9
3.SSDM P158 P−reparation
of SiOF Films with Low D−
ielectric Constant by ECR
Plasma Ch−emical Vapar D
epositionに開示されている。
【0006】このP−SiOF膜を形成する方法は、ま
ず、使用ガスとしてプラズマ室20へガスノズル17か
らO2 ガスおよびArガスを供給する。そして、ガスノ
ズル18から反応室19へSiF4 ガスを供給する。次
に、プラズマ室20に導入されたマイクロ波とマグネッ
トコイル21の磁場とにより電子サイクロトン共鳴(E
CR)プラズマが形成され、導入されたガスが活性化
し、RF電源部23によりRFバイアス電圧が印加され
た静電チャック22上のウェハ31に埋設性のすぐれた
P−SiOF膜を形成している。
【0007】このように形成された膜はSi,F,Oで
構成され、F素濃度はSiF4 ガス流量がSiF4 /O
2 比でコントロールできる。しかしながら、SiF4
スではSiとFをそれぞれ独立にコントロールできない
ため、それほど低濃度のフッ素を含有したP−SiOF
膜が形成できず、耐湿性に劣ってしまうことが考えられ
る。
【0008】この対策として、SiH4 ガスを加えるこ
とによりフッ素をSiと切りはなしコントロールでき比
較的低濃度のフッ素を含有したP−SiOFが形成でき
る形態をとっている例がある。この実施例は、’95.
DUMIC P43のPRE−PARATION OF
SiOF FILMS WITH LOW DI−E
LECTRIC CONSTANT BY ECR P
LASMA CVDに開示されている。しかし、この実
施例の場合は、Si,F,Oに加えHも膜に入り込みS
i−HやSi−OHが形成される可能性が増え、それが
吸湿サイトとしてはたらき耐湿性に劣ってしまうことが
考えられる。すなわち結果的に耐湿性のある条件を見出
すことは非常に難しい。
【0009】図5は従来のプラズマCVD絶縁膜および
その形成方法の他の例を説明するためのプラズマCVD
装置の模式断面図である。また、別のP−SiOF膜の
形成方法として、’93.Dry PROCES SS
ymposiumで発表されたHigh Qualit
y and Low Dielectric Con−
stant SiO2 CVD Using High
Density P−lasmaに開示されている。
【0010】このP−SiOF膜形成方法は、図5の装
置において、使用ガスとしてCF4ガスとO2 ガスをガ
スノズル24からプラズマ室26に供給するとともにT
EOSガスをガスノズル25から反応室27に供給す
る。そして、マグネットコイル29と13.56MHz
のアンテナ28によりヘリコン波プラズマを生成させガ
スを活性化し、静電チャック30上に設置されたウェハ
31上に膜を形成している。
【0011】この例ではRFバイアスをかけていない
が、RFバイアスをかける実施例も、95Dry PR
OCESS Symposium Improveme
ntin Hygroscopicity of PE
−CVD F−dopedSiO2 に開示されている。
この実施例で形成された膜は、Si,F,H,C,Oで
構成されるがF素濃度はCF4 ガス流量や、他のガスと
の比によりコントロールできる。しかし、CとFとは別
々にコントロールすることはできないので、耐湿性があ
るP一SiOF膜が得られない。
【0012】
【発明が解決しようとする課題】上述した従来の半導体
装置の絶縁膜およびその形成方法では、ガス系がSiF
4 /O2 /Ar系のようにSiとFを独立にコントロー
ルできないことと、SiH4 /O2 /Ar/CF4 系の
ようにCとFを独立にコントロールできないという理由
から、低比誘電率で耐湿性があってしかも耐熱性にすぐ
れる絶縁膜を得られない問題点がある。何となれば、こ
のような絶縁膜が得られない理由を具体的に述べると下
記のとおりである。
【0013】まず、炭素が耐湿性を向上するはたらきが
あるものの、それを過剰に含ませると耐熱性が劣化する
問題点がある。例えば、炭素濃度が1×1022atom
s/cc以上に過剰に入れられると、上記O2 のかわり
にCO2 を使用した従来例で、たとえばSiH4 /CO
2 /Ar/CF4 の場合は、生成膜が400°の熱処理
に耐えられず、かつ誘電率が高くなってしまう。
【0014】また、上記コントロールをしない場合で
は、ガス系では炭素が不足しているから、たとえば、S
iH4 /O2 /Ar/CF4 のように、CとFが同時に
動いてしまうガスを使用すると、形成される膜の炭素濃
度に対しフッ素濃度が高くなってしまい結果的に耐湿性
に劣ることである。
【0015】従って、本発明の目的は、低比誘電率であ
るとともに信頼性向上のための耐湿性を得るためにシリ
コンを主材としフッ素および炭素が適宜に含む絶縁膜の
形成方法を提供することにある。
【0016】
【課題を解決するための手段】本発明の第1の特徴は、
シリコン水素化物ガス,酸素ガス,フロロカーボンガ
ス,アルゴンガスおよびカーボンオキサイドガスのガス
構成をもつガスを供給しプラズマを発生させ前記ガスを
活性化し半導体基板にプラズマCVD絶縁膜を形成する
プラズマCVD絶縁膜の形成方法である。また、本発明
の第2の特徴は、シリコンフッ素化物ガス,酸素ガス,
アルゴンガスおよびカーボンオキサイドガスのガス構成
をもつガスを供給しプラズマを発生させ前記ガスを活性
化し半導体基板にプラズマCVD絶縁膜を形成するプラ
ズマCVD絶縁膜の形成方法である。
【0017】
【課題を解決するための手段】本発明の第3の特徴は、
CF およびCO CF とからなるガスを別々
に構成し、構成された前記ガスを供給し、プラズマを発
生させ前記ガスを活性化し半導体基板にプラズマCVD
絶縁膜を形成するプラズマCVD絶縁膜の形成方法であ
る。
【0018】
【0019】
【0020】
【0021】
【0022】
【0023】
【発明の実施の形態】次に本発明について図面を参照し
て説明する。
【0024】図1は本発明の一実施の形態におけるプラ
ズマCVD絶縁膜を説明するためのフッ素濃度と炭素濃
度による絶縁膜の耐湿度を示すグラフである。ここで、
この発明に至るまでのCとFの濃度と耐湿性について我
々の実験結果を言及すると、フッ素濃度が濃くなってい
くと、図1に示すように、耐湿性が悪くなり、例えば、
1.0×1022atoms/cc程度のフッ素濃度で形
成されたSiOF膜は、100時間のPCT(プレッシ
ャクッカーテスト)処理後にフーリェ変換赤外分光法に
より測定すると、27パーセント(任意単位)のSi−
H−O−Hの吸収が見られる。また、炭素濃度が濃くな
ると耐湿性が良くなり約1.0×1021atoms/c
c程度であれば、PE−TEOS以上の13パーセント
(任意単位)の耐湿性をもつことが実験より確認されて
いる。しかしながら、耐熱性では、炭素濃度が1.0×
1021atoms/ccになると、その形成されたSi
OF膜は、400℃の熱処理で膜質が変化してしまい、
誘電率の高くなってしまうことが確認されている。
【0025】そこで、本発明は、このような実験結果か
ら、導入されるガスをF、C、OおよびSiがコントロ
ールができるよう構成にすれば、所望の低誘電率で耐湿
性の高く所要の耐熱性のあるプラズマCVD酸化膜が得
られると確信し、後述するような種々のガス構成にし、
それぞれのガスの流量を変えプラズマCVD絶縁膜を形
成し、誘電率と耐湿性の実験を行ない、耐湿度や誘電率
および耐熱性にすぐれた膜となる酸化膜のフッ素および
炭素濃度を求めてみた。その結果、フッ素の濃度が4×
1021atoms/cc〜1.0×1022atoms/
ccであり、炭素の濃度が3.0×1019〜1.0×1
21atoms/ccの範囲であることが判明した。
【0026】図2は本発明の一実施の形態におけるプラ
ズマCVD絶縁膜の形成方法を説明するためのプラズマ
CVD装置の模式断面図である。この低誘電率であって
耐湿性がある絶縁膜を形成するために、本発明は図2に
示すマイクロ波ECRプラズマCVD装置を使用してバ
イアスECR−CVD法で絶縁膜を形成してみた。この
装置による絶縁膜の形成方法について以下に説明する。
【0027】まず、ガス構成をF、C、OおよびSiが
コントロールができるように、SiH4 /O2 /Ar/
CF4 /CO2 とし、プラズマ室7への第1のノズル1
よりO2 を75〜100SCCM導入し、反応室6へは
第2のノズル2によりSiH4 40〜50SCCM,C
4 10〜20SCCM,Ar0〜100SCCM、C
2 :5〜10SCCMをそれぞれ導入した。そして、
245GHzのマイクロ波をプラズマ室7に20KWの
パワーでガスを活性化し、マグネットコイル5により反
応室6に供給し、その上、静電チャック3にRF電源部
4を設置し、1.0〜1.5KWのバイアスかけ静電チ
ャック3上のウェハ31にP−SiOF膜を形成した。
【0028】なお、この膜のF素濃度はCF4 の流量ま
たは(O2 +CO2 )/SiH4 比で決定される。ま
た、炭素濃度はCO2 +CF4 流量によりコントロール
できる。すなわち、フッ素と炭素は独立のコントロール
ができる。ガス流量,マイクロ波パワー,RFパワーを
前記とすることで適正なフッ素濃度、炭素濃度の組み合
わせにより、フッ素濃度7×1021atoms/cc、
炭素濃度3×1020atoms/ccが得られた。この
ガス系は、フッ素をCF4 ガスで、炭素をCO2+CF
4 ガスでCとFを独立として動かせるため容易にこの濃
度のP−SiOF膜の形成を実現できることが分かる。
その結果、低比誘電率3.0でCMPに耐え得る耐湿性
がありかつ耐熱性がある炭素およびフッ素含有のプラズ
マ酸化膜が得られた。
【0029】また、この膜が施されたウェハを熱処理炉
に入れ、アニール温度400°,処理10分,N2 処理
を施してもフーリェ変換赤外分光法(以下FTIRスペ
クトルと記す)に変化がなく、かつ、1ケ月間放置後も
FTIRスペクトルによるSi−OHの吸収H−OHの
吸収はほとんどなかった。
【0030】図3は本発明の他の実施の形態におけるプ
ラズマCVD絶縁膜の形成方法を説明するためのプラズ
マCVD装置の模式断面図である。次に、図3の化学気
相成長装置であるヘリコン波プラズマCVD装置を使用
してP一SiOF膜の形成方法を説明する。
【0031】まず、それぞれのガス分子がコントロール
し易いように、SiF4 /O2 /Ar/CO2 のガス構
成とし、プラズマ室13に第1のガスノズル8からO2
およびArを供給し、第2のガスノズル9から反応室1
2にSiF4 そしてCO2 ガスを供給する。そして、石
英チューブ15を介して導入される13.56MHzの
アンテナ14のヘリコン波とマグネットコイル11の磁
場によるプラズマを発生させ導入されたガスを活性化
し、静電チャック10上のウエハ31にP−SiOF膜
を形成する。この膜のF素濃度はSiF4 流量もしく
は、その他のガスO2 +CO2 との比で決定される。ま
た炭素濃度はCO2 流量により決定される。すなわちフ
ッ素と炭素は独立なコントロールができる。このガス構
成により前述の実施の形態と同様に適正なフッ素濃度,
適正な炭素濃度のP−SiOF膜の形成が実現できた。
【0032】この実施例の他にもガスの組み合わせは考
えられる。すなわち、前述の実施の形態におけるガス構
成にこの実施例のものも含めると、SiF4 /O2 /A
r/CO2 と、それにSiH4 を加えたもの、CO2
かわりにCOまたはCH4 ,C2 6 4 8 のいずれ
かまたはその組合せ、Arをなしにしたものなど、これ
らの組み合わせいずれてもよい。
【0033】また、SiH4 /O2 /Ar/CF4 /C
2 とCO2 のかわりにCOまたはC2 2 ,CH4
2 6 ,C3 8 のいずれかまたはその組合せたも
の、Arをなしにしたものなどの組み合わせのいずれで
もよい。さらに、前記の全てのSiH4 のかわりにTE
OSにおきかえてもよい。また前記のCF4 ガスのかわ
りにC2 6 ,C3 8 ,C4 8 CHF3 におきかえ
てもよい。また、SiF4 のかわりにTEFSまたはF
ASi−4,FASi−6を使用してもよい。
【0034】なお、ガスの導入に関しては、Arガスは
プラズマ室13に導入した例を記述したが、反応室12
に導入してもよい、また、CF4 ガスCOxまたはCx
Hyガスはプラズマ室13または反応室12のいずれに
導入してもよいが、反応室12からの方がプラズマ室1
3の汚れを防ぐ点ですぐれている。
【0035】一方、プラズマ発生源に関しては、13.
56MHzの周波数を開いた平行平板のCVD法、1
3.56MHzと400KHzの2周波を用いた平行平
板のCVD法,2.45GHzの高密度プラズマ用いた
ECR−CVD法,誘導結合プラズマであるICP−C
VD法,ヘリコン波CVD法さらにそれに13.56M
Hzのバイアスを加えたもののいずれか1つで行うこと
が良いが、ICP、ECRあるいはヘリコン波による高
密度プラズマCVD法が望ましい。
【0036】
【発明の効果】以上説明したように本発明は、フッ素濃
度および炭素濃度を容易にコントラーロールできる供給
ガス構成とし、この供給されるガスの流量を適宜設定し
プラズマで活性化し膜を形成することによって、適切な
フッ素および炭素濃度を含ませる膜質が得られるので、
低誘電でしかも耐湿性がありかつ耐熱性にすぐれた絶縁
膜が得られ、このような絶縁膜を形成することによっ
て、配線層におけるクロストークが起きなくなり、CM
Pによる平坦化にも問題が無く適用ができるという効果
がある。
【図面の簡単な説明】
【図1】本発明の一実施の形態におけるプラズマCVD
絶縁膜を説明するためのフッ素濃度と炭素濃度による絶
縁膜の耐湿度を示すグラフである。
【図2】本発明の一実施の形態におけるプラズマCVD
絶縁膜の形成方法を説明するためのプラズマCVD装置
の模式断面図である。
【図3】本発明の他の実施の形態におけるプラズマCV
D絶縁膜の形成方法を説明するためのプラズマCVD装
置の模式断面図である。
【図4】従来のプラズマCVD絶縁膜およびその形成方
法の一例を説明するためのプラズマCVD装置の模式断
面図である。
【図5】従来のプラズマCVD絶縁膜およびその形成方
法の他の例を説明するためのプラズマCVD装置の模式
断面図である。
【符号の説明】
1,8 第1のガスノズル 2,9 第2のガスノズル 3,10,22,30 静電チャック 4,23 RF電源部 5,11,21,29 マグネットコイル 6,12,19,27 反応室 7,13,20,26 プラズマ室 15 石英チューブ 28 アンテナ 31 ウェハ

Claims (3)

    (57)【特許請求の範囲】
  1. 【請求項1】 シリコン水素化物ガス,酸素ガス,フロ
    ロカーボンガス,アルゴンガスおよびカーボンオキサイ
    ドガスのガス構成をもつガスを供給しプラズマを発生さ
    せ前記ガスを活性化し半導体基板にプラズマCVD絶縁
    膜を形成することを特徴とするプラズマCVD絶縁膜の
    形成方法。
  2. 【請求項2】 シリコンフッ素化物ガス,酸素ガス,ア
    ルゴンガスおよびカーボンオキサイドガスのガス構成を
    もつガスを供給しプラズマを発生させ前記ガスを活性化
    し半導体基板にプラズマCVD絶縁膜を形成することを
    特徴とするプラズマCVD絶縁膜の形成方法。
  3. 【請求項3】 CF4ガスおよびCO2とCF4とから
    なるガスを別々に構成し、構成された前記ガスのおのお
    のを独立でコントロールして供給し、プラズマを発生さ
    せ前記ガスを活性化し半導体基板にプラズマCVD絶縁
    膜を形成することを特徴とするプラズマCVD絶縁膜の
    形成方法。
JP21628696A 1996-08-16 1996-08-16 プラズマcvd絶縁膜の形成方法 Expired - Fee Related JP3355949B2 (ja)

Priority Applications (5)

Application Number Priority Date Filing Date Title
JP21628696A JP3355949B2 (ja) 1996-08-16 1996-08-16 プラズマcvd絶縁膜の形成方法
KR1019970039026A KR100376340B1 (ko) 1996-08-16 1997-08-16 플라즈마cvd절연막및그형성방법
GB9717457A GB2316535B (en) 1996-08-16 1997-08-18 Dielectric film and process for forming the same
US08/912,468 US6077574A (en) 1996-08-16 1997-08-18 Plasma CVD process for forming a fluorine-doped SiO2 dielectric film
US09/661,830 US6340843B1 (en) 1996-08-16 2000-09-14 Plasma CVD dielectric film and process for forming the same

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
JP21628696A JP3355949B2 (ja) 1996-08-16 1996-08-16 プラズマcvd絶縁膜の形成方法

Publications (2)

Publication Number Publication Date
JPH1064899A JPH1064899A (ja) 1998-03-06
JP3355949B2 true JP3355949B2 (ja) 2002-12-09

Family

ID=16686161

Family Applications (1)

Application Number Title Priority Date Filing Date
JP21628696A Expired - Fee Related JP3355949B2 (ja) 1996-08-16 1996-08-16 プラズマcvd絶縁膜の形成方法

Country Status (4)

Country Link
US (2) US6077574A (ja)
JP (1) JP3355949B2 (ja)
KR (1) KR100376340B1 (ja)
GB (1) GB2316535B (ja)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN104485272A (zh) * 2014-12-19 2015-04-01 中国科学院嘉兴微电子仪器与设备工程中心 一种应用于等离子体设备的腔室结构及等离子体设备

Families Citing this family (54)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5998838A (en) 1997-03-03 1999-12-07 Nec Corporation Thin film transistor
KR19990006064A (ko) * 1997-06-30 1999-01-25 김영환 반도체 소자의 층간절연막 형성방법
US6054379A (en) 1998-02-11 2000-04-25 Applied Materials, Inc. Method of depositing a low k dielectric with organo silane
US6287990B1 (en) 1998-02-11 2001-09-11 Applied Materials, Inc. CVD plasma assisted low dielectric constant films
US6660656B2 (en) 1998-02-11 2003-12-09 Applied Materials Inc. Plasma processes for depositing low dielectric constant films
US6593247B1 (en) 1998-02-11 2003-07-15 Applied Materials, Inc. Method of depositing low k films using an oxidizing plasma
US6303523B2 (en) 1998-02-11 2001-10-16 Applied Materials, Inc. Plasma processes for depositing low dielectric constant films
US6159871A (en) * 1998-05-29 2000-12-12 Dow Corning Corporation Method for producing hydrogenated silicon oxycarbide films having low dielectric constant
US6667553B2 (en) 1998-05-29 2003-12-23 Dow Corning Corporation H:SiOC coated substrates
US6147009A (en) * 1998-06-29 2000-11-14 International Business Machines Corporation Hydrogenated oxidized silicon carbon material
JP2000277298A (ja) * 1999-03-25 2000-10-06 Shimadzu Corp Ecrプラズマ装置
US6251770B1 (en) 1999-06-30 2001-06-26 Lam Research Corp. Dual-damascene dielectric structures and methods for making the same
FR2795746B1 (fr) * 1999-07-01 2001-07-27 Commissariat Energie Atomique Procede de depot d'un materiau dielectrique a base de silicium sur du cuivre
US6391795B1 (en) * 1999-10-22 2002-05-21 Lsi Logic Corporation Low k dielectric composite layer for intergrated circuit structure which provides void-free low k dielectric material between metal lines while mitigating via poisoning
US6531398B1 (en) 2000-10-30 2003-03-11 Applied Materials, Inc. Method of depositing organosillicate layers
JP2002151506A (ja) * 2000-10-30 2002-05-24 Applied Materials Inc 気相堆積方法及び装置
US6709721B2 (en) 2001-03-28 2004-03-23 Applied Materials Inc. Purge heater design and process development for the improvement of low k film properties
KR100926722B1 (ko) * 2001-04-06 2009-11-16 에이에스엠 저펜 가부시기가이샤 반도체 기판상의 실록산 중합체막 및 그 제조방법
US6716770B2 (en) 2001-05-23 2004-04-06 Air Products And Chemicals, Inc. Low dielectric constant material and method of processing by CVD
US7074489B2 (en) 2001-05-23 2006-07-11 Air Products And Chemicals, Inc. Low dielectric constant material and method of processing by CVD
US6486082B1 (en) 2001-06-18 2002-11-26 Applied Materials, Inc. CVD plasma assisted lower dielectric constant sicoh film
KR20030001959A (ko) * 2001-06-28 2003-01-08 주식회사 하이닉스반도체 반도체 소자의 제조 방법
KR20030002993A (ko) * 2001-06-29 2003-01-09 학교법인 포항공과대학교 저유전체 박막의 제조방법
US7067440B1 (en) 2001-08-24 2006-06-27 Novellus Systems, Inc. Gap fill for high aspect ratio structures
KR20030030271A (ko) * 2001-10-09 2003-04-18 엘지전자 주식회사 평판형 플라즈마 화학 기상 증착법 장치
JP3749162B2 (ja) * 2001-12-05 2006-02-22 キヤノン販売株式会社 半導体装置の製造方法
JP3915697B2 (ja) * 2002-01-15 2007-05-16 東京エレクトロン株式会社 成膜方法及び成膜装置
US6936309B2 (en) 2002-04-02 2005-08-30 Applied Materials, Inc. Hardness improvement of silicon carboxy films
US6815373B2 (en) 2002-04-16 2004-11-09 Applied Materials Inc. Use of cyclic siloxanes for hardness improvement of low k dielectric films
KR20030085769A (ko) * 2002-05-01 2003-11-07 주식회사 피에스티 화학기상 증착장치 및 증착방법
US20040091717A1 (en) * 2002-11-13 2004-05-13 Novellus Systems, Inc. Nitrogen-free fluorine-doped silicate glass
US7122485B1 (en) * 2002-12-09 2006-10-17 Novellus Systems, Inc. Deposition profile modification through process chemistry
US7163896B1 (en) 2003-12-10 2007-01-16 Novellus Systems, Inc. Biased H2 etch process in deposition-etch-deposition gap fill
US7344996B1 (en) 2005-06-22 2008-03-18 Novellus Systems, Inc. Helium-based etch process in deposition-etch-deposition gap fill
US7476621B1 (en) 2003-12-10 2009-01-13 Novellus Systems, Inc. Halogen-free noble gas assisted H2 plasma etch process in deposition-etch-deposition gap fill
US7217658B1 (en) 2004-09-07 2007-05-15 Novellus Systems, Inc. Process modulation to prevent structure erosion during gap fill
US7176039B1 (en) 2004-09-21 2007-02-13 Novellus Systems, Inc. Dynamic modification of gap fill process characteristics
US7381451B1 (en) 2004-11-17 2008-06-03 Novellus Systems, Inc. Strain engineering—HDP thin film with tensile stress for FEOL and other applications
US7851030B2 (en) * 2005-01-27 2010-12-14 United Microelectronics Corp. Method of reducing number of particles on low-k material layer
US7211525B1 (en) 2005-03-16 2007-05-01 Novellus Systems, Inc. Hydrogen treatment enhanced gap fill
US7482245B1 (en) 2006-06-20 2009-01-27 Novellus Systems, Inc. Stress profile modulation in STI gap fill
JP4807195B2 (ja) * 2006-09-08 2011-11-02 旭硝子株式会社 低屈折率膜の製膜方法および低屈折率膜を有する物品
US8133797B2 (en) * 2008-05-16 2012-03-13 Novellus Systems, Inc. Protective layer to enable damage free gap fill
US9490368B2 (en) * 2010-05-20 2016-11-08 Semiconductor Energy Laboratory Co., Ltd. Semiconductor device and manufacturing method of the same
WO2013047631A1 (en) * 2011-09-29 2013-04-04 Semiconductor Energy Laboratory Co., Ltd. Semiconductor device
KR102304125B1 (ko) 2011-09-29 2021-09-17 가부시키가이샤 한도오따이 에네루기 켄큐쇼 반도체 장치
KR20130040706A (ko) * 2011-10-14 2013-04-24 가부시키가이샤 한도오따이 에네루기 켄큐쇼 반도체 장치 및 반도체 장치의 제작 방법
KR20140074384A (ko) 2011-10-14 2014-06-17 가부시키가이샤 한도오따이 에네루기 켄큐쇼 반도체 장치
TWI522490B (zh) 2012-05-10 2016-02-21 應用材料股份有限公司 利用微波電漿化學氣相沈積在基板上沈積膜的方法
US20180024276A1 (en) * 2016-07-21 2018-01-25 Corning Incorporated Optical elements with stress-balancing coatings
JP7398915B2 (ja) * 2019-10-01 2023-12-15 東京エレクトロン株式会社 基板処理方法、半導体デバイスの製造方法、及び、プラズマ処理装置
KR102651508B1 (ko) * 2019-12-02 2024-03-28 주식회사 원익아이피에스 박막 증착 방법
KR102651509B1 (ko) * 2019-12-10 2024-03-28 주식회사 원익아이피에스 갭필 절연막을 포함하는 반도체 소자의 제조방법
CN114203625A (zh) * 2020-09-02 2022-03-18 长鑫存储技术有限公司 半导体器件及其制造方法

Family Cites Families (10)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH06105691B2 (ja) * 1988-09-29 1994-12-21 株式会社富士電機総合研究所 炭素添加非晶質シリコン薄膜の製造方法
JP3670277B2 (ja) * 1991-05-17 2005-07-13 ラム リサーチ コーポレーション 低い固有応力および/または低い水素含有率をもつSiO▲X▼フィルムの堆積法
KR0131439B1 (ko) * 1992-11-24 1998-04-14 나카무라 타메아키 반도체장치 및 그 제조방법
JPH0790589A (ja) * 1993-09-24 1995-04-04 G T C:Kk シリコン酸化膜の形成方法
JP3152829B2 (ja) * 1994-01-18 2001-04-03 株式会社東芝 半導体装置の製造方法
JP2748864B2 (ja) * 1994-09-12 1998-05-13 日本電気株式会社 半導体装置及びその製造方法及び非晶質炭素膜の製造方法及びプラズマcvd装置
US5563105A (en) * 1994-09-30 1996-10-08 International Business Machines Corporation PECVD method of depositing fluorine doped oxide using a fluorine precursor containing a glass-forming element
US5571576A (en) * 1995-02-10 1996-11-05 Watkins-Johnson Method of forming a fluorinated silicon oxide layer using plasma chemical vapor deposition
JP3371188B2 (ja) * 1995-09-22 2003-01-27 ソニー株式会社 絶縁膜の成膜方法
JP3410957B2 (ja) * 1998-03-19 2003-05-26 株式会社東芝 半導体装置及びその製造方法

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN104485272A (zh) * 2014-12-19 2015-04-01 中国科学院嘉兴微电子仪器与设备工程中心 一种应用于等离子体设备的腔室结构及等离子体设备

Also Published As

Publication number Publication date
KR100376340B1 (ko) 2003-08-27
US6340843B1 (en) 2002-01-22
KR19980018715A (ko) 1998-06-05
JPH1064899A (ja) 1998-03-06
GB2316535B (en) 2001-08-01
US6077574A (en) 2000-06-20
GB9717457D0 (en) 1997-10-22
GB2316535A (en) 1998-02-25

Similar Documents

Publication Publication Date Title
JP3355949B2 (ja) プラズマcvd絶縁膜の形成方法
KR100849707B1 (ko) 탄소-도우핑된 저유전체들의 선택적 식각
US8003174B2 (en) Method for forming dielectric film using siloxane-silazane mixture
US6991959B2 (en) Method of manufacturing silicon carbide film
US6497963B1 (en) Hydrogenated oxidized silicon carbon material
US5869149A (en) Method for preparing nitrogen surface treated fluorine doped silicon dioxide films
US7200460B2 (en) Method of depositing low dielectric constant silicon carbide layers
US7001850B2 (en) Method of depositing dielectric films
US7049247B2 (en) Method for fabricating an ultralow dielectric constant material as an intralevel or interlevel dielectric in a semiconductor device and electronic device made
EP0701283A2 (en) Semiconductor device with amorphous carbon layer and method of fabricating the same
KR100283007B1 (ko) 저유전율 불소화 비정질 탄소 유전체 및 그 제조 방법
US8125034B2 (en) Graded ARC for high NA and immersion lithography
US20080145998A1 (en) Method of forming a low-k dual damascene interconnect structure
JPH09508757A (ja) プラズマ化学蒸着を用いてフッ化シリコン酸化物層を形成する方法
JPH10189569A (ja) 低誘電率の多層膜を堆積するための方法及び装置
EP0889507A1 (en) Plasma etching process using a flurocarbon and silane
JP2748864B2 (ja) 半導体装置及びその製造方法及び非晶質炭素膜の製造方法及びプラズマcvd装置
US6953984B2 (en) Hydrogenated oxidized silicon carbon material
US6537904B1 (en) Method for manufacturing a semiconductor device having a fluorine containing carbon inter-layer dielectric film
KR19990006652A (ko) 불소 첨가 절연막의 형성 방법
JPH1154504A (ja) 積層絶縁体膜の形成方法およびこれを用いた半導体装置
US5905298A (en) Semiconductor device having an insulation film of low permittivity and a fabrication process thereof
JP2004153266A (ja) 積層構造体の形成方法及び絶縁膜の集積方法
JP3666106B2 (ja) 半導体装置の製造方法
JPH08236517A (ja) フッ素化非晶質炭素膜材料およびその製造方法および半導体装置

Legal Events

Date Code Title Description
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20020903

LAPS Cancellation because of no payment of annual fees