KR19980018715A - 플라즈마 cvd 절연막 및 그 형성 방법 (plasma cvd insulator film and process for forming the same) - Google Patents

플라즈마 cvd 절연막 및 그 형성 방법 (plasma cvd insulator film and process for forming the same) Download PDF

Info

Publication number
KR19980018715A
KR19980018715A KR1019970039026A KR19970039026A KR19980018715A KR 19980018715 A KR19980018715 A KR 19980018715A KR 1019970039026 A KR1019970039026 A KR 1019970039026A KR 19970039026 A KR19970039026 A KR 19970039026A KR 19980018715 A KR19980018715 A KR 19980018715A
Authority
KR
South Korea
Prior art keywords
gas
plasma
atoms
carbon
group
Prior art date
Application number
KR1019970039026A
Other languages
English (en)
Other versions
KR100376340B1 (ko
Inventor
다쓰야 우사미
Original Assignee
가네꼬 히사시
닛뽕덴끼 가부시끼가이샤
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 가네꼬 히사시, 닛뽕덴끼 가부시끼가이샤 filed Critical 가네꼬 히사시
Publication of KR19980018715A publication Critical patent/KR19980018715A/ko
Application granted granted Critical
Publication of KR100376340B1 publication Critical patent/KR100376340B1/ko

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/02274Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition in the presence of a plasma [PECVD]
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/40Oxides
    • C23C16/401Oxides containing silicon
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/50Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges
    • C23C16/505Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges using radio frequency discharges
    • C23C16/507Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges using radio frequency discharges using external electrodes, e.g. in tunnel type reactors
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/50Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges
    • C23C16/511Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges using microwave discharges
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02126Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing Si, O, and at least one of H, N, C, F, or other non-metal elements, e.g. SiOC, SiOC:H or SiONC
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02126Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing Si, O, and at least one of H, N, C, F, or other non-metal elements, e.g. SiOC, SiOC:H or SiONC
    • H01L21/02131Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing Si, O, and at least one of H, N, C, F, or other non-metal elements, e.g. SiOC, SiOC:H or SiONC the material being halogen doped silicon oxides, e.g. FSG
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02164Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon oxide, e.g. SiO2
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/314Inorganic layers
    • H01L21/316Inorganic layers composed of oxides or glassy oxides or oxide based glass
    • H01L21/31604Deposition from a gas or vapour
    • H01L21/31625Deposition of boron or phosphorus doped silicon oxide, e.g. BSG, PSG, BPSG

Landscapes

  • Engineering & Computer Science (AREA)
  • Chemical & Material Sciences (AREA)
  • Physics & Mathematics (AREA)
  • Power Engineering (AREA)
  • General Physics & Mathematics (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Computer Hardware Design (AREA)
  • Manufacturing & Machinery (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Plasma & Fusion (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • General Chemical & Material Sciences (AREA)
  • Mechanical Engineering (AREA)
  • Materials Engineering (AREA)
  • Inorganic Chemistry (AREA)
  • Formation Of Insulating Films (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)
  • Polyamides (AREA)

Abstract

플라즈마 CVD 불소 도핑 SiO2절연막을 형성하는 방법에 있어서, 플라즈마 CVD 장치에 공급될 재료 가스는 SiH4가스, O2가스, CF4가스 및 Ar 가스 뿐만 아니라 CO2가스를 포함하도록 구성되고, 재료 가스에 포함되는 탄소의 양과 불소의 양은 서로 독립적으로 제어되어 농도 범위가 4.0 ×1021atoms/cc 내지 1.0 ×1022atoms/cc 인 불소와 농도 범위가 3.0 ×1019atoms/cc 내지 1.0 ×1021atoms/cc 인 탄소로 도핑된 플라즈마 CVD 실리콘 기재 SiO2절연막을 형성한다. 그러므로, 낮은 유전율과 충분한 내습성을 갖는 플라즈마 CVD 실리콘 기재 SiO2절연막이 얻어진다.

Description

플라즈마 CVD 절연막 및 그 형성 방법
본 발명은 반도체 장치내의 배선 도전층 사이에 형성된 플라즈마 CVD 절연막 및 그 형성 방법에 관한 것이다.
최근, 반도체 집적 회로의 미세화가 진행되고 있다. 특히, 논리 집적 회로에서의 다층 배선에는 그 경사가 현저하게 나타난다. 다층 배선의 인접하는 금속 배선 도전체 사이의 공간이 작게 되면, 인접하는 금속 배선 도전체 사이의 커패시터는 크게 되고, 그 결과 다양한 문제점이 발생된다. 예를 들어, 전기 신호의 속도가 떨어지고 (다른 신호에 잡음의 영향을 주는) 누화가 발생한다.
이를 극복하기 위한 방법으로서, 낮은 유전율을 갖는 절연 재료의 층간 절연막을 형성하는 방법이 있다. 최근, 종래에 사용되었고 플라즈마 화학 기상 증착 (이하, P-CVD 방법이라 함) 으로 형성되었던 플라즈마 실리콘 산화물막 (이하, P-SiO2막이라 한다)을 불소 함유 플라즈마 산화물막 (이하, P-SiOF 막이라 한다) 으로 변경하므로써, 비유전율이 약 4.5 내지 2.8-4.3 정도로 저하하는 가능성이 있다는 보고가 있다.
P-SiOF 막내의 불소의 농도를 증가시키므로써 유전율을 감소시킬 수 있다. 그러나, 불소 농도가 너무 크면, 내습성 (또는 물 흡수에 대한 저항) 이 열화된다. 그러므로, 내습성을 열화시키지 않는 불소 농도에서는, 유전율을 저하시킬 수 없다 (예를 들어, 유전율은 약 3.3 이다). 이것은 N. HAYASAKA 등에 의한 95 Solid State Devices and Materials (SSDM), P157-159, Fluorine Doped SiO2for Low Dielectric Constant Films in Sub-Half Micron ULSI Multilevel Interconnection 에 개시되어 있으며, 참고로 기재한다.
반도체 장치의 P-SiOF 막을 형성하는 경우에, 평탄화는 필수불가결하다. P-SiOF 막을 평탄화하기 위해 사용되는 화학 기계 연마 (CMP 라 함) 에 있어서, 연마액이 사용되므로, 불충분한 내습서 은 어려운 문제를 발생한다. 그러므로, 평탄화를 위하여 CMP 방법이 사용되면, 불소 농도가 낮게 되고 결과적으로 유전율을 상승시키는 결과를 초래한다.
도 1을 참조하면, 종래의 플라즈마 CVD 절연막을 형성하기 위한 방법의 일예를 설명하기 위한 플라즈마 CVD 장치의 개략 단면도를 나타낸다. (플라즈마 CVD 절연막의 한 종류인) 플라즈마 P-SiOF 막을 형성하기 위한 종래 방법의 일예는, 예를 들어, T. FUKUDA 등에 의한 93 SSDM, P158-160, Preparation of SiOF Films with Low Dielectric Constant by ECR Plasma Chemical Vapor Depostion 에 개시되어 있고, 참고로 기재한다.
P-SiOF 막을 형성하기 위한 방법은, 먼저, 재료 가스로서 O2가스 및 아르곤 가스를 가스 노즐 (17) 로부터 플라즈마 챔버 (20) 에 공급한다. 그리고, 가스 노즐 (18) 로부터 플라즈마 챔버 (20) 와 소통하는 반응 챔버 (19) 로 SiF4가스를 공급한다. 그후, 플라즈마 챔버 (20) 로 도입된 마이크로파와 플라즈마 챔버 (20) 부근의 자석 코일 (21) 에 의해 발생된 자장에 의해 전자 사이클로트론 공진 (ECR) 이 형성되어 도입된 가스가 활성화된다. 그러므로, RF 전원 (23) 으로부터 RF 바이어스 전압이 공급되는 정전척 (22) 상에 유지된 웨이퍼 (31) 상에 우수한 단차 피복성을 갖는 P-SiOF 막이 형성된다.
이렇게 형성된 막은 Si (실리콘), F (불소), 및 O (산소) 로 구성되고, 불소 농도는 SiF4가스의 유량, 즉, SiF4가스 유량비 (SiF4/O2)에 의해 제어된다. 그러나, SiF4가스에 있어서, Si 와 F 는 서로 독립적으로 제어될 수 없으므로, 만족스러운 낮은 불소 농도를 갖는 P-SiOF 막을 형성하는 것이 불가능하다. 그러므로, 실제로 형성된 P-SiOF 에 있어서, 내습성은 충분하지 않다.
상술한 문제점을 극복하기 위한 방법으로서, SiH4가스를 첨가하여 Si 와 독립적으로 F를 제어하여 비교적 낮은 불소 농도를 갖는 P-SiOF 막을 형성하는 것이 제안되었다. 이것은 T. FUKUDA 에 의한 95 DUMIC Conference P43-49 PREPARATION OF SiOF FILMS WITH LOW DIELECTRIC CONSTANT BY ECR PLASMA CVD 에 개시되어 있고, 참고로 기재한다.
그러나, 제안된 방법에 있어서, Si, F, O 에 더하여 H (수소)를 막에 첨가하므로써 Si-H 및 H-OH 의 형성 가능성을 증가시키고, 이것은 흡습 사이트로 동작하는 내습성을 열화시키는 것이 고려된다. 즉, 결과적으로 만족한 내열성의 최적 조건을 얻을 수 없다.
도 2를 참조하면, 종래의 플라즈마 CVD 절연막을 형성하기 위한 방법의 제 2 실시예를 설명하기 위한 플라즈마 CVD 장치의 개략 단면도를 나타낸다. P-SiOF 막을 형성하기 위한 종래 방법의 제 2 예는 N. HAYASAKA 에 의한 93 Dry Process Symposium High-Quality and Low Dielectric Constant SiO2CVD Using High Density Plasma 에 개시된 것이며, 참고로 기재한다.
제 2 종래 방법에 있어서, 도 2 에 나타낸 바와 같이, 재료 가스로서, CF4가스와 O2가스를 가스 노즐 (24) 로부터 전자파가 투과하는 석영관 (15) 에 의해 형성된 플라즈마 챔버 (26) 로 공급하고, TEOS (테트라옥시실란) 가스를 가스 노즐 (25) 로부터 반응 챔버 (27) 로 공급한다. 플라즈마 챔버 (26) 주변의 자석 코일 (29) 과 플라즈마 챔버 (26)을 둘러싸고 13.56 ㎒ 의 RF 전원 (32) 으로 구동되는 안테나 (28) 에 의해, 헬리콘 (helicon) 플라즈마가 발생되고 가스는 활성화된다. 그러므로, 정전척 (30) 상에 유지된 웨이퍼 (31) 상에 막이 형성된다.
상술한 제 2 의 종래 방법에 있어서, RF 바이어스는 인가되지 않는다. RF 바이어스를 사용하는 예로는, R. KATSUMATA 등에 의한 95 Dry Process Symposium P269-274 Improvement in Hygroscopicity of PE-CVD F-doped SiO2에 개시되어 있고, 참고로 기재한다. 이 방법으로 형성된 막은 Si, F, H, C (탄소), 및 O 로 이루어지지만, 불소 농도는 CF4가스의 유량과 다른 가스에 대한 CF4가스의 비율에 의해 제어된다. 그러나, C 와 F 는 서로 독립적으로 제어될 수 없으므로, 만족스러운 내습성을 갖는 P-SiOF 막을 얻을 수 없다.
상술한 종래의 절연막과 그를 형성하는 종래 방법에 있어서, SiF4/O2/Ar 가스 공급 시스템으로서 Si 와 F 는 서로 독립적으로 제어될 수 없고, 또는 SiH4/O2/Ar/CF4가스 공급 시스템으로서 C 와 F를 독립적으로 제어할 수 없으므로, 낮은 유전율과 만족스러운 내습성과 우수한 내열성을 갖는 절연막을 얻을 수 없다. 소망의 절연막을 얻을 수 없는 이유는 다음과 같다:
먼저, 탄소는 내습성을 향상시키는 성질을 가지지만, 막이 과도한 탄소를 포함하면, 내열성이 열화된다. 예를 들어, 탄소 농도가 1×1022atoms/cc 이상이면, O2대신에 CO2가 사용되는 종래예에 있어서, 예를 들면, SiH4/CO2/Ar/CF4가스 공급 시스템을 사용하므로써 형성되는 예에 있어서, 얻어진 막은 400 ℃ 의 열처리에 견디지 못하고, 유전율은 높게 된다.
상술한 제어가 수행되지 않으면, 가스 공급 시스템에서 탄소가 부족하므로, 예를 들어 C 와 F를 서로 독립적으로 제어할 수 없는 SiH4/O2/Ar/CF4가스 공급 시스템을 사용하여 막을 형성할 때, 불소 농도는 얻어진 막내의 탄소 농도보다 높게 되고, 그 결과로 얻어진 막은 열화된 내습성을 가지게 된다.
따라서, 본 발명의 목적은 종래의 상술한 문제점을 극복하기 위한 것이다.
본 발명의 다른 목적은 낮은 유전율과 만족스러운 내습성을 갖는 절연막을 제공하는 것이다.
본 발명의 또다른 목적은 낮은 유전율과 신뢰성있는 내습성 및 내열성을 갖는 불소와 탄소를 함유한 실리콘 기재 절연막을 제공하는 것이다.
본 발명의 더욱더 다른 목적은 낮은 유전율과 신뢰성있는 내습성 및 내열성을 갖는 불소와 탄소를 함유한 실리콘 기재 절연막을 형성하는 방법을 제공하는 것이다.
도 1 은 종래의 플라즈마 CVD 절연막을 형성하는 방법의 일예를 나타내는 플라즈마 CVD 장치의 개략단면도.
도 2 는 종래의 플라즈마 CVD 절연막을 형성하는 방법의 다른 예를 나타내는 플라즈마 CVD 장치의 개략단면도.
도 3 은 본 발명에 의한 플라즈마 절연막을 설명하기 위한 불소 농도, 탄소 농도, 및 내습성간의 관계를 나타내는 그래프.
도 4 는 본 발명에 의한 플라즈마 CVD 절연막을 형성하기 위한 본 발명에 의한 방법의 제 1 실시예를 설명하기 위한 플라즈마 CVD 장치의 개략단면도.
도 5 는 본 발명에 의한 플라즈마 CVD 절연막을 형성하기 위한 본 발명에 의한 방법의 제 2 실시예를 설명하기 위한 다른 플라즈마 CVD 장치의 개략단면도.
* 도면의 주요부분에 대한 부호의 설명 *
1: 제 1 가스 노즐 2: 제 2 가스 노즐
3: 정전척 4: RF 전원
5: 자석 코일 6: 반응 챔버
7: 플라즈마 챔버 31: 웨이퍼
상술한 목적과 다른 목적은 실리콘을 기본 재료로 하여, 불소 농도가 4.0 ×1021atoms/cc 내지 1.0 ×1022atoms/cc 의 범위이고 탄소 농도가 3.0 ×1019atoms/cc 내지 1.0 ×1021atoms/cc 의 범위인 플라즈마 CVD 절연막에 의한 본 발명에 의해 성취된다.
본 발명의 다른 형태에 의하면, 실리콘 수소화물 가스, 산소 가스, 탄화 프루오르 가스, 아르곤 가스, 탄소 산화물 가스로 이루어진 재료 가스를 챔버에 공급하는 단계, 챔버내에 플라즈마를 발생하여 가스를 활성화하므로써 실리콘을 기본 재료로 하여, 불소 농도가 4.0 ×1021atoms/cc 내지 1.0 ×1022atoms/cc 의 범위이고 탄소 농도가 3.0 ×1019atoms/cc 내지 1.0 ×1021atoms/cc 의 범위인 플라즈마 CVD 절연막을 챔버내에 위치하는 반도체 기판상에 형성하는 단계를 구비하는 플라즈마 CVD 절연막을 형성하는 방법을 제공한다.
본 발명의 제 3 형태에 의하면, 실리콘 플루오르화물 가스, 산소 가스, 아르곤 가스 및 탄소 산화물 가스로 이루어진 재료 가스를 챔버내로 공급하는 단계, 및 챔버내에 플라즈마를 발생하여 실리콘을 기본 재료로 하여, 불소 농도가 4.0 ×1021atoms/cc 내지 1.0 ×1022atoms/cc 의 범위이고 탄소 농도가 3.0 ×1019atoms/cc 내지 1.0 ×1021atoms/cc 의 범위인 플라즈마 CVD 절연막을 챔버내에 위치하는 반도체 기판상에 형성하는 단계를 구비하는 플라즈마 CVD 절연막을 형성하는 방법을 제공한다.
상술한 방법에 있어서, 아르곤 가스는 생략될 수 있다. 또한, 탄소 산화물 가스 대신에 탄화 수소를 사용할 수 있다. 더욱이, 불소를 함유하는 가스의 유량과 탄소를 함유하는 가스의 유량을 서로 독립적으로 제어하는 것이 바람직하다.
특히, 실리콘 수소화물 가스는 SiH4, Si2H6(SinH2n+2에 의해 발생됨), TEOS, SiH2Cl2, 로 이루어진 군으로부터 선택된 하나, 또는 동일한 군으로부터 선택된 2 개이상의 결합일 수 있다. 탄화 플루오르 가스는 CF4, C2F6, CHF3, C4F8로 이루어진 군으로부터 선택된 하나, 또는 동일한 군으로부터 선택된 2 개이상의 결합일 수 있다. 실리콘 플루오르화물 가스는 SiF4, TEFS, 및 유기 실리콘 화합물을 포함하는 불소로 이루어진 군으로부터 선택된 하나, 또는 동일한 군으로부터 선택된 2개이상의 결합일 수 있다.
다른 방법으로, 탄소 산화물 대신에 탄화 수소를 사용하면, 탄화 수소 가스는 C2H2, CH4, C2H6, C3H8로 이루어진 군으로부터 선택된 하나일 수 있다. 이 경우, 마찬가지로, 실리콘 수소화물은 SiH4, Si2H6, TEOS, SiH2Cl2로 이루어진 군으로부터 선택된 하나, 또는 동일한 군으로부터 선택된 2개이상의 결합일 수 있다. 탄화 플루오르 가스는 CF4, C2F6, CHF3, C4F8로 이루어진 군으로부터 선택된 하나 또는 동일한 군으로부터 선택된 2 개이상의 결합일 수 있다. 실리콘 플루오르화물 가스는 SiF4, TEFS 및 유기 실리콘 화합물을 함유하는 불소로 이루어진 군으로부터 선택된 하나 또는 동일한 군으로부터 선택된 2 개이상의 결합일 수 있다.
더욱이, 실리콘 수소화물 가스는 실리콘 플루오르화물 가스, 산소 가스, 아르곤 가스, 및 탄소 산화물 가스로 이루어진 재료 가스에 첨가될 수 있다. 이 경우, 실리콘 수소화물 가스는 SiH4, Si2H6, TEOS, SiH2Cl2로 이루어진 군으로부터 선택된 하나 또는 동일한 군으로부터 선택된 2개이상의 결합일 수 있다.
한편, 상술한 플라즈마를 발생하기 위한 플라즈마 소오스는 전자 사이클로트론 공진 플라즈마, 유도 결합형 플라즈마, 및 헬리콘 플라즈마 등의 고밀도 플라즈마를 발생하는 소오스인 것이 바람직하다.
본 발명의 상술한 목적과 다른 목적, 특징, 이점은 첨부된 도면을 참조하여 본 발명의 바람직한 실시예를 설명하므로써 명백해질 것이다.
도 3을 참조하면, 본 발명에 의한 플라즈마 CVD 절연막을 설명하기 위한 불소 농도, 탄소 농도 및 내습성간의 관계를 나타내는 그래프이다.
도 3 의 그래프는 불소 농도, 탄소 농도 및 내습성간의 관계에 대한 본 발명자에 의해 수행된 실험의 결과를 나타낸다.
도 3에 나타낸 바와 같이, 불소 농도가 증가하면, 내습성은 열화된다. 예를 들어, 1.0 × 1022atoms/cc 정도의 불소 농도를 갖도록 형성된 SiOF 막은, PCT (pressure cooker text) 가 100 시간 수행된 후에 FT-IR (푸리에 변환 적외선 분광) 법에 의해 측정되면, 27 % (임의의 단위) 의 Si-H+O-H 의 흡수가 나타난다. Si-H+O-OH 흡수는 흡습성을 나타내는 하나의 파라미터이다. 한편, 탄소 농도가 증가하면, 내습성은 개선된다. 예를 들면, FT-IR 측정에 있어서, 약 1.0 × 1021atoms/cc 의 탄소 농도를 갖도록 형성된 SiOF 막은, PE-TEOS (플라즈마 인핸스드 테트라에톡시실란) 막보다 매우 우수한 13 % (임의의 단위) 의 Si-H+O-OH 흡수 흡수를 나타난다. 그러나, 내열성에 있어서는, 탄소 농도가 1.0 × 1021atoms/cc 에 도달하면, 형성된 SiOF 막은 400 ℃ 의 열처리에 의해 막 품질이 변화하여 유전율은 높아지게 된다.
상술한 실혐의 결과로부터, 본 발명자는, 도입된 재료 가스로서 F, C, O, 및 Si 가 서로 독립적으로 제어될 수 있으면, 소망의 유전율, 높은 내습성, 소망의 내열성을 갖는 플라즈마 CVD 불소 도핑 실리콘 산화물막을 얻을 수 있다는 것을 확인하였다. 본 발명자는, 불소 도핑 실리콘 산화물막이 우수한 유전율, 내습성, 및 내열성을 갖도록 불소 농도와 탄소 농도를 결정하기 위하여, 재료 가스의 다양한 결합을 준비하고 각각의 재료 가스의 가스 유량을 변화시키면서 플라즈마 CVD 불소 도핑 실리콘 산화물막을 형성하므로써 유전율 및 내습성의 다양한 실험을 수행하였다. 결과적으로, 본 발명자는, 불소 농도를 4.0 × 1021atoms/cc 내지 1.0 × 1022atoms/cc 로 하고 탄소 농도를 3.0 × 1019atoms/cc 내지 1.0 × 1021atoms/cc 로 하면, 우수한 유전율, 내습성, 및 내열성을 갖는 불소 도핑 실리콘 산화물막을 얻을 수 있음을 발견하였다.
도 4를 참조하면, 본 발명에 의한 플라즈마 CVD 절연막을 형성하기 위한 본 발명에 의한 방법의 제 1 실시예를 설명하기 위한 플라즈마 CVD 장치의 개략도를 나타낸다. 소망의 낮은 유전율과 만족스러운 내습성을 갖는 산화물막을 얻기 위하여, 도 4 에 도시된 마이크로파 ECR 플라즈마 CVD 장치를 사용하여 RF 바이어스 ECR-CVD 방법에 의해 P-SiOF 절연막을 형성하였다.
먼저, F, C, O, Si를 서로 독립적으로 제어하기 위하여, 가스 구성성분을 SiH4/O2/Ar/CF4/CO2로 구성하였다. O2를 제 1 가스 노즐 (1) 에 의해 자석 코일 (5) 에 의해 둘러싸인 플라즈마 챔버 (7) 로 75-100 sccm 의 유량으로 도입하고, 40-50 sccm 의 SiH4, 10-20 sccm 의 CF4, 0-100 sccm 의 Ar, 5-10 sccm 의 CO2를 제 2 가스 노즐 (2) 에 의해 플라즈마 챔버 (7) 과 소통하는 반응 챔버 (6) 로 도입한다. 2.45 ㎓ 와 20 ㎾ 의 마이크로파를 플라즈마 챔버 (7) 에 도입하여 가스를 활성화하고, 활성화된 가스는 자석 코일 (5) 에 의해 발생된 자장에 의해 반응 챔버 (6) 에 공급된다. P-SiOF 막은, RF 전원 (4) 에 접속되고 1.0-1.5 ㎾ 로 바이어스된 정전척 (3) 상에 유지된 웨이퍼 (31) 상에 증착된다.
형성된 P-SiOF 막에 있어서, 불소 농도는 CF4의 유량, 또는 유량비 (O2+CO2)/CF4에 의해 결정되고, 탄소 농도는 CO2+CF4의 유량에 의해 결정된다. 즉, 불소 농도와 탄소 농도는 서로 독립적으로 제어될 수 있다. 상기 언급한 바와 같이 소망의 불소 농도와 탄소 농도의 조합을 실현하기 위하여 가스 유량, 마이크로파 전력 및 RF 전력을 설정하므로써, 불소 농도가 7.0 × 1021atoms/cc 이고 탄소 농도가 3.0 × 1020atoms/cc 인 P-SiOF 막을 얻을 수 있다.
상술한 가스 공급 시스템에 있어서, 불소 농도에 관련된 CF4가스의 유량을 제어하고 탄소 농도에 관련된 CO2+CF4가스의 유량을 제어하므로써 불소 농도와 탄소 농도를 독립적으로 제어할 수 있으므로, 7.0 × 1021atoms/cc 의 불소 농도와 3.0 × 1020atoms/cc 의 탄소 농도를 갖는 P-SiOF 막을 형성하는 것이 용이하다. 결과적으로, CMP 처리에 견딜 수 있는 내습성과 3.0 의 낮은 유전율을 갖는 플라즈마 CVD F- 및 C- 도핑 실리콘 산화물 절연막을 얻을 수 있다.
상술한 바와 같이 형성된 플라즈마 CVD F- 및 C- 도핑 실리콘 산화물 절연막을 갖는 웨이퍼는 열처리 챔버에 도입되고, N2의 분위기와 400 ℃의 어닐링 온도에서 10분간 어닐링 처리가 수행된다. 어닐링된 플라즈마 CVD F- 및 C- 도핑 실리콘 산화물 절연막의 내습성을 FT-IR 에 의해 다시 측정하였다. Si-H 흡수와 H-OH 흡수는 모두 변하지 않았다. 또한, 웨이퍼를 한달동안 방치한 후, 플라즈마 CVD F- 및 C- 도핑 실리콘 산화물 절연막의 내습성을 FT-IR 에 의해 측정하였다. Si-H 흡수 및 H-OH 흡수는 거의 변하지 않았다.
상술한 실험의 결과는, 3.0 의 낮은 유전율과 CMP 처리에 견딜 수 있는 내습성, 및 만족스러운 내열성을 갖는 플라즈마 CVD F- 및 C- 도핑 실리콘 산화물 절연막을 얻을 수 있음을 나타낸다.
도 5를 참조하면, 본 발명에 의한 플라즈마 CVD 절연막을 형성하기 위한 본 발명에 의한 방법의 제 2 실시예를 설명하기 위한 다른 플라즈마 CVD 장치의 개략 단면도이다. 낮은 유전율과 만족스러운 내습성을 갖는 P-SiOF 절연막을 얻기 위하여, 화학 기상 증착 장치의 한종류인 도 5 에 도시된 헬리콘 플라즈마 CVD 장치에 의해 P-SiOF 막을 형성하였다.
가스 구성성분을 용이하게 제어하기 위하여, 가스 구성성분은 SiF4/O2/Ar/CO2로 이루어졌다. O2가스와 Ar 가스가, 제 1 가스 노즐 (8) 에 의해, 석영관 (16) 으로 형성되고 13.56 ㎒ RF 전원 (33) 에 접속된 안테나 (14) 와 자석 코일 (11) 에 의해 둘러싸인 플라즈마 챔버 (13) 으로 공급한다. SiF4가스와 CO2가스를 제 2 가스 노즐 (9) 에 의해 반응 챔버 (12) 로 공급한다. 자석 코일 (11) 에 의해 발생된 자장과 13.56 ㎒ RF 전원 (33) 으로 구동되는 안테나 (13) 에 의해 발생된 헬리콘파의 협동에 의해. 플라즈마가 발생되고 가스가 활성화된다. 그러므로, P-SiOF 막이 반응 챔버 (12) 내의 정전척 (10) 상에 유지된 웨이퍼 (31) 상에 형성된다.
이렇게 형성된 P-SiOF 막내의 불소 농도는 SiF4가스 또는 다른 가스 (O2+ CO2) 에 대한 SiF4가스의 유량에 의해 결정된다. 한편, P-SiOF 막내의 탄소 농도는 CO2의 유량에 의해 결정된다. 즉, 불소 농도와 탄소 농도는 독립적으로 제어될 수 있다. 이 가스 구성성분으로, 제 1 실시예와 유사한 소망의 불소 농도와 소망의 탄소 농도를 갖는 P-SiOF 막을 형성할 수 있다.
상술한 가스 결합이외의 가스 결합을 고려할 수 있다. 이하, 상술한 가스 결합을 포함하는 변형을 설명한다. 제 2 실시예와 관련하여, 먼저, 상술한 바와 같이 SiF4/O2/Ar/CO2 가예시될 수 있고, SiH4가 SiF4/O2/Ar/CO2에 첨가될 수 있다. 이들 2 개의 변형에 있어서, CO2가 CO, 또는 C2H2, CH4, C2H6또는 C3H8, 또는 C2H2, CH4, C2H6및 C3H8으로 이루어진 군으로부터 선택된 2 개이상의 결합으로 대체될 수 있다. 모든 변형에 있어서, Ar 은 제거될 수 있다.
제 1 실시예와 관련하여, 먼저, 상술한 바와 같이 SiH4/O2/Ar/CF4/CO2 가예시될 수 있고, CO2가 CO, 또는 C2H2, CH4, C2H6또는 C3H8, 또는 C2H2, CH4, C2H6및 C3H8으로 이루어진 군으로부터 선택된 2 개 이상의 결합으로 대체될 수 있다. 모든 변형에 있어서, Ar 은 제거될 수 있다.
더욱이, 상술한 모든 변형에 있어서, SiH4는 TEOS 로 대체될 수 있고, CF4는 C2F6, C3F8, C4H8또는 CHF3로 대체될 수 있다. 또한, SiF4는 TEFS, FASi-4 또는 FASi-4 로 대체될 수 있다.
상술한 제 2 실시예에 있어서, Ar 가스는 플라즈마 챔버 (13) 에 도입되지만, Ar 가스가 반응 챔버 (12) 로 도입될 수도 있다. 또한, CF4가스, COx가스, 또는 CxHy가스가 플라즈마 챔버 (13) 또는 반응 챔버 (12) 로 도입될 수 있다. 그러나, 반응 챔버 (12) 로의 도입은 플라즈마 챔버 (13) 의 오염을 방지할 수 있으므로, 반응 챔버 (12) 로의 도입이 플라즈마 챔버 (13) 로의 도입보다 바람직하다.
한편, 플라즈마 발생 소오스로서, 13.56 ㎒ 의 단일 주파수를 사용하는 평행판 전극 CVD 방법, 13.56 ㎒와 400 ㎑의 2 개의 주파수를 사용하는 평행판 전극 CVD 방법, 2.45 ㎓의 고밀도 플라즈마를 사용하는 ECR-CVD 방법, 헬리콘 CVD 방법, 또는 13.56 ㎒ RF 바이어스 헬리콘 CVD 방법을 사용하는 것이 가능하다. 그러나, ICP-CVD, ECR-CVD 및 헬리콘 CVD 등의 고밀도 플라즈마 CVD 방법이 바람직하다.
본 발명은 특정한 실시예에 대하여 설명하였다. 그러나, 본 발명은 서술된 구조에 한정되는 것은 아니며 첨부된 청구범위내에서 변경이 가능하다.
상술한 바에 의하면, 본 발명에 의한 방법은, 불소 농도와 탄소 농도를 서로 독립적으로 제어할 수 있는 재료 가스를 선택하고, 소망의 불소 농도와 소망의 탄소 농도를 실현할 수 있는 적절한 값으로 재료 물질의 각각의 유량을 설정하고, 플라즈마에 의해 재료 물질을 활성화하여 P-SiOF 막을 형성하는 것을 특징으로 한다. 이렇게 형성된 P-SiOF 절연막은 소망의 불소 농도와 소망의 탄소 농도를 갖는다. 그러므로, 낮은 유전율, 만족하는 내습성 및 우수한 내열성을 갖는 P-SiOF 절연막을 얻을 수 있다.
이렇게 형성된 절연막이 다층 연결 구조의 반도체 집적 회로에 사용되면, 배선 도체 사이의 누화가 방지될 수 있고 CMP 에 의한 평탄화가 문제없이 수행될 수 있다.

Claims (17)

  1. 농도 범위가 4.0 ×1021atoms/cc 내지 1.0 ×1022atoms/cc 인 불소와 농도 범위가 3.0 ×1019atoms/cc 내지 1.0 ×1021atoms/cc 인 탄소로 도핑된 플라즈마 CVD 실리콘 산화물 절연막.
  2. 불소와 탄소로 도핑된 플라즈마 CVD 실리콘 산화물 절연막을 형성하는 방법에 있어서, 실리콘 수소화물 가스, 산소 가스, 탄화 플루오르 가스, 및 탄소 산화물 가스와 탄화수소 가스로 구성된 군으로부터 선택된 탄소 함유 가스로 이루어진 재료 가스를 챔버에 도입하는 단계, 및 챔버내에 플라즈마를 발생하여 적어도 산소 가스를 활성화하므로써 농도 범위가 4.0 ×1021atoms/cc 내지 1.0 ×1022atoms/cc 인 불소와 농도 범위가 3.0 ×1019atoms/cc 내지 1.0 ×1021atoms/cc 인 탄소로 도핑된 플라즈마 CVD 실리콘 산화물 절연막을 상기 챔버내에 위치하는 기판상에 형성하는 단계를 구비하는 것을 특징으로 하는 플라즈마 CVD 실리콘 산화물 절연막을 형성하는 방법.
  3. 제 2 항에 있어서, 아르곤 가스가 상기 재료 가스에 첨부되는 것을 특징으로 하는 방법.
  4. 제 2 항에 있어서, 상기 탄화 플루오르 가스의 유량과 상기 탄소 함유 가스의 유량은 서로 독립적으로 제어되는 것을 특징으로 하는 방법.
  5. 제 2 항에 있어서, 상기 실리콘 수소화물 가스는 SiH4, Si2H6, TEOS, 및 SiH2Cl2로 이루어진 군으로부터 선택된 하나 또는 동일한 군으로부터 선택된 2 개이상의 결합으로 이루어지고, 상기 탄화 플루오르 가스는 CF4, C2F6, CHF3, C3F8, 및 C4F8로 이루어진 군으로부터 선택된 하나 또는 동일한 군으로부터 선택된 2 개이상의 결합으로 이루어지는 것을 특징으로 하는 방법.
  6. 제 5 항에 있어서, 상기 탄화수소 가스는 C2H2, CH4, C2H6, 및 C3H8로 이루어진 군으로부터 선택된 하나 또는 동일한 군으로부터 선택된 2 개 이상의 결합으로 이루어지는 것을 특징으로 하는 방법.
  7. 제 2 항에 있어서, 상기 플라즈마는 전자 사이클로트론 공진 플라즈마, 유도 결합형 플라즈마 및 헬리콘 플라즈마로 이루어진 군으로부터 선택된 하나인 고밀도 플라즈마인 것을 특징으로 하는 방법.
  8. 제 7 항에 있어서, 상기 플라즈마 CVD 실리콘 산화물 절연막이 형성될 상기 기판에 고주파 바이어스를 인가하는 것을 특징으로 하는 방법.
  9. 불소와 탄소로 도핑된 플라즈마 CVD 실리콘 산화물 절연막을 형성하는 방법에 있어서, 실리콘 플루오르화물 가스, 산소 가스, 및 탄소 산화물 가스 및 탄화수소 가스로 구성된 군으로부터 선택된 탄소 함유 가스로 이루어진 재료 가스를 챔버로 공급하는 단계, 및 상기 챔버내에 플라즈마를 발생하여 적어도 상기 산소 가스를 활성화하여 농도 범위가 4.0 ×1021atoms/cc 내지 1.0 ×1022atoms/cc 인 불소와 농도 범위가 3.0 ×1019atoms/cc 내지 1.0 ×1021atoms/cc 인 탄소로 도핑된 플라즈마 CVD 실리콘 산화물 절연막을 챔버내에 위치한 기판상에 형성하는 단계를 구비하는 것을 특징으로 하는 플라즈마 CVD 실리콘 산화물 절연막을 형성하는 방법.
  10. 제 9 항에 있어서, 상기 재료 가스에 아르곤 가스를 첨가하는 것을 특징으로 하는 방법.
  11. 제 9 항에 있어서, 상기 실리콘 플루오르화물 가스의 유량과 상기 탄소 함유 가스의 유량은 서로 독립적으로 제어되는 것을 특징으로 하는 방법.
  12. 제 9 항에 있어서, 상기 실리콘 플루오르화물 가스는 SiF4, TEFS 및 불소 함유 유기 실리콘 화합물로 이루어진 군으로부터 선택된 하나 또는 동일한 군으로부터 선택된 2 개 이상의 결합으로 이루어진 것을 특징으로 하는 방법.
  13. 제 9 항에 있어서, 상기 재료 가스에 실리콘 수소화물 가스가 첨가되는 것을 특징으로 하는 방법.
  14. 제 13 항에 있어서, 상기 실리콘 플루오르화물 가스는 SiF4, TEOS, 및 불소 함유 유기 실리콘 화합물로 이루어진 군으로부터 선택된 하나 또는 동일한 군으로부터 선택된 2 개이상의 결합으로 이루어지고, 상기 실리콘 수소화물 가스는 SiH4, Si2H6, TEOS, 및 SiH2Cl2로 이루어진 군으로부터 선택된 하나 또는 동일한 군으로부터 선택된 2 개이상의 결합으로 이루어지는 것을 특징으로 하는 방법.
  15. 제 14 항에 있어서, 상기 탄화수소 가스는 C2H2, CH4, C2H6, 및 C3H8로 이루어진 군으로부터 선택된 하나 또는 동일한 군으로부터 선택된 2 개 이상의 결합으로 이루어지는 것을 특징으로 하는 방법.
  16. 제 9 항에 있어서, 상기 플라즈마는 전자 사이클로트론 공진 플라즈마, 유도 결합형 플라즈마 및 헬리콘 플라즈마로 이루어진 군으로부터 선택된 하나인 고밀도 플라즈마인 것을 특징으로 하는 방법.
  17. 제 16 항에 있어서, 상기 플라즈마 CVD 실리콘 산화물 절연막이 형성될 상기 기판에 고주파 바이어스를 인가하는 것을 특징으로 하는 방법.
KR1019970039026A 1996-08-16 1997-08-16 플라즈마cvd절연막및그형성방법 KR100376340B1 (ko)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
JP21628696A JP3355949B2 (ja) 1996-08-16 1996-08-16 プラズマcvd絶縁膜の形成方法
JP96-216286 1996-08-16

Publications (2)

Publication Number Publication Date
KR19980018715A true KR19980018715A (ko) 1998-06-05
KR100376340B1 KR100376340B1 (ko) 2003-08-27

Family

ID=16686161

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1019970039026A KR100376340B1 (ko) 1996-08-16 1997-08-16 플라즈마cvd절연막및그형성방법

Country Status (4)

Country Link
US (2) US6077574A (ko)
JP (1) JP3355949B2 (ko)
KR (1) KR100376340B1 (ko)
GB (1) GB2316535B (ko)

Cited By (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20030001959A (ko) * 2001-06-28 2003-01-08 주식회사 하이닉스반도체 반도체 소자의 제조 방법
KR20030030271A (ko) * 2001-10-09 2003-04-18 엘지전자 주식회사 평판형 플라즈마 화학 기상 증착법 장치
KR20210069175A (ko) * 2019-12-02 2021-06-11 주식회사 원익아이피에스 박막 증착 방법
KR20210073650A (ko) * 2019-12-10 2021-06-21 주식회사 원익아이피에스 갭필 절연막을 포함하는 반도체 소자의 제조방법

Families Citing this family (51)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5998838A (en) * 1997-03-03 1999-12-07 Nec Corporation Thin film transistor
KR19990006064A (ko) * 1997-06-30 1999-01-25 김영환 반도체 소자의 층간절연막 형성방법
US6593247B1 (en) 1998-02-11 2003-07-15 Applied Materials, Inc. Method of depositing low k films using an oxidizing plasma
US6287990B1 (en) 1998-02-11 2001-09-11 Applied Materials, Inc. CVD plasma assisted low dielectric constant films
US6303523B2 (en) 1998-02-11 2001-10-16 Applied Materials, Inc. Plasma processes for depositing low dielectric constant films
US6054379A (en) 1998-02-11 2000-04-25 Applied Materials, Inc. Method of depositing a low k dielectric with organo silane
US6660656B2 (en) 1998-02-11 2003-12-09 Applied Materials Inc. Plasma processes for depositing low dielectric constant films
US6159871A (en) * 1998-05-29 2000-12-12 Dow Corning Corporation Method for producing hydrogenated silicon oxycarbide films having low dielectric constant
US6667553B2 (en) 1998-05-29 2003-12-23 Dow Corning Corporation H:SiOC coated substrates
US6147009A (en) * 1998-06-29 2000-11-14 International Business Machines Corporation Hydrogenated oxidized silicon carbon material
JP2000277298A (ja) * 1999-03-25 2000-10-06 Shimadzu Corp Ecrプラズマ装置
US6251770B1 (en) 1999-06-30 2001-06-26 Lam Research Corp. Dual-damascene dielectric structures and methods for making the same
FR2795746B1 (fr) * 1999-07-01 2001-07-27 Commissariat Energie Atomique Procede de depot d'un materiau dielectrique a base de silicium sur du cuivre
US6391795B1 (en) * 1999-10-22 2002-05-21 Lsi Logic Corporation Low k dielectric composite layer for intergrated circuit structure which provides void-free low k dielectric material between metal lines while mitigating via poisoning
US6531398B1 (en) 2000-10-30 2003-03-11 Applied Materials, Inc. Method of depositing organosillicate layers
JP2002151506A (ja) * 2000-10-30 2002-05-24 Applied Materials Inc 気相堆積方法及び装置
US6709721B2 (en) 2001-03-28 2004-03-23 Applied Materials Inc. Purge heater design and process development for the improvement of low k film properties
KR100926722B1 (ko) * 2001-04-06 2009-11-16 에이에스엠 저펜 가부시기가이샤 반도체 기판상의 실록산 중합체막 및 그 제조방법
US7074489B2 (en) 2001-05-23 2006-07-11 Air Products And Chemicals, Inc. Low dielectric constant material and method of processing by CVD
US6716770B2 (en) 2001-05-23 2004-04-06 Air Products And Chemicals, Inc. Low dielectric constant material and method of processing by CVD
US6486082B1 (en) 2001-06-18 2002-11-26 Applied Materials, Inc. CVD plasma assisted lower dielectric constant sicoh film
KR20030002993A (ko) * 2001-06-29 2003-01-09 학교법인 포항공과대학교 저유전체 박막의 제조방법
US7067440B1 (en) 2001-08-24 2006-06-27 Novellus Systems, Inc. Gap fill for high aspect ratio structures
JP3749162B2 (ja) * 2001-12-05 2006-02-22 キヤノン販売株式会社 半導体装置の製造方法
JP3915697B2 (ja) * 2002-01-15 2007-05-16 東京エレクトロン株式会社 成膜方法及び成膜装置
US6936309B2 (en) 2002-04-02 2005-08-30 Applied Materials, Inc. Hardness improvement of silicon carboxy films
US6815373B2 (en) 2002-04-16 2004-11-09 Applied Materials Inc. Use of cyclic siloxanes for hardness improvement of low k dielectric films
KR20030085769A (ko) * 2002-05-01 2003-11-07 주식회사 피에스티 화학기상 증착장치 및 증착방법
US20040091717A1 (en) * 2002-11-13 2004-05-13 Novellus Systems, Inc. Nitrogen-free fluorine-doped silicate glass
US7122485B1 (en) * 2002-12-09 2006-10-17 Novellus Systems, Inc. Deposition profile modification through process chemistry
US7344996B1 (en) 2005-06-22 2008-03-18 Novellus Systems, Inc. Helium-based etch process in deposition-etch-deposition gap fill
US7163896B1 (en) 2003-12-10 2007-01-16 Novellus Systems, Inc. Biased H2 etch process in deposition-etch-deposition gap fill
US7476621B1 (en) 2003-12-10 2009-01-13 Novellus Systems, Inc. Halogen-free noble gas assisted H2 plasma etch process in deposition-etch-deposition gap fill
US7217658B1 (en) 2004-09-07 2007-05-15 Novellus Systems, Inc. Process modulation to prevent structure erosion during gap fill
US7176039B1 (en) 2004-09-21 2007-02-13 Novellus Systems, Inc. Dynamic modification of gap fill process characteristics
US7381451B1 (en) 2004-11-17 2008-06-03 Novellus Systems, Inc. Strain engineering—HDP thin film with tensile stress for FEOL and other applications
US7851030B2 (en) * 2005-01-27 2010-12-14 United Microelectronics Corp. Method of reducing number of particles on low-k material layer
US7211525B1 (en) 2005-03-16 2007-05-01 Novellus Systems, Inc. Hydrogen treatment enhanced gap fill
US7482245B1 (en) 2006-06-20 2009-01-27 Novellus Systems, Inc. Stress profile modulation in STI gap fill
JP4807195B2 (ja) * 2006-09-08 2011-11-02 旭硝子株式会社 低屈折率膜の製膜方法および低屈折率膜を有する物品
US8133797B2 (en) * 2008-05-16 2012-03-13 Novellus Systems, Inc. Protective layer to enable damage free gap fill
US9490368B2 (en) * 2010-05-20 2016-11-08 Semiconductor Energy Laboratory Co., Ltd. Semiconductor device and manufacturing method of the same
CN103843146B (zh) * 2011-09-29 2016-03-16 株式会社半导体能源研究所 半导体器件
SG11201505088UA (en) 2011-09-29 2015-08-28 Semiconductor Energy Lab Semiconductor device
DE112012004307B4 (de) 2011-10-14 2017-04-13 Semiconductor Energy Laboratory Co., Ltd. Halbleitervorrichtung
KR20130040706A (ko) * 2011-10-14 2013-04-24 가부시키가이샤 한도오따이 에네루기 켄큐쇼 반도체 장치 및 반도체 장치의 제작 방법
TWI522490B (zh) 2012-05-10 2016-02-21 應用材料股份有限公司 利用微波電漿化學氣相沈積在基板上沈積膜的方法
CN104485272A (zh) * 2014-12-19 2015-04-01 中国科学院嘉兴微电子仪器与设备工程中心 一种应用于等离子体设备的腔室结构及等离子体设备
US20180024276A1 (en) * 2016-07-21 2018-01-25 Corning Incorporated Optical elements with stress-balancing coatings
JP7398915B2 (ja) * 2019-10-01 2023-12-15 東京エレクトロン株式会社 基板処理方法、半導体デバイスの製造方法、及び、プラズマ処理装置
CN114203625A (zh) * 2020-09-02 2022-03-18 长鑫存储技术有限公司 半导体器件及其制造方法

Family Cites Families (10)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH06105691B2 (ja) * 1988-09-29 1994-12-21 株式会社富士電機総合研究所 炭素添加非晶質シリコン薄膜の製造方法
WO1992020833A1 (en) * 1991-05-17 1992-11-26 Lam Research Corporation A PROCESS FOR DEPOSITING A SIOx FILM HAVING REDUCED INTRINSIC STRESS AND/OR REDUCED HYDROGEN CONTENT
KR0131439B1 (ko) * 1992-11-24 1998-04-14 나카무라 타메아키 반도체장치 및 그 제조방법
JPH0790589A (ja) * 1993-09-24 1995-04-04 G T C:Kk シリコン酸化膜の形成方法
JP3152829B2 (ja) * 1994-01-18 2001-04-03 株式会社東芝 半導体装置の製造方法
JP2748864B2 (ja) * 1994-09-12 1998-05-13 日本電気株式会社 半導体装置及びその製造方法及び非晶質炭素膜の製造方法及びプラズマcvd装置
US5563105A (en) * 1994-09-30 1996-10-08 International Business Machines Corporation PECVD method of depositing fluorine doped oxide using a fluorine precursor containing a glass-forming element
US5571576A (en) * 1995-02-10 1996-11-05 Watkins-Johnson Method of forming a fluorinated silicon oxide layer using plasma chemical vapor deposition
JP3371188B2 (ja) * 1995-09-22 2003-01-27 ソニー株式会社 絶縁膜の成膜方法
JP3410957B2 (ja) * 1998-03-19 2003-05-26 株式会社東芝 半導体装置及びその製造方法

Cited By (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20030001959A (ko) * 2001-06-28 2003-01-08 주식회사 하이닉스반도체 반도체 소자의 제조 방법
KR20030030271A (ko) * 2001-10-09 2003-04-18 엘지전자 주식회사 평판형 플라즈마 화학 기상 증착법 장치
KR20210069175A (ko) * 2019-12-02 2021-06-11 주식회사 원익아이피에스 박막 증착 방법
KR20210073650A (ko) * 2019-12-10 2021-06-21 주식회사 원익아이피에스 갭필 절연막을 포함하는 반도체 소자의 제조방법

Also Published As

Publication number Publication date
JP3355949B2 (ja) 2002-12-09
JPH1064899A (ja) 1998-03-06
US6340843B1 (en) 2002-01-22
US6077574A (en) 2000-06-20
GB2316535B (en) 2001-08-01
GB9717457D0 (en) 1997-10-22
GB2316535A (en) 1998-02-25
KR100376340B1 (ko) 2003-08-27

Similar Documents

Publication Publication Date Title
US6077574A (en) Plasma CVD process for forming a fluorine-doped SiO2 dielectric film
US6632478B2 (en) Process for forming a low dielectric constant carbon-containing film
US7312524B2 (en) Method for fabricating an ultralow dielectric constant material as an intralevel or interlevel dielectric in a semiconductor device and electronic device made
US6042901A (en) Method for depositing fluorine doped silicon dioxide films
US6331494B1 (en) Deposition of low dielectric constant thin film without use of an oxidizer
Nguyen High-density plasma chemical vapor deposition of silicon-based dielectric films for integrated circuits
US6991959B2 (en) Method of manufacturing silicon carbide film
GB2326886A (en) Process for depositing nitrided fluorine doped SiOx films
US20020048969A1 (en) Method of forming film, method of manufacturing semiconductor device, and film forming apparatus
US20030049388A1 (en) Silicon carbide deposited by high density plasma chemical-vapor deposition with bias
JP4633348B2 (ja) 積層構造体の形成方法及び絶縁膜の集積方法
US6753258B1 (en) Integration scheme for dual damascene structure
KR100292393B1 (ko) 반도체장치및그제조방법
US20070237970A1 (en) Diffusion barrier with low dielectric constant and semiconductor device containing same
US7098129B2 (en) Interlayer insulation film used for multilayer interconnect of semiconductor integrated circuit and method of manufacturing the same
US6376391B1 (en) Pulsed or tailored bias for filling gaps with low dielectric constant material
US20020142104A1 (en) Plasma treatment of organosilicate layers
KR100394501B1 (ko) 층간 절연막의 형성 방법 및 형성 장치, 및 반도체 장치
JP2005045058A (ja) 銅拡散バリア性絶縁膜の形成方法およびその絶縁膜
JP3440714B2 (ja) シリコン化合物系絶縁膜の成膜方法
JPH1098102A (ja) 半導体装置及び半導体装置の製造方法
KR19980046316A (ko) 반도체 소자의 층간 절연막 형성방법

Legal Events

Date Code Title Description
A201 Request for examination
E902 Notification of reason for refusal
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right
N231 Notification of change of applicant
GRNT Written decision to grant
G170 Re-publication after modification of scope of protection [patent]
LAPS Lapse due to unpaid annual fee