TWI522490B - 利用微波電漿化學氣相沈積在基板上沈積膜的方法 - Google Patents

利用微波電漿化學氣相沈積在基板上沈積膜的方法 Download PDF

Info

Publication number
TWI522490B
TWI522490B TW102114265A TW102114265A TWI522490B TW I522490 B TWI522490 B TW I522490B TW 102114265 A TW102114265 A TW 102114265A TW 102114265 A TW102114265 A TW 102114265A TW I522490 B TWI522490 B TW I522490B
Authority
TW
Taiwan
Prior art keywords
substrate
gas
precursor
microwave
processing chamber
Prior art date
Application number
TW102114265A
Other languages
English (en)
Other versions
TW201350612A (zh
Inventor
泰景 元
尙美 卓
崔壽永
範洙 朴
任東吉
約翰 懷特
喬瑟 庫達
Original Assignee
應用材料股份有限公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 應用材料股份有限公司 filed Critical 應用材料股份有限公司
Publication of TW201350612A publication Critical patent/TW201350612A/zh
Application granted granted Critical
Publication of TWI522490B publication Critical patent/TWI522490B/zh

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02612Formation types
    • H01L21/02617Deposition types
    • H01L21/0262Reduction or decomposition of gaseous compounds, e.g. CVD
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/40Oxides
    • C23C16/401Oxides containing silicon
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45563Gas nozzles
    • C23C16/45578Elongated nozzles, tubes with holes
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/50Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges
    • C23C16/511Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges using microwave discharges
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/54Apparatus specially adapted for continuous coating
    • C23C16/545Apparatus specially adapted for continuous coating for coating elongated substrates
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02164Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon oxide, e.g. SiO2
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02205Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
    • H01L21/02208Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si
    • H01L21/02211Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound being a silane, e.g. disilane, methylsilane or chlorosilane
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/02274Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition in the presence of a plasma [PECVD]

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • General Chemical & Material Sciences (AREA)
  • Manufacturing & Machinery (AREA)
  • General Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Plasma & Fusion (AREA)
  • Inorganic Chemistry (AREA)
  • Chemical Vapour Deposition (AREA)

Description

利用微波電漿化學氣相沈積在基板上沈積膜的方法
這裡敘述的技術是關於使用微波沈積的薄膜沈積。
含矽(Si)的膜係廣泛用於半導體工業之各種應用。含矽膜包括矽膜(silicon film),例如矽氧化物(SiOx)、多晶矽(poly-Si)和磊晶矽、微晶矽(μc-Si)、非晶矽(a-Si)、矽鍺(SiGe)、矽鍺碳(SiGeC)、碳化矽(SiC)、氮化矽(SiN)、碳氮化矽(SiCN)、及碳氧化矽(SiCO)。含矽膜可以是藉由電漿製程來形成。
一般來說,電漿處理包含將一基板安置在設置於一真空腔室中之一支撐件(通常以承載座(susceptor)或加熱器為名被提及)上,以及在鄰接基板之上曝露表面處形成電漿。電漿的形成是藉由將一或多種處理氣體引入至腔室中,和以一電場激發氣體,以使得氣體解離而帶電或形成中性粒子。電漿可電感式和/或電容式地、或藉由使用微波(MicroWave,MW)能量來產生,電感式例如是使用一電感的射頻線圈,電容式例如是使用平行的板電極。
許多電漿製程採用電容耦合式電漿(Capacitance Coupled Plasma,CCP)來形成活性物(activated species),以沈積於基板上。然而,矽烷(SiH4)的爆炸行為和四氟化矽(SiF4)的侵蝕行為要求嚴格控制處理條件,和小心處理製程流出物。再者,從SiF4沈積SiOx膜要求高的沈積溫度,其與要求低熱預算的先進裝置處理係背道而馳。
因此,需要可以提供較低溫而具有較高沈積速率和較低缺陷比例的薄膜沈積的方法。
這裡敘述的實施例大致上是關於矽氧化物膜在基板上的沈積。在一實施例中,一種在基板上沈積膜的方法,可包括將一基板安置在一處理腔室中,將處理腔室維持在低於330℃的一溫度,將包括一含氟矽前驅物(fluorine-containing silicon precursor)及一氧化性前驅物(oxidizing precursor)的一反應氣體流入至處理腔室中,其中氧化性前驅物係選自包括氧氣(O2)、臭氧(O3)和一氧化二氮(N2O)的群組,且其中含氟矽前驅物比氧化性前驅物的氣體比例係介於10:1和47:1之間,施加一微波功率,以自反應氣體形成一微波電漿,其中反應氣體係在形成微波電漿前混合,以及沈積一矽氧化物層於基板之曝露表面的至少一部分上。
在另一實施例中,一種在基板上沈積膜的方法,可包括將一基板安置在一處理腔室中,將處理腔室維持在低於 200℃的一溫度,將包括一矽烷前驅物(silane precursor)及一氧化性前驅物的一反應氣體流入至處理腔室中,其中氧化性前驅物係選自包括O2、O3和N2O的群組,且其中矽烷前驅物比氧化性前驅物的氣體比例係介於7:1和33:1之間,施加一微波功率,以自反應氣體形成一微波電漿,其中反應氣體係在形成微波電漿前混合,以及沈積一矽氧化物層於基板之曝露表面的至少一部分上。
在另一實施例中,一種在基板上沈積膜的方法,可包括將一基板安置在一處理腔室中,其中處理腔室係用以從至少二個位置傳送微波能量,將處理腔室維持在低於200℃的一溫度,混合SiH4和O2以形成一處理氣體,其中SiH4比O2的氣體比例係介於7:1和33:1之間,將處理氣體流入至處理腔室中,施加一微波功率至處理氣體,以形成一微波電漿,以及沈積一矽氧化物層於基板之曝露表面的至少一部分上。
為了能夠理解本發明上述特徵的細節,可參照實施例,得到對於簡單總括於上之本發明更詳細的敘述,實施例的一部分係描繪於所附圖式裡。
然而需注意,所附的圖式僅僅描繪出本發明的典型實施例,因此其不對本發明的範圍造成限制,本發明可允許其他等效的實施例。
為了幫助理解,在可能的情況下,係使用相同的元件符號來指示圖式中共通的相同元件。能夠預期一實施例之元 件、要素可能被有利地使用於其他實施例裡,而未特別引述。
100‧‧‧處理腔室
102‧‧‧基板
104‧‧‧腔室壁
106‧‧‧腔室底部
108‧‧‧腔室蓋
109‧‧‧真空系統
110‧‧‧基板承載座
112‧‧‧長條閥開口
114‧‧‧致動器
116‧‧‧舉升銷
117‧‧‧第一端
120‧‧‧氣體饋入源
121‧‧‧氣體饋入線
122A、122B‧‧‧氣體源
123‧‧‧支撐元件
124‧‧‧箭頭
126‧‧‧同軸微波源
128‧‧‧微波天線
130‧‧‧耦接機構
132‧‧‧微波源
196‧‧‧冷卻液體流管
198‧‧‧電阻加熱器
199‧‧‧處理空間
200‧‧‧方法
202、204、206、208、210‧‧‧步驟
第1圖是根據一實施例之一化學氣相沈積(Chemical Vapor Deposition,CVD)腔室的示意圖。
第2圖是根據一實施例之沈積一含矽層的方法的流程圖。
以下係敘述使用微波沈積技術在基板上沈積含矽層的方法。使用微波電漿,可進行各種製程,例如可製造SiOx。這些製程可以各種來源氣體進行,例如SiH4、SiF4、N2O和O2。相較於其他電漿沈積技術,例如電容耦合式電漿輔助化學氣相沈積(Capacitance Coupled Plasma-Plasma Enhanced Chemical Vapor Deposition,CCP-PECVD),可使用微波沈積技術,例如微波電漿輔助化學氣相沈積(MicroWave Plasma Enhanced Chemical Vapor Deposition,MW-PECVD),來長成較佳的SiOx膜品質。
依照膜的需求,本發明所屬技術領域之通常知識者可選擇恰當的來源氣體化學物,以控制各種條件。電漿可自一或多種上述的來源氣體形成,並用以藉由一CVD製程在基板上沈積一含矽層,例如藉由一MW-PECVD製程沈積一SiOx層。
相較於電容耦合式電漿(Capacitance Coupled Plasma,CCP)-矽氧(SiO)沈積製程,藉由使用微波電漿,可以較大之製程窗口(process window)在低溫使用各種來源氣體製造各種 SiO膜。以下將參照所附圖式對於本發明之實施例作更清楚的敘述。
以下敘述的實施例可實施於能夠從應用材料股份有限公司之位於加州聖克拉拉市之的子公司美商業凱科技股份有限公司(AKT America,Inc.)取得的電漿輔助化學氣相沈積(Plasma Enhanced Chemical Vapor Deposition,PECVD)腔室。可以理解,本發明也可適用於其他腔室,包括自其他製造商處取得的設備。
第1圖是根據本發明一實施例之同軸微波電漿輔助CVD腔室的剖面示意圖。一處理腔室100係用以容許一或多層膜被沈積至一基板102上,而無需將基板102從處理腔室100移出。雖然以下敘述的進行將參照一微波電漿輔助CVD腔室,特別是其中微波源和氣體饋入源是設置在用於水平沈積製程之一水平安置的基板承載座之上的一水平式腔室,能夠理解本發明也可以應用於那些具有垂直貼附至處理腔室之腔室壁的微波線源、和用於支撐一垂直形態之基板之垂直安置的基板承載座的垂直式沈積腔室。此外,應該注意到圖式和對應敘述內容僅為例示性質,敘述於單一實施例中之任何個別硬體特徵可與敘述於說明書裡的其他實施例之任一者相結合。
基板102可為金屬、塑膠、有機材料、矽、玻璃、石英或聚合物材料等等的一薄片。在一實施例中,基板102是一玻璃基板,其上將沈積一含矽之介電質。在其他實施例中,基板102可為摻雜或以其他方式調整的玻璃基板。基板102可具有大 於約1平方公尺的表面積,例如大於約2平方公尺。本發明可用於含矽層(例如SiOx)在大尺寸基板上的沈積,大尺寸基板具有約15,600平方公分或更大之一平面表面積,例如約90,000平方公分的平面表面積。處理腔室100可用以在基板102上沈積各種材料,包括但不限於介電材料(例如二氧化矽(SiO2)、矽氧氮化物(SiOxNy)、其衍生物或其組合)、半導體材料(例如Si和其摻雜物)、阻隔材料(barrier material)(例如矽氮化物(SiNx)、SiOxNy或其衍生物)、或由含矽介電層鈍化之非晶矽或微晶矽薄膜電晶體(Thin Film Transistor,TFT)。藉由處理腔室100形成或沈積至大面積基板上的介電材料和半導體材料的具體範例,可包括但不限於磊晶矽、多晶矽、非晶矽、微晶矽、矽鍺、鍺、二氧化矽、矽氧氮化物、氮化矽、其摻雜物(例如硼(B)、磷(P)或砷(As))、其衍生物或其組合。處理腔室100也用以接受如氬氣(Ar)、氫氣(H2)、氮氣(N2)、氦氣(He)或其組合之氣體,用作為沖洗氣體(purge gas)或載體氣體(carrier gas)(例如Ar、H2、N2、He、其衍生物或其組合)。
處理腔室100大致上包括複數個腔室壁104、一腔室底部106及一腔室蓋108,其定義一處理空間(process volume)199於其中。處理空間199係耦接至一真空系統109,並具有一基板承載座110設置於其中。處理空間199係通過一長條閥開口112與外部相通,如此,基板102可被移入和移出處理腔室100。腔室壁104、腔室底部106及腔室蓋108可由單一塊鋁或其他相容於電漿處理的材料製造而成。腔室蓋108係由腔室壁 104所支撐,並可被移除以維修保養處理腔室100。基板承載座110可被耦接至一致動器114,以升高或降低基板承載座110。
基板承載座110可選擇性地包括加熱和/或冷卻元件,以將基板承載座110維持在想要的溫度。舉例來說,基板承載座110可包括電阻加熱器198和/或冷卻液體流管196,用以在沈積過程中控制設置在基板承載座110上的基板102的溫度。
舉升銷116係可移動地通過基板承載座110而設置,以在基板102放置至基板承載座110上之前和從基板承載座110上移除之後可控制地支撐基板102。舉升銷116典型地係由陶瓷或陽極氧化鋁構成。一般來說,舉升銷116具有第一端117,當舉升銷116是處於一正常位置(亦即相對於基板承載座110收回)時,第一端117係實質上與基板承載座110的上表面齊平或相對於基板承載座110的上表面略呈凹陷。第一端117係大致上呈喇叭狀或以其他方式擴大,以避免舉升銷116通過開孔掉落。舉升銷116與腔室底部106接觸,並從基板承載座110的上表面移開,從而以一種與基板承載座110間隔開來的關係放置基板102。在一實施例中,係使用各種長度的舉升銷116,因此其與腔室底部106接觸並在不同的時間被致動。
根據本發明之處理腔室100的主要組件可包括一氣體饋入源120及一同軸微波源126等等。同軸微波源126可包括一或多個微波天線128,微波天線128係平行於氣體饋入源120之長軸方向。氣體饋入源120係可位於同軸微波源126和基板102 之間。選擇性地,一第二氣體饋入源(未示)係可位於同軸微波源126之上並接近處理腔室100的頂部(例如腔室蓋108),以改善沈積速率。
氣體饋入源120可包括氣體饋入線121的一陣列,氣體饋入線121係用以可控制地從氣體源122A和/或氣體源122B接受一或多種前驅物氣體及載體氣體。氣體饋入線121可為平面配置,並且可以是可移動地由例如3個支撐元件123所支撐。支撐元件123可由任何適當的材料製造而成,例如電性絕緣材料。在一例中,各個支撐元件123可具有至少3個緊固機構(未示),例如螺帽和螺栓組件、或任何其他適當的手段,其沿著支撐元件123的長設置,並用以支持固定氣體饋入線121的一部分。支撐元件123的形態可依所使用之氣體饋入線121的應用或數目而改變。只要此一形態並未嚴重影響膜的均勻性和/或來自微波天線128的微波功率,任何其他支撐機構的使用是可以想見的。在某些實施例中,氣體饋入線121可通過腔室壁104來支撐,而不使用支撐元件123。
雖然在這裡並未詳細地討論,可以預期支撐元件123是可垂直(或水平)調整的,因此可獲得較窄或較寬的同軸微波源126和氣體饋入源120之間間隔。也可預期氣體饋入源120的位置可依製程參數在垂直軸上調整成較接近或遠離基板,以獲得想要的薄膜性質。
氣體饋入線121的陣列係配置成平行且在長軸方向 彼此間隔,且多條氣體饋入線121之各者具有面對基板102的穿孔(未示)。開孔係以實質上固定的間距沿著氣體饋入線121的長配置,以提供實質上覆蓋基板102上表面之實質上均勻的氣流。氣體饋入源120可包括約3條到約20條氣體饋入線121,依基板尺寸而定。已觀察到單一條氣體饋入線121可在法線方向以大約10%的非均勻性覆蓋約100公釐的區域。對於具有730公釐×920公釐的尺寸的一塊基板,氣體饋入源120可具有10條氣體饋入線121。氣體饋入線121之間(亦即一氣體饋入線到緊鄰之下一條氣體饋入線)的距離可依應用而在約50公釐和約200公釐之間改變,例如介於約100公釐和約130公釐之間,舉例來說,約110公釐。各條氣體饋入線121的長度可依基板102的尺寸而改變。各條氣體饋入線121的長度可高達約2.5公尺,亦即長於基板102的直徑,以完全覆蓋所要處理的基板。
氣體饋入線121的陣列可從氣體源122A和/或氣體源122B接受一或多種前驅物氣體及載體氣體。前驅物氣體可依應用而改變。在想要含矽介電層的例子裡,例如SiH4和氨氣(NH3)的前驅物氣體及例如Ar的載體氣體,可在進入氣體饋入線121之前,一起或分別地從一氣體供應器各自提供到氣體源122A和122B。由於膜厚係與氣體饋入的位置極度相關,亦即,氣體饋入側總是因為在該側較強的氣流而造成較高的沈積速率,係相信從氣體饋入線121的二側皆饋入氣體會改善膜厚的均勻性。
同軸微波源126可位在氣體饋入源120和處理腔室 100的頂部(例如腔室蓋108)之間。同軸微波源126一般包括微波天線128和連接至微波天線128的一耦接機構130。同軸微波源126可被耦接至地面。在一實施例中,同軸微波源126可為平面配置,其中微波天線128係配置成平行且在長軸方向彼此間隔。雖然只示出一個微波天線128,可以預期微波天線128的數目可依基板的尺寸而增加。對於具有730公釐×920公釐的尺寸的一塊基板,同軸微波源126可具有4個微波天線128。在各種實施例裡,相鄰微波天線128之間在Y軸上的距離可為約100公釐到約500公釐,舉例來說,介於約180公釐和約350公釐之間,例如230公釐。較寬的間隔可能在微波產生器之間的基板表面區域上,造成一傾斜向下的輪廓(dip profile)和不均勻的薄膜性質。二個微波天線128之各者,可分別設置在二條相鄰氣體饋入線121之上和之間。已觀察到單一條微波天線128可在法線方向以大約10%的非均勻性覆蓋約260公釐的區域。
各個微波天線128的長度可等於或長於氣體饋入線121。舉例來說,各個微波天線128的長度可高達約3公尺。在本發明的一些實施例中,同軸微波源126係可沿著垂直於基板102之X軸的一水平方向移動。為了處理大的基板,可進行此種作法。舉例來說,如果基板具有16呎長和3-4呎寬的尺寸,同軸微波源126可能需要沿著基板的長被移動。不過,如果基板具有16呎長和16呎寬的尺寸,同軸微波源126可能需要沿著基板的長和寬二者被移動。
一微波源132係連接至同軸微波源126,並可將微波輸入至耦接機構130中。在製程的處理過程中,例如沈積製程的處理過程中,微波沿著微波天線128行進,並因為將電磁能轉換成在處理空間內點燃電漿的電漿能量而經歷高衰減。由電漿所產生的帶自由基的物質使得來自氣體饋入線121的反應性前驅物解離,反應性前驅物係導向基板102(如箭頭124所指示),且典型地以層流形式徹底地橫跨基板表面而均勻的分佈,以在基板承載座110所支持的基板102上形成膜。在沈積過程中,腔室內的壓力係由一真空系統109控制。
第2圖是根據一實施例之沈積一含矽層的方法的流程圖。用於處理基板的方法200可包括將一基板安置在一處理腔室中,如步驟202。此一處理腔室的設計可類似於上述例示性的實施例。處理腔室之腔體和相關組件的尺寸並未受到限制,且一般是成比例地大於要被處理的基板的尺寸。
基板可由任何適當的基板材料構成。適當的基板材料可包括但不限於玻璃、石英、藍寶石、鍺、塑膠或其複合材料。此外,基板可為相對剛性的基板或可撓性的基板。再者,可以處理任何適當的基板尺寸。適當的基板尺寸的範例包括具有約2000平方公分或更大之表面積的基板,例如約4000平方公分或更大,舉例來說,約10000平方公分或更大。在一實施例中,可處理具有約50000平方公分或更大之表面積的基板。以下敘述的實施例是關於一5500平方公分的基板。
方法200也可包括將處理腔室維持在低於400℃的一溫度,如步驟204。在高於400℃進行的沈積會導致SiO自發性地轉化成Si和SiO2,因此干擾沈積層的特性。氫化矽和鹵化矽的CCP沈積要求相對高的溫度,以達到有效的沈積和低的針孔(pinhole)密度。相較於在採用CCP或電感式耦接電漿的CVD製程中製造出來的膜,微波電漿的使用允許高品質的膜在相對低的溫度沈積。
藉由使用較低的溫度,例如介於100℃和350℃之間的溫度,於較佳實施例中介於130℃和200℃之間的溫度,可從微波活化前驅物沈積大致上無氫且無針孔的一個層,而避免使用矽烷(SiH4)和一些氧化性前驅物的一些有害作用。當使用四氟化矽(SiF4)時,因為較高品質和較高沈積速率的SiOx可在介於200℃和350℃之間的溫度被沈積,例如從230℃到330℃,溫度最好比較高。
方法200也可包括將包含一含矽前驅物及一氧化性前驅物的一反應氣體流入至處理腔室中,如步驟206。反應氣體包括一含矽前驅物和一氧化性前驅物的一混合物。反應氣體混合物也可包括鈍氣,例如惰性氣體,以維持一特定的沈積速率、壓力或流量(flow rate)。典型的鈍氣可包括氬氣(Ar)、氖氣(Ne)、氦氣(He)、氪氣(Kr)或氙氣(Xe)。
含矽前驅物可包括氫化矽、鹵化矽或其組合,氫化矽例如是SiH4,鹵化矽例如是SiF4。含矽前驅物係可流入至一處 理腔室中,以沈積一SiOx膜。在一例示性的腔室裡,氫化矽(例如SiH4)和鹵化矽(例如SiF4)的流量可從100sccm到500sccm,舉例來說,從150sccm到450sccm的流量,例如350sccm的一流量。在使用5500平方公分的基板的情況下,所述流量為從0.018sccm/cm2到0.091sccm/cm2,舉例來說,從0.027sccm/cm2到0.082sccm/cm2的流量,例如0.064sccm/cm2的流量。
氧化性前驅物可包括二個原子的氧氣(O2)、臭氧(O3)、一氧化二氮(N2O)或其他氧化性氣體。氧化性前驅物也可隨著氫化矽和鹵化矽流入至處理腔室。在一例示性的腔室裡,例如以上敘述者,當與氫化矽一起沈積時,O2、O3或N2O的流量可從2000到5000sccm,例如3500sccm的一流量。在另一實施例中,當與鹵化矽一起沈積時,O2或O3的流量可從5000到7000sccm,例如5500sccm的一流量。在另一實施例中,當與鹵化矽一起沈積時,N2O的流量可從3000到7000sccm,例如4000sccm的一流量。
雖然反應混合物可與例示性之腔室有關地如以上敘述而變化,SiH4比氧化性前驅物的比例可從7:1到33:1。在另一實施例中,SiF4比氧化性前驅物的比例可從10:1到47:1,例如11:1到47:1,舉例來說,23:1。
相較於電容耦合式電漿,反應氣體的壓力可維持在相對的低,其實施例是從100到300毫托耳(mTorr),例如100mTorr的壓力。如前面所討論的,一些實施例可能要求使用鈍氣, 例如一惰性氣體,以配合特定壓力、流量和比例參數。
方法200可進一步包括施加一微波功率,以自反應氣體形成一微波電漿,如步驟208。轉化成電漿的反應氣體可以是以氣態混合物的狀態或以個別成分的狀態被轉化。舉例來說,氧化性前驅物可被轉化成電漿,含矽前驅物係在與基板接觸前加入此一電漿中。更進一步的實施例可包括鈍氣,例如惰性氣體,其使用一微波功率源轉化成電漿。含矽前驅物及氧化性前驅物可接著被加入此一電漿中,形成活化的反應氣體。
微波功率可具有一相對高的功率,例如介於3000瓦(W)和5000瓦之間的一微波功率,舉例來說,4000瓦的一微波功率。微波功率可由一或多個天線所引導,例如6個天線。可安置天線以將電漿維持到其抵達基板為止。
方法200也可包括沈積一矽氧化物層於基板之曝露表面的至少一部分上,如步驟210。被沈積的矽氧化物SiOx可包括SiO2、SiO或其組合。SiOx層的形成可藉由沈積因子來控制,例如溫度、壓力、反應氣體的流量以及所施加的微波功率量等因子。
特別重要的是SiO對於溫度的敏感度。非受限於理論,不過相信SiO在高於400℃的溫度會進行從SiO到Si和SiO2的自發性轉化。因此,在沈積SiOx層時盡可能地維持低的溫度對於其特性的維持來說是重要的,此一低的溫度例如是介於100℃和350℃之間的溫度,於較佳實施例中低於200℃。
可以由這裡敘述的實施例預期的SiOx沈積速率,可落在從每分鐘630埃(Å)到截至每分鐘2200埃為止的範圍內,依反應氣體的組成、使用的溫度、施加至反應氣體的微波功率及流量等因子而定。實驗證據顯示針孔的形成不高於每平方公釐28個,相對地,使用CCP沈積的SiOx層的最大值是每平方公釐約400個。
重要的是注意到,對於以較高沈積速率沈積之一較佳品質的膜,這裡敘述的比例反映了反應氣體令人注意的較低的量。沈積層係具有較少的針孔形成並具有較低的氫含量,且沈積層係在較低的溫度沈積。另外,由於SiH4和O2或O3之間的放熱反應,使用這些反應物的SiOx的CCP沈積是不令人偏好的,並且,如果使用這樣的CCP沈積,必須小心地加以控制。在較低的溫度使用微波電漿減少SiH4和O2或O3之間的揮發性反應(volatile reaction)的威脅,且相較於過去所能進行者,允許了不同的製程化學。
非受限於理論,不過氫濃度的減少對於在基板上形成各種特徵結構來說是重要的,這些特徵結構例如是閘極、蝕刻停止層和間隔物。氫是SiOx裡一種普遍存在的雜質,且被認為是氧化物中固定電荷的原因所在。氫在處理過程中的釋放被認為是缺陷形成的原因,這樣的缺陷例如是會導致本質介電崩潰(intrinsic dielectric breakdown)的陷阱產生。因此,氫濃度的減少被認為係對於避免這類缺陷來說是重要的。
關於氫產生的缺陷,針孔作為氫流入至形成在基板上的層之中的物理入口通道。因此,減少氫濃度和減少氫進入至SiOx膜中的路徑,此二者都是重要的。由於微波電漿更為密集,形成於層中的針孔數目係減少,從而避免特徵結構中有氫所導致的缺陷。
在另一實施例中,電漿可在一遠端的腔室中使用一微波功率源來形成。微波功率源可傳送微波功率以形成微波電漿。微波功率可以介於3500瓦和4500瓦之間,例如4000瓦。微波功率可使用一或多個天線來引導。微波功率源係可單獨與遠端電漿源耦接,或與處理腔室和遠端電漿源二者耦接。
微波電漿可使用微波功率和反應氣體或其組成來形成。舉例來說,微波電漿可為含矽前驅物、氧化性前驅物和鈍氣(選擇性)的一混合物,由一微波功率源活化。另外的範例可包括從含矽前驅物、氧化性前驅物或鈍氣(選擇性)的一或多者之任何組合形成電漿。一旦被活化,殘留的前驅物可被加入至活化氣體中。殘留的前驅物可在遠端電漿源或處理腔室的任一者被加入至活化氣體中。殘留的前驅物可在活化氣體處於電漿狀態時、或在已從電漿狀態被極速冷卻以形成一活化反應氣體之後,被加入至活化氣體中。
活化反應氣體或其組成可被流入至處理腔室中。另外,活化反應氣體可允許在抵達處理腔室前被極速冷卻,或者其可使用耦接至處理腔室的一微波功率源維持為電漿。活化反應氣 體係可流到基板,以沈積SiOx層。
一般來說,電漿係用以允許CVD製程的化學反應在較低的溫度發生。然而,溫度仍需被維持,以控制所沈積的層的特性。據信,因為微波功率形成較密的電漿,以上敘述的前驅物可在一較低的溫度被沈積,而仍能達到只有在較高之溫度使用CCP電漿或無法以已知之製程參數達成的特性。
範例
以下敘述的是使用前面揭露的一或多個實施例之實驗數據的範例。這裡敘述的例示性的實施例是關於一AKT-5500 PX型PECVD腔室,可由位在加州聖克拉拉市的應用材料股份有限公司取得。5500 PX型PECVD腔室係通常有著為了處理具有5500平方公分之表面積的基板而形成的尺寸。能夠理解這裡敘述的實施例,其規模可被放大或縮小,以配合不同尺寸的基板和不同尺寸的腔室。
一第一控制組範例示出由使用SiH4和N2O氣體之CCP-PECVD沈積的層的SiO層特性範圍。SiH4和O2因氣體組合物在使用CCP電漿的情況下的揮發性而未用於CCP-PECVD中。沈積是在230℃進行。SiH4氣體的流量是從200sccm到350sccm。N2O氣體的流量是從10000sccm到18000sccm。電容式的功率係以從1500瓦到2300瓦來提供至電漿。壓力係以600-750密耳(mil)之間隔維持在介於700mTorr至1500mTorr之間。
與230℃之範例相關的達到的沈積速率範圍是從每分鐘200埃到截至每分鐘1500埃為止。沈積層的折射係數範圍是從1.466到1.474。SiO峰的位置範圍是從1066到1074。沈積層的本質應力範圍是從2.6×109達因/平方公分(d/cm2)到4.3×109d/cm2。沈積層的溼蝕刻速率範圍是從每分鐘2200埃到每分鐘3000埃。沈積層的氫含量是從4.0到5.0原子百分比(atomic percent)。沈積好的沈積層的平帶電壓(flat band voltage,Vfb)是從-2.7伏特(V)到-9.8V。當加熱至500℃,維持30分鐘,Vfb是從-0.5V到-9.0V。沈積層的崩潰電壓(breakdown voltage,Vbd)是8.0百萬伏特/公分(MV/cm)。當加熱至500℃,維持30分鐘,Vbd看似沒有可計量的變化。沈積層的針孔密度是介於每平方公釐150個針孔和每平方公釐400個針孔之間。
一第二控制組範例示出由使用SiF4和N2O氣體之CCP-PECVD沈積的層的SiO層特性。沈積是在330℃進行。SiF4氣體的流量是從10sccm到300sccm。N2O氣體的流量是7000sccm。電容式的功率係以1300瓦來提供至電漿。壓力係以600-750密耳之間隔維持在1000mTorr。
達到的沈積速率是每分鐘200埃。沈積層的折射係數是大約1.368。SiO峰的位置是1103。沈積層的本質應力是2.0×108d/cm2。沈積層的溼蝕刻速率係大於每分鐘10000埃。沈積層的氫含量是4.5原子百分比。沈積好的沈積層的平帶電壓(Vfb)是從-16V到-17V。當加熱至500℃,維持30分鐘,Vfb是從-9V 到-10V。二個沈積好的沈積層的崩潰電壓(Vbd)都是從5MV/cm到6MV/cm。當加熱至500℃,維持30分鐘,Vbd下降至低於1.0MV/cm。沈積層的針孔密度並未量測。
如前面所討論的,使用CCP-PECVD製程,以裝置整體的熱預算為代價,溫度的增加會帶來整體較佳的膜。另外,以SiF4和N2O作為反應氣體使用CCP-PECVD沈積,對於在可容許的溫度範圍內大量生產是無用的。不過,即使在較高的溫度並使用氫化矽,沈積膜的性質並不如MW-PECVD沈積的情況。
一第一範例示出由使用SiH4和N2O氣體之MW-PECVD沈積的層的SiO層特性範圍。沈積是在130℃進行。SiH4氣體的流量是從150sccm到450sccm。N2O氣體的流量是從2000sccm到5000sccm。微波功率是使用6個天線以4000瓦提供至電漿。壓力係以5000密耳之間隔維持在100mTorr。
達到的沈積速率範圍是從每分鐘850埃到截至每分鐘2200埃為止。沈積層的折射係數範圍是從1.462到1.471。SiO峰的位置範圍是從1074到1080,其中,在均相SiOx合金的例子中,此一峰的位置可用於合理估計SiO的化學計量。沈積層的本質應力範圍是從2.7×109d/cm2到4.0×109d/cm2,其中較高的本質應力意指從基板分離的可能性增加。沈積層的溼蝕刻速率範圍是從每分鐘1460埃到每分鐘1560埃。沈積層的氫含量是從1.8到4.0原子百分比。沈積好的沈積層的平帶電壓(Vfb)是從-10V到-12V。當加熱至500℃,維持30分鐘,Vfb是從-0.1V到-3.4V。沈 積好的沈積層的崩潰電壓(Vbd)是從5MV/cm到11MV/cm。當加熱至500℃,維持30分鐘,Vbd看似沒有可計量的變化。沈積層的針孔密度是介於每平方公釐6個針孔和每平方公釐28個針孔之間。
一第二範例示出由使用SiH4和O2氣體之MW-PECVD沈積的層的SiO層特性範圍。沈積是在130℃進行。SiH4氣體的流量是從150sccm到450sccm。O2氣體的流量是從2000sccm到5000sccm。微波功率是使用6個天線以4000瓦提供至電漿。壓力係以5000密耳之間隔維持在100mTorr。
達到的沈積速率範圍是從每分鐘630埃到截至每分鐘1900埃為止。沈積層的折射係數範圍是從1.463到1.464。SiO峰的位置範圍是從1081到1085。沈積層的本質應力範圍是從1.9×109d/cm2到2.4×109d/cm2。沈積層的溼蝕刻速率範圍是從每分鐘1140埃到每分鐘1370埃。沈積層的氫含量是0.8原子百分比。沈積好的沈積層的平帶電壓(Vfb)是從-0.1V到-3.5V。當加熱至500℃,維持30分鐘,Vfb是從-0.1V到-1.5V。沈積好的沈積層的崩潰電壓(Vbd)是從9MV/cm到16MV/cm。當加熱至500℃,維持30分鐘,Vbd看似沒有可計量的變化。沈積層的針孔密度是介於每平方公釐2個針孔和每平方公釐15個針孔之間。
一第三範例示出由使用SiF4和N2O氣體之MW-PECVD沈積的層的SiO層特性範圍。SiF4氣體的流量是從150sccm到450sccm。N2O氣體的流量是從3000sccm到7000 sccm。微波功率是使用6個天線以4000瓦提供至電漿。壓力係以5000密耳之間隔維持在100mTorr。
沈積是在230℃到330℃的溫度進行。達到的沈積速率範圍是從每分鐘800埃到截至每分鐘1900埃為止。沈積層的折射係數範圍是從1.344到1.357。SiO峰的位置範圍是從1105到1106。沈積層的本質應力範圍是從4.0×108d/cm2到7.0×108d/cm2。沈積層的溼蝕刻速率範圍係大於每分鐘10000埃。沈積層的氫含量是從3.5原子百分比到4.5原子百分比。沈積好的沈積層的平帶電壓(Vfb)是從-15V到-20V。當加熱至500℃,維持30分鐘,Vfb是從-6V到-12V。沈積好的沈積層的崩潰電壓(Vbd)是6.5MV/cm。當加熱至500℃,維持30分鐘,Vbd係下降至低於1.0MV/cm。
由於使用SiF4沈積之矽氧化物膜的高蝕刻速率,並未量測沈積層的針孔密度。針孔密度一般是藉由使用緩衝氧化物蝕刻(Buffered Oxide Etch,BOE)溶液蝕刻一些矽氧化物膜來確定。然而,BOE溶液對於使用SiF4沈積之矽氧化物膜具有非常高的蝕刻速率。因此,對於使用SiF4沈積之矽氧化物膜進行BOE蝕刻導致了膜被完全地從基板表面蝕刻掉。非受限於理論,不過相信此一高蝕刻速率和使用SiF4沈積之矽氧化物膜的高孔隙率有關,SiF4具有阻止孔隙率之實際量測的作用。
一第四範例示出由使用SiF4和O2氣體之MW-PECVD沈積的層的SiO層特性範圍。SiF4氣體的流量是從 150sccm到450sccm。O2氣體的流量是從5000sccm到7000sccm。微波功率是使用6個天線以4000瓦提供至電漿。壓力係以5000密耳之間隔維持在100mTorr。
沈積是在230℃到330℃的溫度進行。達到的沈積速率範圍是從每分鐘800埃到截至每分鐘2200埃為止。沈積層的折射係數範圍是從1.395到1.411。SiO峰的位置範圍是從1103到1104。沈積層的本質應力範圍是從1.0×108d/cm2到3.0×108d/cm2。沈積層的溼蝕刻速率範圍是從每分鐘7500埃到每分鐘9500埃。沈積層的氫含量是從2.3原子百分比到7.5原子百分比。沈積好的沈積層的平帶電壓(Vfb)是從-0.2V到-4.0V。當加熱至500℃,維持30分鐘,Vfb是從-1.3V到-4.6V。沈積好的沈積層的崩潰電壓(Vbd)是7.5MV/cm。當加熱至500℃,維持30分鐘,Vbd係下降至低於1.0MV/cm。沈積層的針孔密度並未量測。
以上的實施例,相較於其對等的CCP-PECVD層來說,具有較低的沈積溫度、較高的沈積速率、在SiH4沈積的例子較低的溼蝕刻速率、較低的氫含量和較低的針孔密度。在從SiF4沈積的例子裡,一般來說,因沈積速率幾乎是20倍地低於MW製程可達之最大沈積速率,這樣的沈積速率並不適用於大規模生產。再者,由MW電漿製程製造的膜仍然生產出較高品質的膜。
這裡敘述的實施例是關於使用微波電漿在基板上沈積SiO。SiOx層的沈積可使用氫化矽或鹵化矽之任一者,伴隨著氧化劑,以提供相較於由CCP-PECVD製程形成之類似層來說, 具有較低之氫濃度和較低之針孔密度的共形層(conformal layer)。相較於先前技術,用於在基板上沈積SiOx的MW沈積可具有很多的優點。用於SiOx沈積之MW的優點包括易於使用O2化學特性、易於使用SiF4化學特性、有可能達成低溫沈積(例如低於200℃的溫度)、高沈積速率(例如大於每分鐘1000埃)、大範圍的製程窗口邊緣和大範圍的製程化學。
雖然前述內容是關於本發明的實施例,本發明其他或更進一步的實施例可在不背離本發明之基本範圍的情況下被設計出來,本發明之基本範圍係由以下之申請專利範圍來確定。
200‧‧‧方法
202、204、206、208、210‧‧‧步驟

Claims (13)

  1. 一種在基板上沈積膜的方法,包括:將一基板安置在一處理腔室中;將該處理腔室維持在低於200℃的一溫度;將一反應氣體流入至該處理腔室中,該反應氣體包括一矽烷前驅物及一氧化性前驅物(oxidizing precursor),其中該氧化性前驅物係選自包括氧氣(O2)、臭氧(O3)和一氧化二氮(N2O)的群組,且其中該矽烷前驅物比該氧化性前驅物的氣體比例係介於7:1和33:1之間;通過一或多個天線施加一微波功率,以自該反應氣體形成一微波電漿,其中該反應氣體係在形成該微波電漿前混合;以及沈積一矽氧化物層於該基板之曝露表面的至少一部分上。
  2. 如請求項第1項的方法,其中該氧化性前驅物包括氧氣(O2)。
  3. 如請求項第1項的方法,其中該矽烷前驅物包括矽烷(SiH4)。
  4. 如請求項第1項的方法,其中在該矽氧化物層中,氫含量係低於2原子百分比(atomic percent)。
  5. 如請求項第1項的方法,其中該矽烷前驅物係以每單位基板表面積的流量(flow rate)從0.027sccm/cm2到0.082sccm/cm2來遞送。
  6. 如請求項第1項的方法,其中係選擇該氣體比例以化學計量等量地遞送該矽烷前驅物和該氧化性前驅物的混合物,以將該矽氧 化物層沈積至該基板。
  7. 如請求項第1項的方法,其中該微波功率係以每個天線介於3500瓦和4500瓦之間來傳送。
  8. 一種在基板上沈積膜的方法,包括:將一基板安置在一處理腔室中,其中該處理腔室係用以從至少二個位置傳送微波能量;將該處理腔室維持在低於200℃的一溫度;混合矽烷(SiH4)和氧氣(O2)以形成一處理氣體,其中該矽烷(SiH4)比該氧氣(O2)的氣體比例係介於7:1和33:1之間;將該處理氣體流入至該處理腔室中;施加一微波功率至該處理氣體,以形成一微波電漿;以及沈積一矽氧化物層於該基板之曝露表面的至少一部分上。
  9. 如請求項第8項的方法,其中在該矽氧化物層中,氫含量係低於2原子百分比。
  10. 如請求項第8項的方法,其中該矽烷(SiH4)係以每單位基板表面積的流量從0.027sccm/cm2到0.082sccm/cm2來遞送。
  11. 如請求項第8項的方法,其中係選擇該氣體比例以化學計量等量地遞送該矽烷(SiH4)和該氧氣(O2)的混合物,以將該矽氧化物層沈積至該基板。
  12. 如請求項第8項的方法,其中該微波功率係以每個天線介於3500瓦和4500瓦之間來傳送。
  13. 如請求項第8項的方法,其中該溫度係維持在130℃。
TW102114265A 2012-05-10 2013-04-22 利用微波電漿化學氣相沈積在基板上沈積膜的方法 TWI522490B (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US201261645108P 2012-05-10 2012-05-10
US201261676217P 2012-07-26 2012-07-26

Publications (2)

Publication Number Publication Date
TW201350612A TW201350612A (zh) 2013-12-16
TWI522490B true TWI522490B (zh) 2016-02-21

Family

ID=49548926

Family Applications (1)

Application Number Title Priority Date Filing Date
TW102114265A TWI522490B (zh) 2012-05-10 2013-04-22 利用微波電漿化學氣相沈積在基板上沈積膜的方法

Country Status (3)

Country Link
US (1) US8906813B2 (zh)
TW (1) TWI522490B (zh)
WO (1) WO2013169559A1 (zh)

Families Citing this family (310)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10378106B2 (en) 2008-11-14 2019-08-13 Asm Ip Holding B.V. Method of forming insulation film by modified PEALD
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
US8802201B2 (en) 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
US9312155B2 (en) 2011-06-06 2016-04-12 Asm Japan K.K. High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules
US9048518B2 (en) * 2011-06-21 2015-06-02 Applied Materials, Inc. Transmission line RF applicator for plasma chamber
US10364496B2 (en) 2011-06-27 2019-07-30 Asm Ip Holding B.V. Dual section module having shared and unshared mass flow controllers
US10854498B2 (en) 2011-07-15 2020-12-01 Asm Ip Holding B.V. Wafer-supporting device and method for producing same
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
US9659799B2 (en) 2012-08-28 2017-05-23 Asm Ip Holding B.V. Systems and methods for dynamic semiconductor process scheduling
US9021985B2 (en) 2012-09-12 2015-05-05 Asm Ip Holdings B.V. Process gas management for an inductively-coupled plasma deposition reactor
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
CN103887343B (zh) * 2012-12-21 2017-06-09 北京京东方光电科技有限公司 薄膜晶体管及其制作方法、阵列基板和显示装置
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
US9484191B2 (en) 2013-03-08 2016-11-01 Asm Ip Holding B.V. Pulsed remote plasma method and system
US9589770B2 (en) 2013-03-08 2017-03-07 Asm Ip Holding B.V. Method and systems for in-situ formation of intermediate reactive species
US9240412B2 (en) 2013-09-27 2016-01-19 Asm Ip Holding B.V. Semiconductor structure and device and methods of forming same using selective epitaxial process
US9859199B2 (en) 2013-12-18 2018-01-02 Taiwan Semiconductor Manufacturing Co., Ltd. Method for forming semiconductor package using carbon nano material in molding compound
US10683571B2 (en) 2014-02-25 2020-06-16 Asm Ip Holding B.V. Gas supply manifold and method of supplying gases to chamber using same
US10167557B2 (en) 2014-03-18 2019-01-01 Asm Ip Holding B.V. Gas distribution system, reactor including the system, and methods of using the same
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
JP6324848B2 (ja) * 2014-08-29 2018-05-16 日本電信電話株式会社 導波路材料膜の形成方法
US9657845B2 (en) 2014-10-07 2017-05-23 Asm Ip Holding B.V. Variable conductance gas distribution apparatus and method
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
KR102263121B1 (ko) 2014-12-22 2021-06-09 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 및 그 제조 방법
KR102405123B1 (ko) 2015-01-29 2022-06-08 삼성디스플레이 주식회사 표시 장치의 제조 장치 및 표시 장치의 제조 방법
US10529542B2 (en) 2015-03-11 2020-01-07 Asm Ip Holdings B.V. Cross-flow reactor and method
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US9799511B2 (en) * 2015-05-02 2017-10-24 Applied Materials, Inc. Methods for depositing low k and low wet etch rate dielectric thin films
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10600673B2 (en) 2015-07-07 2020-03-24 Asm Ip Holding B.V. Magnetic susceptor to baseplate seal
US10083836B2 (en) 2015-07-24 2018-09-25 Asm Ip Holding B.V. Formation of boron-doped titanium metal films with high work function
US9960072B2 (en) 2015-09-29 2018-05-01 Asm Ip Holding B.V. Variable adjustment for precise matching of multiple chamber cavity housings
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US10322384B2 (en) 2015-11-09 2019-06-18 Asm Ip Holding B.V. Counter flow mixer for process chamber
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10134878B2 (en) 2016-01-14 2018-11-20 Applied Materials, Inc. Oxygen vacancy of IGZO passivation by fluorine treatment
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10468251B2 (en) 2016-02-19 2019-11-05 Asm Ip Holding B.V. Method for forming spacers using silicon nitride film for spacer-defined multiple patterning
US10501866B2 (en) 2016-03-09 2019-12-10 Asm Ip Holding B.V. Gas distribution apparatus for improved film uniformity in an epitaxial system
US10343920B2 (en) 2016-03-18 2019-07-09 Asm Ip Holding B.V. Aligned carbon nanotubes
US9892913B2 (en) 2016-03-24 2018-02-13 Asm Ip Holding B.V. Radial and thickness control via biased multi-port injection settings
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10032628B2 (en) 2016-05-02 2018-07-24 Asm Ip Holding B.V. Source/drain performance through conformal solid state doping
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
KR102592471B1 (ko) 2016-05-17 2023-10-20 에이에스엠 아이피 홀딩 비.브이. 금속 배선 형성 방법 및 이를 이용한 반도체 장치의 제조 방법
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US10388509B2 (en) 2016-06-28 2019-08-20 Asm Ip Holding B.V. Formation of epitaxial layers via dislocation filtering
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
US10381226B2 (en) 2016-07-27 2019-08-13 Asm Ip Holding B.V. Method of processing substrate
US10395919B2 (en) 2016-07-28 2019-08-27 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US10410943B2 (en) 2016-10-13 2019-09-10 Asm Ip Holding B.V. Method for passivating a surface of a semiconductor and related systems
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10435790B2 (en) 2016-11-01 2019-10-08 Asm Ip Holding B.V. Method of subatmospheric plasma-enhanced ALD using capacitively coupled electrodes with narrow gap
US10643904B2 (en) 2016-11-01 2020-05-05 Asm Ip Holdings B.V. Methods for forming a semiconductor device and related semiconductor device structures
US10134757B2 (en) 2016-11-07 2018-11-20 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by using the method
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
US10340135B2 (en) 2016-11-28 2019-07-02 Asm Ip Holding B.V. Method of topologically restricted plasma-enhanced cyclic deposition of silicon or metal nitride
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
KR20180070971A (ko) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269558B2 (en) * 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10655221B2 (en) 2017-02-09 2020-05-19 Asm Ip Holding B.V. Method for depositing oxide film by thermal ALD and PEALD
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
US10283353B2 (en) 2017-03-29 2019-05-07 Asm Ip Holding B.V. Method of reforming insulating film deposited on substrate with recess pattern
KR102457289B1 (ko) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10446393B2 (en) 2017-05-08 2019-10-15 Asm Ip Holding B.V. Methods for forming silicon-containing epitaxial layers and related semiconductor device structures
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US10504742B2 (en) 2017-05-31 2019-12-10 Asm Ip Holding B.V. Method of atomic layer etching using hydrogen plasma
US10886123B2 (en) 2017-06-02 2021-01-05 Asm Ip Holding B.V. Methods for forming low temperature semiconductor layers and related semiconductor device structures
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US10685834B2 (en) 2017-07-05 2020-06-16 Asm Ip Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10605530B2 (en) 2017-07-26 2020-03-31 Asm Ip Holding B.V. Assembly of a liner and a flange for a vertical furnace as well as the liner and the vertical furnace
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10312055B2 (en) 2017-07-26 2019-06-04 Asm Ip Holding B.V. Method of depositing film by PEALD using negative bias
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US10249524B2 (en) 2017-08-09 2019-04-02 Asm Ip Holding B.V. Cassette holder assembly for a substrate cassette and holding member for use in such assembly
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US10236177B1 (en) 2017-08-22 2019-03-19 ASM IP Holding B.V.. Methods for depositing a doped germanium tin semiconductor and related semiconductor device structures
USD900036S1 (en) 2017-08-24 2020-10-27 Asm Ip Holding B.V. Heater electrical connector and adapter
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
KR102401446B1 (ko) 2017-08-31 2022-05-24 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10607895B2 (en) 2017-09-18 2020-03-31 Asm Ip Holdings B.V. Method for forming a semiconductor device structure comprising a gate fill metal
KR102630301B1 (ko) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10319588B2 (en) 2017-10-10 2019-06-11 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
KR102443047B1 (ko) 2017-11-16 2022-09-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 방법 및 그에 의해 제조된 장치
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
KR102633318B1 (ko) 2017-11-27 2024-02-05 에이에스엠 아이피 홀딩 비.브이. 청정 소형 구역을 포함한 장치
WO2019103613A1 (en) 2017-11-27 2019-05-31 Asm Ip Holding B.V. A storage device for storing wafer cassettes for use with a batch furnace
US10290508B1 (en) 2017-12-05 2019-05-14 Asm Ip Holding B.V. Method for forming vertical spacers for spacer-defined patterning
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
US11482412B2 (en) 2018-01-19 2022-10-25 Asm Ip Holding B.V. Method for depositing a gap-fill layer by plasma-assisted deposition
TW202325889A (zh) 2018-01-19 2023-07-01 荷蘭商Asm 智慧財產控股公司 沈積方法
USD903477S1 (en) 2018-01-24 2020-12-01 Asm Ip Holdings B.V. Metal clamp
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
US10535516B2 (en) 2018-02-01 2020-01-14 Asm Ip Holdings B.V. Method for depositing a semiconductor structure on a surface of a substrate and related semiconductor structures
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
EP3737779A1 (en) 2018-02-14 2020-11-18 ASM IP Holding B.V. A method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
US10658181B2 (en) 2018-02-20 2020-05-19 Asm Ip Holding B.V. Method of spacer-defined direct patterning in semiconductor fabrication
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US10510536B2 (en) 2018-03-29 2019-12-17 Asm Ip Holding B.V. Method of depositing a co-doped polysilicon film on a surface of a substrate within a reaction chamber
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102501472B1 (ko) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
TWI811348B (zh) 2018-05-08 2023-08-11 荷蘭商Asm 智慧財產控股公司 藉由循環沉積製程於基板上沉積氧化物膜之方法及相關裝置結構
TWI816783B (zh) 2018-05-11 2023-10-01 荷蘭商Asm 智慧財產控股公司 用於基板上形成摻雜金屬碳化物薄膜之方法及相關半導體元件結構
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
KR20210027265A (ko) 2018-06-27 2021-03-10 에이에스엠 아이피 홀딩 비.브이. 금속 함유 재료를 형성하기 위한 주기적 증착 방법 및 금속 함유 재료를 포함하는 막 및 구조체
US11492703B2 (en) 2018-06-27 2022-11-08 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
KR20200002519A (ko) 2018-06-29 2020-01-08 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US10483099B1 (en) 2018-07-26 2019-11-19 Asm Ip Holding B.V. Method for forming thermally stable organosilicon polymer film
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
US10847365B2 (en) 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
US10811256B2 (en) 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US10381219B1 (en) 2018-10-25 2019-08-13 Asm Ip Holding B.V. Methods for forming a silicon nitride film
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10559458B1 (en) 2018-11-26 2020-02-11 Asm Ip Holding B.V. Method of forming oxynitride film
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
TW202037745A (zh) 2018-12-14 2020-10-16 荷蘭商Asm Ip私人控股有限公司 形成裝置結構之方法、其所形成之結構及施行其之系統
US11133177B2 (en) * 2018-12-20 2021-09-28 Applied Materials, Inc. Oxidation reduction for SiOC film
TW202405220A (zh) 2019-01-17 2024-02-01 荷蘭商Asm Ip 私人控股有限公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
KR20200102357A (ko) 2019-02-20 2020-08-31 에이에스엠 아이피 홀딩 비.브이. 3-d nand 응용의 플러그 충진체 증착용 장치 및 방법
CN111593319B (zh) 2019-02-20 2023-05-30 Asm Ip私人控股有限公司 用于填充在衬底表面内形成的凹部的循环沉积方法和设备
TW202044325A (zh) 2019-02-20 2020-12-01 荷蘭商Asm Ip私人控股有限公司 填充一基板之一表面內所形成的一凹槽的方法、根據其所形成之半導體結構、及半導體處理設備
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
JP2020133004A (ja) 2019-02-22 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材を処理するための基材処理装置および方法
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
KR20200108248A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOCN 층을 포함한 구조체 및 이의 형성 방법
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
KR20200116033A (ko) 2019-03-28 2020-10-08 에이에스엠 아이피 홀딩 비.브이. 도어 개방기 및 이를 구비한 기판 처리 장치
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
US11447864B2 (en) 2019-04-19 2022-09-20 Asm Ip Holding B.V. Layer forming method and apparatus
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188254A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141002A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 배기 가스 분석을 포함한 기상 반응기 시스템을 사용하는 방법
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP7499079B2 (ja) 2019-07-09 2024-06-13 エーエスエム・アイピー・ホールディング・ベー・フェー 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
CN112242296A (zh) 2019-07-19 2021-01-19 Asm Ip私人控股有限公司 形成拓扑受控的无定形碳聚合物膜的方法
TW202113936A (zh) 2019-07-29 2021-04-01 荷蘭商Asm Ip私人控股有限公司 用於利用n型摻雜物及/或替代摻雜物選擇性沉積以達成高摻雜物併入之方法
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
CN112323048B (zh) 2019-08-05 2024-02-09 Asm Ip私人控股有限公司 用于化学源容器的液位传感器
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
CN112635282A (zh) 2019-10-08 2021-04-09 Asm Ip私人控股有限公司 具有连接板的基板处理装置、基板处理方法
KR20210042810A (ko) 2019-10-08 2021-04-20 에이에스엠 아이피 홀딩 비.브이. 활성 종을 이용하기 위한 가스 분배 어셈블리를 포함한 반응기 시스템 및 이를 사용하는 방법
KR20210043460A (ko) 2019-10-10 2021-04-21 에이에스엠 아이피 홀딩 비.브이. 포토레지스트 하부층을 형성하기 위한 방법 및 이를 포함한 구조체
US12009241B2 (en) 2019-10-14 2024-06-11 Asm Ip Holding B.V. Vertical batch furnace assembly with detector to detect cassette
TWI834919B (zh) 2019-10-16 2024-03-11 荷蘭商Asm Ip私人控股有限公司 氧化矽之拓撲選擇性膜形成之方法
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
KR20210050453A (ko) 2019-10-25 2021-05-07 에이에스엠 아이피 홀딩 비.브이. 기판 표면 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
KR20210065848A (ko) 2019-11-26 2021-06-04 에이에스엠 아이피 홀딩 비.브이. 제1 유전체 표면과 제2 금속성 표면을 포함한 기판 상에 타겟 막을 선택적으로 형성하기 위한 방법
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
TW202125596A (zh) 2019-12-17 2021-07-01 荷蘭商Asm Ip私人控股有限公司 形成氮化釩層之方法以及包括該氮化釩層之結構
US11527403B2 (en) 2019-12-19 2022-12-13 Asm Ip Holding B.V. Methods for filling a gap feature on a substrate surface and related semiconductor structures
TW202140135A (zh) 2020-01-06 2021-11-01 荷蘭商Asm Ip私人控股有限公司 氣體供應總成以及閥板總成
US11993847B2 (en) 2020-01-08 2024-05-28 Asm Ip Holding B.V. Injector
US11551912B2 (en) 2020-01-20 2023-01-10 Asm Ip Holding B.V. Method of forming thin film and method of modifying surface of thin film
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
TW202146882A (zh) 2020-02-04 2021-12-16 荷蘭商Asm Ip私人控股有限公司 驗證一物品之方法、用於驗證一物品之設備、及用於驗證一反應室之系統
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
TW202146715A (zh) 2020-02-17 2021-12-16 荷蘭商Asm Ip私人控股有限公司 用於生長磷摻雜矽層之方法及其系統
TW202203344A (zh) 2020-02-28 2022-01-16 荷蘭商Asm Ip控股公司 專用於零件清潔的系統
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
US11876356B2 (en) 2020-03-11 2024-01-16 Asm Ip Holding B.V. Lockout tagout assembly and system and method of using same
CN113394086A (zh) 2020-03-12 2021-09-14 Asm Ip私人控股有限公司 用于制造具有目标拓扑轮廓的层结构的方法
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
US11996289B2 (en) 2020-04-16 2024-05-28 Asm Ip Holding B.V. Methods of forming structures including silicon germanium and silicon layers, devices formed using the methods, and systems for performing the methods
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
US11898243B2 (en) 2020-04-24 2024-02-13 Asm Ip Holding B.V. Method of forming vanadium nitride-containing layer
KR20210132605A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 냉각 가스 공급부를 포함한 수직형 배치 퍼니스 어셈블리
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
TW202147383A (zh) 2020-05-19 2021-12-16 荷蘭商Asm Ip私人控股有限公司 基材處理設備
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
TW202200837A (zh) 2020-05-22 2022-01-01 荷蘭商Asm Ip私人控股有限公司 用於在基材上形成薄膜之反應系統
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202202649A (zh) 2020-07-08 2022-01-16 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202219628A (zh) 2020-07-17 2022-05-16 荷蘭商Asm Ip私人控股有限公司 用於光微影之結構與方法
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
TW202212623A (zh) 2020-08-26 2022-04-01 荷蘭商Asm Ip私人控股有限公司 形成金屬氧化矽層及金屬氮氧化矽層的方法、半導體結構、及系統
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
US11674222B2 (en) * 2020-09-29 2023-06-13 Applied Materials, Inc. Method of in situ ceramic coating deposition
US12009224B2 (en) 2020-09-29 2024-06-11 Asm Ip Holding B.V. Apparatus and method for etching metal nitrides
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
KR20220053482A (ko) 2020-10-22 2022-04-29 에이에스엠 아이피 홀딩 비.브이. 바나듐 금속을 증착하는 방법, 구조체, 소자 및 증착 어셈블리
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
KR20220060069A (ko) * 2020-11-03 2022-05-11 삼성디스플레이 주식회사 표시 장치 및 그 제조 방법
KR20220076343A (ko) 2020-11-30 2022-06-08 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치의 반응 챔버 내에 배열되도록 구성된 인젝터
CN114639631A (zh) 2020-12-16 2022-06-17 Asm Ip私人控股有限公司 跳动和摆动测量固定装置
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate

Family Cites Families (47)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4778561A (en) * 1987-10-30 1988-10-18 Veeco Instruments, Inc. Electron cyclotron resonance plasma source
US4988533A (en) * 1988-05-27 1991-01-29 Texas Instruments Incorporated Method for deposition of silicon oxide on a wafer
US4962063A (en) * 1988-11-10 1990-10-09 Applied Materials, Inc. Multistep planarized chemical vapor deposition process with the use of low melting inorganic material for flowing while depositing
JPH02156526A (ja) * 1988-12-08 1990-06-15 Nec Corp マイクロ波プラズマ処理装置
JPH02175878A (ja) 1988-12-28 1990-07-09 Canon Inc 改良されたマイクロ波導入窓を有するマイクロ波プラズマcvd装置
US5312684A (en) * 1991-05-02 1994-05-17 Dow Corning Corporation Threshold switching device
US5525550A (en) * 1991-05-21 1996-06-11 Fujitsu Limited Process for forming thin films by plasma CVD for use in the production of semiconductor devices
US5279865A (en) * 1991-06-28 1994-01-18 Digital Equipment Corporation High throughput interlevel dielectric gap filling process
JP3042127B2 (ja) * 1991-09-02 2000-05-15 富士電機株式会社 酸化シリコン膜の製造方法および製造装置
DE69224583T2 (de) * 1991-10-15 1998-07-23 Canon Kk Trägermaterial für Flüssigkeitsaufzeichnungskopf, Herstellungsverfahren dafür, Flüssigkeitsaufzeichnungskopf und Flüssigkeitsaufzeichnungsvorrichtung
US5376590A (en) * 1992-01-20 1994-12-27 Nippon Telegraph And Telephone Corporation Semiconductor device and method of fabricating the same
JP3227522B2 (ja) 1992-10-20 2001-11-12 株式会社日立製作所 マイクロ波プラズマ処理方法及び装置
US5670224A (en) * 1992-11-13 1997-09-23 Energy Conversion Devices, Inc. Modified silicon oxide barrier coatings produced by microwave CVD deposition on polymeric substrates
US6042901A (en) * 1996-02-20 2000-03-28 Lam Research Corporation Method for depositing fluorine doped silicon dioxide films
US6106678A (en) * 1996-03-29 2000-08-22 Lam Research Corporation Method of high density plasma CVD gap-filling
JP3355949B2 (ja) * 1996-08-16 2002-12-09 日本電気株式会社 プラズマcvd絶縁膜の形成方法
US5939831A (en) * 1996-11-13 1999-08-17 Applied Materials, Inc. Methods and apparatus for pre-stabilized plasma generation for microwave clean applications
US5873781A (en) * 1996-11-14 1999-02-23 Bally Gaming International, Inc. Gaming machine having truly random results
US6255233B1 (en) * 1998-12-30 2001-07-03 Intel Corporation In-situ silicon nitride and silicon based oxide deposition with graded interface for damascene application
US7622151B2 (en) 1999-02-10 2009-11-24 Auburn University Method of plasma enhanced chemical vapor deposition of diamond using methanol-based solutions
JP2001044191A (ja) * 1999-07-27 2001-02-16 Sony Corp 積層絶縁膜とその製造方法および半導体装置とその製造方法
US7294563B2 (en) * 2000-08-10 2007-11-13 Applied Materials, Inc. Semiconductor on insulator vertical transistor fabrication and doping process
US7223676B2 (en) * 2002-06-05 2007-05-29 Applied Materials, Inc. Very low temperature CVD process with independently variable conformality, stress and composition of the CVD layer
US6596653B2 (en) * 2001-05-11 2003-07-22 Applied Materials, Inc. Hydrogen assisted undoped silicon oxide deposition process for HDP-CVD
US20030115909A1 (en) 2001-12-21 2003-06-26 House Keith L. Plasma chemical vapor deposition methods and apparatus
US6812153B2 (en) * 2002-04-30 2004-11-02 Applied Materials Inc. Method for high aspect ratio HDP CVD gapfill
US7544625B2 (en) * 2003-01-31 2009-06-09 Sharp Laboratories Of America, Inc. Silicon oxide thin-films with embedded nanocrystalline silicon
US7807225B2 (en) 2003-01-31 2010-10-05 Sharp Laboratories Of America, Inc. High density plasma non-stoichiometric SiOxNy films
TW200537695A (en) * 2004-03-19 2005-11-16 Adv Lcd Tech Dev Ct Co Ltd Insulating film forming method, insulating film forming apparatus, and plasma film forming apparatus
US7528051B2 (en) * 2004-05-14 2009-05-05 Applied Materials, Inc. Method of inducing stresses in the channel region of a transistor
US7183227B1 (en) * 2004-07-01 2007-02-27 Applied Materials, Inc. Use of enhanced turbomolecular pump for gapfill deposition using high flows of low-mass fluent gas
US7129187B2 (en) 2004-07-14 2006-10-31 Tokyo Electron Limited Low-temperature plasma-enhanced chemical vapor deposition of silicon-nitrogen-containing films
US20060154494A1 (en) * 2005-01-08 2006-07-13 Applied Materials, Inc., A Delaware Corporation High-throughput HDP-CVD processes for advanced gapfill applications
EP1918965A1 (en) * 2006-11-02 2008-05-07 Dow Corning Corporation Method and apparatus for forming a film by deposition from a plasma
KR100819096B1 (ko) * 2006-11-21 2008-04-02 삼성전자주식회사 Peox공정을 진행하는 반도체 제조설비의 리모트 플라즈마를 이용한 세정방법
EP2108714B1 (en) 2007-01-29 2014-03-12 Sumitomo Electric Industries, Ltd. Microwave plasma cvd system
JP5459899B2 (ja) 2007-06-01 2014-04-02 株式会社半導体エネルギー研究所 半導体装置の作製方法
JP5422854B2 (ja) 2007-08-31 2014-02-19 国立大学法人東北大学 半導体装置の製造方法
US7867921B2 (en) * 2007-09-07 2011-01-11 Applied Materials, Inc. Reduction of etch-rate drift in HDP processes
US7943531B2 (en) * 2007-10-22 2011-05-17 Applied Materials, Inc. Methods for forming a silicon oxide layer over a substrate
JP2009135277A (ja) * 2007-11-30 2009-06-18 Tokyo Electron Ltd 膜の形成方法、薄膜トランジスタ、太陽電池、製造装置および表示装置
JP4524354B2 (ja) 2008-02-28 2010-08-18 国立大学法人東北大学 マイクロ波プラズマ処理装置、それに用いる誘電体窓部材および誘電体窓部材の製造方法
US8486792B2 (en) 2008-05-13 2013-07-16 Tokyo Electron Limited Film forming method of silicon oxide film, silicon oxide film, semiconductor device, and manufacturing method of semiconductor device
US7972968B2 (en) * 2008-08-18 2011-07-05 Applied Materials, Inc. High density plasma gapfill deposition-etch-deposition process etchant
US7858503B2 (en) * 2009-02-06 2010-12-28 Applied Materials, Inc. Ion implanted substrate having capping layer and method
US8173980B2 (en) * 2010-05-05 2012-05-08 Tel Epion Inc. Gas cluster ion beam system with cleaning apparatus
KR101333831B1 (ko) * 2010-07-07 2013-11-29 울산대학교 산학협력단 반도체 소자의 표면 처리 방법 및 그 표면 처리 장치

Also Published As

Publication number Publication date
TW201350612A (zh) 2013-12-16
US20130302999A1 (en) 2013-11-14
WO2013169559A1 (en) 2013-11-14
US8906813B2 (en) 2014-12-09

Similar Documents

Publication Publication Date Title
TWI522490B (zh) 利用微波電漿化學氣相沈積在基板上沈積膜的方法
US11676812B2 (en) Method for forming silicon nitride film selectively on top/bottom portions
KR102172141B1 (ko) 고 압축/인장 휨 웨이퍼들 상의 두꺼운 텅스텐 하드마스크 막들의 증착
US9754779B1 (en) Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10930846B2 (en) Methods of forming silicon-containing dielectric materials and methods of forming a semiconductor device comprising nitrogen radicals and oxygen-containing, silicon-containing, or carbon-containing precursors
TWI719015B (zh) 在3d nand存放裝置中用於提高豎直蝕刻性能的膜的電漿增強化學氣相沉積
US9607837B1 (en) Method for forming silicon oxide cap layer for solid state diffusion process
KR102513424B1 (ko) 스페이서 및 하드마스크 애플리케이션을 위한 실란 및 알킬실란 종으로부터의 보란 매개 탈수소화 프로세스
US9478415B2 (en) Method for forming film having low resistance and shallow junction depth
WO2012047697A2 (en) Pecvd oxide-nitride and oxide-silicon stacks for 3d memory application
US20090022908A1 (en) Plasma enhanced chemical vapor deposition technology for large-size processing
US9818606B2 (en) Amorphous silicon thickness uniformity improved by process diluted with hydrogen and argon gas mixture
KR20150127122A (ko) 금속 옥사이드 tft 안정성 개선
WO2020018411A1 (en) Low temperature high-quality dielectric films
US20200190664A1 (en) Methods for depositing phosphorus-doped silicon nitride films
US10593543B2 (en) Method of depositing doped amorphous silicon films with enhanced defect control, reduced substrate sensitivity to in-film defects and bubble-free film growth
Won et al. SiO x process chemistry development using microwave plasma CVD
JP2003273033A (ja) プラズマ反応装置
US11791155B2 (en) Diffusion barriers for germanium

Legal Events

Date Code Title Description
MM4A Annulment or lapse of patent due to non-payment of fees