JP4633348B2 - 積層構造体の形成方法及び絶縁膜の集積方法 - Google Patents

積層構造体の形成方法及び絶縁膜の集積方法 Download PDF

Info

Publication number
JP4633348B2
JP4633348B2 JP2003358792A JP2003358792A JP4633348B2 JP 4633348 B2 JP4633348 B2 JP 4633348B2 JP 2003358792 A JP2003358792 A JP 2003358792A JP 2003358792 A JP2003358792 A JP 2003358792A JP 4633348 B2 JP4633348 B2 JP 4633348B2
Authority
JP
Japan
Prior art keywords
flow rate
gas flow
etching
forming step
layer
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Expired - Lifetime
Application number
JP2003358792A
Other languages
English (en)
Other versions
JP2004153266A (ja
Inventor
ネルソン・ローク・チョウ・サン
清志 佐藤
Original Assignee
日本エー・エス・エム株式会社
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 日本エー・エス・エム株式会社 filed Critical 日本エー・エス・エム株式会社
Publication of JP2004153266A publication Critical patent/JP2004153266A/ja
Application granted granted Critical
Publication of JP4633348B2 publication Critical patent/JP4633348B2/ja
Anticipated expiration legal-status Critical
Expired - Lifetime legal-status Critical Current

Links

Images

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/40Oxides
    • C23C16/401Oxides containing silicon
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02126Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing Si, O, and at least one of H, N, C, F, or other non-metal elements, e.g. SiOC, SiOC:H or SiONC
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02205Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
    • H01L21/02208Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si
    • H01L21/02214Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound comprising silicon and oxygen
    • H01L21/02216Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound comprising silicon and oxygen the compound being a molecule comprising at least one silicon-oxygen bond and the compound having hydrogen or an organic group attached to the silicon or oxygen, e.g. a siloxane
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/02274Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition in the presence of a plasma [PECVD]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/314Inorganic layers
    • H01L21/316Inorganic layers composed of oxides or glassy oxides or oxide based glass
    • H01L21/31604Deposition from a gas or vapour
    • H01L21/31633Deposition of carbon doped silicon oxide, e.g. SiOC
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • H01L21/76807Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics for dual damascene structures
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/7684Smoothing; Planarisation
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/31051Planarisation of the insulating layers
    • H01L21/31053Planarisation of the insulating layers involving a dielectric removal step
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10STECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10S438/00Semiconductor device manufacturing: process
    • Y10S438/97Specified etch stop material

Description

本発明は、半導体デバイスに使用される集積化された絶縁層を形成する方法に関し、特に、CVD装置を使用したエッチング停止層の形成を伴う方法に関する。
半導体デバイスは、絶縁層によって互いに絶縁された金属層を有する。デバイスの縮小化が進むに従って、金属層間の距離は、ますます減少していく。この状況を克服して金属線すなわち金属層を分離する絶縁層を形成するために、低比誘電率の絶縁膜が、従来の酸化シリコン膜や相対的に高い比誘電率を有する他の材料に置き換わるように導入されている。
このような状況に好適とみなされる材料は、炭素含有酸化シリコン膜である。金属線を分離するためにこの材料を使用すれば、低伝播遅延、低クロストークノイズ及び低電力のデバイスを得ることができる。この膜を酸化シリコン膜に置換できるものと考えられるが、この膜は、酸化シリコン膜に匹敵できない他の膜特性を有する。これは、例えば、弾性率及び硬度を含む。たいていの炭素含有膜は、酸化シリコン膜の14%未満の弾性率及び酸化シリコン膜の20%の硬度を有する。これらの特性は、ウェハーに対して、化学機械研磨(CMP)や長時間続く集積化処理を伴うパッケージングのような後処理が実施される時に、深刻な問題を起こすおそれがある。
従って、長時間続く集積化処理を避けるために、高弾性率及び高硬度を有する膜が必要になる。いわゆる、”エッチングストップ層のないインテグレーション”に関する従来の方法は、後の金属トレンチエッチング処理中にビアホールの角に生じる強度な腐食が原因で、それほど成功していない。
種々のダマシンに関する方法が、所望の特性を有するダマシン構造を微細に製造する分野において報告されている。例えば、ダマシン法は、以下の公報に開示されている。
(1)米国特許第6,100,184号明細書(U.S.P No.6,100,184 Zhao,et al.)には、銅を含む隣接するパターン化された導電体の相互接続及び比較的低い比誘電率の絶縁体材料で形成された絶縁層を介して形成されたビアと隣接するトレンチ内のパターン化された導電体スタッドを形成し、これにより、その下に形成された銅含有導電体層と接触させる方法が開示されている。ここで、導電体バリア/エッチング停止層は、その下に形成された銅含有導電体層の表面のみを選択的に保護するために使用される。
(2)米国特許第6,140,226号明細書(U.S.P No.6,140,226 Zhao,et al.)には、隣接するパターン化された導電体相互接続及び比較的低い比誘電率の絶縁体材料で形成された絶縁層を介してビアと隣接するトレンチ内のパターン化された導電体スタッドを形成する方法が開示されている。ここで、側壁ライナー層が、それと共にビアを隣接して形成するときに、トレンチの側壁を横方向エッチングから保護するために使用される。
(3)米国特許第6,177,364号明細書(U.S.P No.6,177,364 Huang)には、隣接するパターン化された導電体相互接続及び比較的低い比誘電率のフッ化ケイ酸ガラス(FSG)絶縁体材料で形成された絶縁層を介してビアと隣接するトレンチ内のパターン化された導電体スタッドを形成する方法が開示されている。ここで、窒素−水素プラズマ処理が、その中に隣接するパターン化された導電体相互接続とパターン化された導電体スタッド層を形成するに先立って、ビアと隣接するトレンチ内の絶縁層の側壁を保護するために使用される。
(4)米国特許第6,211,092号明細書(U.S.P No.6,211,092 Tang)には、絶縁層を介して、デュアルダマシン法に対するデュアルダマシン開口を形成するときに使用される端ぐり(カウンタボア)型の絶縁体エッチング法が開示されている。ここで、端ぐり型の絶縁体エッチング法は、絶縁層を介してビアを最初に形成するときに、複数のエッチング工程を使用する。
微細な電子デバイスを製造する分野においては、比較的低い比誘電率の絶縁体材料で形成された微細電子絶縁層間にそれぞれ介在するパターン化された微細導電体層のために使用され、これにより微細電子絶縁層へのダメージを減少させる新たなダマシン法及び材料が望まれている。
現在、市場で入手可能な低比誘電率膜はすべて、ダイヤモンド押込法(例えば、MTSにより製造されたナノ押込装置)により測定された10GPa未満の弾性率を有する。
米国特許第6,100,184号明細書 米国特許第6,140,226号明細書 米国特許第6,177,364号明細書 米国特許第6,211,092号明細書
本発明の目的は、CMPやパッケージングのような後処理に関する従来の方法や膜に比べて多大な利点を有する方法及び膜を提供することにある。
以下に、従来の集積化方法について、さらに説明する。ビアがトレンチの前に形成される従来のデュアルダマシン技術を実施するにあたって、エッチング停止層は、キャップされた金属物上に重なる第1の絶縁層の上下に形成される。エッチング停止層は、通常は、酸化シリコン、窒化シリコン、酸窒化シリコン、炭化シリコンで形成され、エッチング停止層上に重ねて堆積された第2の絶縁層に対して高いエッチング選択比を有するよう選ばれる。その後、フォトレジストマスクが第2の絶縁層上に形成され、そして、異方性エッチングが第1及び第2の絶縁層を介してビアを形成するように実施されて、下方のエッチング停止層上で停止する。その後、トレンチパターンが、ビア(コンフォーマルでかつ平坦性を有する)を埋めるバリア非反射コーティング(BARC)を使用して第2の絶縁層上に形成される。トレンチ異方性エッチングが、トレンチを形成するように実施され、上方のエッチング停止層(第2の絶縁層の下に位置し、それゆえ、”中間”エッチング停止層と称される)上で停止する。最後に、フォトレジストが従来のアッシング処理で除去され、その後、銅の金属化(メタル化)が実施される。
微細化が金属線のサイズの縮小化(例えば、金属線の幅が約0.25ミクロン以下、あるいは約0.1ミクロン以下)に伴って進行するに従って、層間材料のILD(Inter Layer Dielectric)比誘電率は大変重要になる。これは、エッチング停止層の比誘電率を含む。そこで、高い寸法精度と低い効果的k値(比誘電率)と共に、金属線のような金属の形成を可能にするための相互配線法が必要になってくる。
本発明は、これらの複雑な集積化に関する問題の解決策を提供する。つまり、上記課題を解決するため、本発明は、高い寸法精度と低いk値(比誘電率)を有する絶縁膜の集積方法を提供することを目的とする。
本発明の一態様によれば、基板上にエッチングされるべき層(エッチング層)とエッチング停止層とを有する積層構造を形成する方法を提供する。本実施態様の方法は、(i)反応室中に、シリコンとカーボンとを含む原料ガスから成る反応ガスを原料ガス流量で導入する一方、不活性ガスを原料ガス流量の40%以上の不活性ガス流量で導入し、(ii)加熱された基板支持部材上に取り付けられた基板の上流側であってかつ反応ガスが存在する空間に、プラズマエネルギーを印加し、(iii)プラズマエネルギーを使用して、反応ガスから基板上にエッチング停止層を形成し、(iv)基板上に少なくとも一つのエッチング層を形成する工程を有する。このように、積層構造は、デュアルダマシン構造である。
前記原料ガスは、好ましくは、Siαα−12α−β+2(OC2n+1βである。ここで、αは1−3の整数であり、βは1−3の整数であり、nは1−3の整数であり、RはSiに付着されたC1−6炭化水素である。好ましくは、前記原料ガスは、ジメチル ジメトキシ シランである。
上記本発明の態様によれば、エッチング停止層は、不活性ガス流量を変化させることにより形成され、前記エッチング停止層形成工程及び前記エッチング層形成工程は、同じ室内で、真空状態を維持したまま連続して実施されることが望ましい。これは、半導体デバイスの製造には大きな利点である。さらに、前記エッチング停止層形成工程及び前記エッチング層形成工程は、同じ原料ガス及び不活性ガスを使用することが好ましい。
不活性ガス流量が高いとき、形成される膜の密度は高くなる。すなわち、優れた硬度及びエッチング耐性が現れる。従って、前記エッチング停止層形成工程における不活性ガス流量は、前記エッチング層形成工程における不活性ガス流量より大きいことが好ましい。さらに、前記エッチング層形成工程において、不活性ガス流量は原料ガス流量の40%以下であることが望ましい。この結果、異なった特性を有する二つの膜が容易に形成できる。エッチング層は絶縁体として機能し、エッチング停止層はマスクとして機能する。よって、前記エッチング停止層形成工程は、エッチング停止層の比誘電率を3.0以下に調整する条件下で実施されることが好ましい。
上記態様では、好ましくは、前記プラズマエネルギーは、上部及び下部電極としてそれぞれ機能するシャワーヘッドとサセプターとの間にそれぞれ印加され、前記基板はサセプター上に実装されている。さらに、前記反応ガスは、反応ガスを反応室に導入する工程に先立って、遠隔プラズマ室内でプラズマエネルギーを使用して励起される。
好ましくは、前記原料ガス流量は、50sccmから200sccmの範囲内にある。
上記方法は、さらに、ホールを形成するために、エッチング停止層以外のエッチング層をエッチングし、このホールを銅で埋める工程を有することが望ましい。
本発明の他の態様では、絶縁膜の集積方法が提供される。本態様の方法は、(i)シリコン、炭素、酸素及び水素を含む原料ガスから成る第1の反応ガスを第1の原料ガス流量で使用する一方で、第1の不活性ガスを第1の不活性ガス流量で使用して、基板上に第1の絶縁層を堆積し、第1の不活性ガス流量は第1の原料ガス流量の40%以下であり、第1の反応ガスはプラズマエネルギーで励起されており、(ii)続いて、シリコン、炭素、酸素及び水素を含む原料ガスから成る第2の反応ガスを第2の原料ガス流量で使用する一方、第2の不活性ガスを第2の不活性ガス流量で使用して、第1の絶縁層上に第2の絶縁層を堆積し、第2の不活性ガス流量は第2の原料ガス流量の40%以上であり、第2の反応ガスはプラズマエネルギーで励起される工程を有する。本態様では、前記原料ガスは、アルキル・オーガニック・シリケートであることが好ましい。さらに、好ましくは、前記第1及び第2の絶縁層は、炭素含有酸化シリコンから成る。
第1の絶縁層は、層間絶縁体(IMD)層として機能する。第2の絶縁層は、IMDエッチング停止層又は化学機械研磨(CMP)キャップ層として機能する。
上記態様によれば、前記第1及び第2の絶縁層は、12GPaもしくはそれ以下及び13GPaもしくはそれ以上の弾性率をそれぞれ有することが望ましい。さらに、前記第1及び第2の絶縁層は、2.0GPaもしくはそれ以下及び2.0GPaもしくはそれ以上の硬度をそれぞれ有することが望ましい。従って、第1及び第2の絶縁層は、絶縁体及びエッチングストッパーとしてそれぞれ効果的に機能する。
絶縁層は、好ましくは、アモルファス構造を有する。この構造では、Si−O結合のSiはそれに結合されたCを有し、Cはそれに結合されたHを有する。
本発明の前記第1の態様と同様に、前記第1の絶縁層形成工程及び前記第2の絶縁層形成工程は、同じ室内で真空状態を維持したまま、あるいは、少なくとも基板を反応室からアンロードすることなく連続して実施されることが好ましい。本態様では、集積方法はCVD室で行われるのが好ましい。さらに、好ましくは、前記第1の絶縁層形成工程及び前記第2の絶縁層形成工程は、同じ原料ガス及び不活性ガスを使用する。第1及び第2の原料ガス流量は、それぞれ、50sccmから200sccmの範囲内にある。好ましくは、前記第2の絶縁層形成工程は、第2の絶縁層の比誘電率を3.0以下に調整する条件下で実施される。
他の態様では、前記第1及び第2の反応ガスは、基板が処理される反応室の上流側に配置された遠隔プラズマ室で、プラズマエネルギーを使用して最初に励起されることが好ましい。
従来技術では達成できない効果を説明するために、上述のように、本発明の目的及び効果についての説明が成された。もちろん、本発明の特別の実施例によっては、上記のすべての目的及び効果が必ずしも達成されるとは限らない。従って、本発明に係る技術分野の当業者ならば、本願明細書で教示又は示唆されている他の目的及び効果が必ずしも達成されなくても、本明細書中で教示されている効果を達成するように本発明を実施することは可能である。
本発明のさらなる態様、特徴及び効果は、後述の好適な実施例の詳細な記載から明らかになるであろう。
本発明によれば、一連の低k膜のみがデュアルダマシン構造に使用される一方で、層のk値は従来構造のエッチング停止膜(エッチングストッパー)に比べて非常に小さい。さらに、絶縁膜及びエッチング停止膜は、単一のCVD室で連続した手順で形成される。本発明では、エッチング停止膜のエッチング率は、絶縁膜のエッチング率の1/10以下である。
以下、図面を参照し、本発明の最良の実施形態を説明する。上述のように、本発明は、種々の応用が可能である。
本発明の一実施例では、3.0未満の低比誘電率と、それぞれ12GPa及び2.1GPaより大きい弾性率と硬度とを有する絶縁膜を堆積する方法を提供する。この膜は、デュアルダマシン構造の形成におけるエッチング停止膜として特に有益である。3.5未満の低比誘電率膜は、炭化シリコン膜のようなk値が4.9の従来のエッチング停止膜よりも多大な利点を有する。
一実施例では、SiCO膜がシリコン基板上に形成されているとき、Si(OCH(CHとヘリウムの混合ガスが供給される。Si(OCH(CHとヘリウムガスの比は、例えば、混合ガス中のSi(OCH(CHの40%を超えた目標値を使用して調整される。プラズマエネルギーによって、薄膜が基板上に形成される。他の実施例では、テトラメチルシラン(Si(CH)やトリメチルシラン(SiH(CH))がSiCO膜の形成に対して適用され得る。
例えば、一実施例では、膜形成は、以下の条件の下で実施される。
ヘリウム:40%を超えるSi(OCH(CH
27.12MHz=1400−1800W又は400kHzのRF電力の組合せ
圧力=560Pa
電極ギャップ=24mm
基板温度=370−380℃
好ましい実施例のCVD装置では、チャンバーは反応室から離れた位置に備えられ、遠隔プラズマ放電エネルギーで反応室とパイプにより連結されている。これは、図1を参照して説明される。
図1は、本発明の一実施例による典型的なプラズマCVD装置の概略断面図である。プラズマCVD装置1は、半導体ウェハーのような基板上に薄膜を形成するような使用され、反応室2と、半導体ウェハー9を支持するように反応室内に備えられた支持部3と、支持部3と対向するように位置しかつ半導体ウェハー9に反応ガスを均等に噴出するように使用されるシャワーヘッド4と、処理された反応ガスと反応室2からの生成物を排出する排出口20と、遠隔プラズマ放電室13とを有する。遠隔プラズマ放電室13は、反応室2から遠隔に位置し、パイプ14とバルブ15を介してシャワーヘッド4に連結されている。遠隔プラズマ放電室13は、指定された周波数の出力エネルギーを発振する高周波(Radio Frequency)を使用して活性種を発生する特性を有し、パイプ14は活性種により腐食されない材料で形成されている。
反応室2内に設けられ、半導体ウェハー9を搭載するように使用される支持部3は、アルミニウム合金の陽極酸化アルミニウムから形成され、プラスマ放電電極の一方側を構成するように接地27されている。図示された実施例の反応室2は、in situブラズマ発生(室内で同時にプラズマを発生)のために構成されたブラズマCVD室である。図示された支持部3内には、リング状の加熱素子26が埋め込まれており、半導体ウェハーの温度が温度制御装置(図示せず)を使用して、予め定められた温度に制御される。支持部3は、支持ピストン29を使用して支持部3を上下に移動させる駆動機構25に接続されている。
反応室2内には、シャワーヘッド4が支持部3と直面する位置に設けられている。シャワーヘッド4内には、数千の微細穴が半導体ウェハー9上に反応ガスを噴射するように設けられている。シャワーヘッド4は、整合回路10を介して高周波(RF)発振器8に接続され、プラズマ放電の他方の電極を構成する。シャワーヘッド4から膜形成に使用される反応ガスを導入するために、反応ガス導管11がパイプ14に接続されている。ガス導管11の数は、1つに限定されない。反応ガスの種類に応じて、必要な数のガス導管が設置可能である。ガス導管11の一端は、反応ガスをシャワーヘッド4内に流すようにガス導入ポート5を構成し、他端は、反応ガスをシャワーヘッド4の外に流すように反応ガス排出ポート7を構成する。反応ガス導管11の中間には、質量流量制御装置(図示せず)とバルブ6が設けられている。
反応室2の側壁には、排出口20が設けられている。排出口20は、パイプ17を介して真空排気ポンプ(図示せず)に接続されている排出口20と真空ポンプとの間には、コンダクタンス制御バルブ21が反応室2内の圧力を規制するように設けられている。コンダクタンス制御バルブ21は、外部のレギュレーター28に電気的に接続されている。
さらに、圧力ゲージ28aが反応室2内の圧力を測定するために設けられるのが好ましい。この圧力ケージ28aは、レギュレーター28に電気的に接続されている。
米国出願No.09/764.523(2001,1.18出願)に開示されているCVD装置は、本発明に使用可能である。
次に、ウェハー9上に形成された低k高硬度膜の膜形成の本発明の一実施例について図示する。
最初に、反応室2の内部が、出口20を介して、外部真空ポンプ(図示せず)により、真空排気される。反応室2内の圧力は、コンダクタンス制御バルブ21の開口の程度により、500Paから700Pa(500から600Paの範囲を含む)の範囲内に規制され得る。次に、加熱素子26により加熱された支持部3は、温度制御装置(図示せず)を使用して、300℃から450℃、好ましくは、325℃から375℃の範囲内で、半導体ウェハー9の温度を指定された温度(基板温度として測定される)に制御する。
その後、不活性ガスが、ジメチル ジメトキシ シラン(DMDMOS),Si(OCH(CH)のような液体原料ガスの40%以上の割合で、反応室に流される。例えば、DMDMOSの流量が100sccmのとき、不活性ガスの流量は、40sccm以上、好ましくは、70sccm以上(DMDMOS流量の70%以上)である。上述の一実施例では、直径200mmの半導体基板を処理するにあたって、DMDMOS流量は、50Sccm以上、好ましくは、100sccm以上(300sccmを含む)、より好ましくは、100sccmから200sccmの範囲内である。300mmのような大きな直径を有する基板が処理される場合には、原料ガス流量は、適宜増加する(例えば、直径の増加に比例する)。
一実施例では、高硬度層に対する不活性ガス流量は、原料ガス流量の40%以上であり、不活性ガス流量は、例えば、45%,50%,55%,60%,65%,70%,75%,80%,90%,100%,110%,120%,150%,170%,200%,250%,300%及び上記いずれかの値の中間の範囲を含む。
高硬度層を従来の絶縁層と組み合わせたとき、従来の絶縁層に対する不活性ガス流量は40%未満であり、不活性ガス流量は、例えば、35%,30%,25%,20%,15%,10%,5%,0%及び上記いずれかの値の中間の範囲を含む。
原料ガスとして、その分子構造においてSi,C,H及びOを有するガスを含むものであれば、低k膜に対する好ましい材料として使用可能である。例えば、化学式Siαα−12α−β+2(OC2n+1βを有するシリコン含有炭化水素が使用できる。ここで、αは1−3の整数であり、βは1−3の整数であり、RはSiに付着したC1−6炭化水素である。低k膜は、ノンドープシリコンガラス(NSG),リン酸塩含有シリコンガラス(PSG),ボロンリン酸塩含有シリコンガラス(BPSG),フッ素含有シリコンガラス(FSG),シリコン含有炭素化合物,有機シリコン,又はシロキサン重合体などにより形成される。シロキサン重合体は、米国特許No.6,455,445(2002,9.24発行)、米国特許No.6,352,945(2002,3.5発行)、米国特許No.6,383,955(2002,5.7発行)、米国特許No.6,410,463(2002,6.25発行)、米国特許No.6,432,846(2002,8.13発行)に開示されているように、効果的に使用でき、本発明にも使用可能な材料ガスを開示している。
不活性ガスとしては、He,Ar,Ne,Krこれらの二つ以上の混合物が使用可能である。He又はArは、高硬度膜を堆積するのに好ましい。HeとArの混合物は、より高い硬度値を有する低k膜を得るのに効果的である。これらのガスは、ガス排出ポート7を介してシャワーヘッド4に流れる前であってバルブ6を通過後に、導入ポート5に先立ってあるいは導入ポート5で予め混合される。この混合は、液状又はガス状で実施され得る。
27MHzの高周波(RF)を有する電力又は27MHzと400kHzの間の混合電力が、例えば、高周波(RF)源8によって、シャワーヘッド4に印加される。例えば、反応室内にプラズマ放電を発生させるためには、27MHzRF電力がシャワーヘッド4に印加され、その電力は、ほぼ1000W(2.63W/cm)から3000W(7.90W/cm)、好ましくは、1500W(3.95W/cm)から2000W(5.26W/cm)である。他の実施例では、13.56MHzのRF電力、13.56MHzと350kHz−500kHzの混合電力又は27.12MHzと350kHz−500kHzの混合電力がプラズマを発生させるために使用され得る。一実施例では、27.12MHzと400kHzの混合RF電力が上部電極に印加されるとき、27.12MHzの電力が500Wから3000Wの範囲内にあり、400kHzの電力が100Wから1000Wの範囲内にある。他の実施例では、27.12MHzの電力が1000Wから2000Wの範囲内にあり、400kHzの電力が150Wから500Wの範囲内にある。さらに、一実施例では、13.56MHzと400kHzの混合RF電力が上部電極に印加されるとき、13.56MHzの電力が500Wから2000Wの範囲内にあり、400kHzの電力が100Wから1000Wの範囲内にある。他の実施例では、13.56MHzの電力が750Wから1500Wの範囲内にあり、400kHzの電力が200Wから500Wの範囲内にある。さらに、13.56MHzがプラズマの発生に単独で使用されるとき、そのRF電力は500Wから3000Wの範囲内、好ましくは、750Wから1500Wの範囲内に設定される。上記電力は可変であり、上記値には限定されず、反応ガスの種類、基板の大きさ、CVD装置の種類及びプラズマ処理の条件に依存する。
低k膜は、プラズマCVD(RP−CVD(Remote plasma CVD)及びPECVDを含む)及び熱CVD等を含む好適な方法によって堆積される。これらの方法は、前述の米国特許に開示されており、本発明においても使用可能である。
各パラメーターは、種々の大きさの基板又は反応室に堆積するように最適化される。
この結果、プラズマ反応領域は、反応室2内でin situプラズマを発生するための一電極として作用するシャワーヘッド4と、他の電極として作用する支持部3との間の空間に形成される。その領域内の反応ガスの分子は、プラズマエネルギーにより活性化されイオン化される。イオン化された分子は、半導体基板9上で化学反応を起こし、高硬度を有する低k膜が形成される。
CDO(炭素ドープ酸化物)低k膜(従来の膜、すなわち不十分な硬度を有する)の一実施例は、以下の特性を有する。
硬度<2.0GPa(1.7から2.0GPaの範囲を含む)
弾性率<11Gpa(9から11GPaの範囲を含む)
k値<2.9(2.7から2.9の範囲を含む)
低kのハード膜の一実施例は、以下の特性を有する。
硬度>2.0GPa(2.0から2.5GPaの範囲を含む)
弾性率>12Gpa(11から15GPaの範囲を含む)
k値<3.0(2.8から3.0の範囲を含む)
上述のように、硬度はダイヤモンド押込法により測定される。弾性率はダイヤモンド押込法により測定される。
本発明では、CDO低k膜と低kのハード膜の両方の厚さの均一性は、1σで3%未満であり、これは、LSIデバイスの要求を満足している。
一実施例では、上述のように、CDO低k膜は、最初に、Heを液体原料ガスに原料ガスの40%未満の割合で流すことによって形成される。膜が処理時間に応じて所望の厚さに達すると、ヘリウム流がさらに40%以上の割合に増加して、これにより、従来の低k膜上に高硬度膜が形成される。このようにして、ハードマスクが、真空状態を維持したまま、in situで形成される。不活性ガスの流量が、原料ガスの流量の40%以上であるとき、膜密度は増加して、硬度の増加につながる。積層構造は、集積化スキームに応じて変化し、意図する使用に好適な構造が形成される。CDO低k膜は、金属線の間に形成される絶縁体として使用され、高硬度膜は、ビアホールのようなホールを積層構造中に形成するときに使用されるエッチングストッパーとして用いられる。しかし、各膜に対する意図する使用は、上述の場合に限定されず、CDO低k膜は、マルチレベルIMD(層間金属絶縁体)として使用され、一方、高硬度膜は、例えば、CMPキャップと中間エッチングストッパーとして使用される。
薄膜形成処理の終了時に、バルブ6が閉められ、同時に、ゲートバルブ18が開けられる。自動移動ロボット(図示せず)が、開口19を介して、処理された半導体ウェハー9を隣の移動室(図示せず)に運ぶ。反応室2が真空排気された後には、未処理の半導体ウェハーは移動室から内部に運ばれ、ゲートバルブ18が閉じて、上記手順が繰り返される。
さらに、本発明は、種々の膜形成処理に適用され得る。
図2において、層201は下地層である。層201は、Si基板であっても良いし、タングステンプラグと誘電体酸化物とにより構成されるFEOL(Front End Of Line)デバイスであっても良い。層201上には、ハード膜層202が0.02から0.09ミクロン(0.05から0.07ミクロンの範囲を含む)の厚さで堆積されている。その後、従来の低k膜、例えば、層203(CDO膜)が、0.3から0.8ミクロン(0.4から0.6ミクロンの範囲を含む)の厚さに堆積されている。第2のハード膜層204が、エッチング停止層として、0.02から0.10ミクロン(0.04から0.05ミクロンの範囲を含む)の厚さに堆積されている。最後に、従来の低k膜205が、0.3から0.5ミクロン(0.4ミクロンを含む)の厚さに堆積され、続いて、第3のハード膜層206が、0.02から0.1ミクロン(0.05から0.07ミクロンの範囲を含む)の厚さに堆積されている。このようにして、堆積工程が完了する。第1のハード膜層202、第2のハード膜層204、第3のハード膜層206の製法は、同じであっても異なっていても良い。例えば、各ハード膜層の厚さは、堆積が進む過程で不活性ガスの流量率を単に減少させることにより変化し、一方、第1のハード膜層202は、第3のハード膜層206よりも硬い。ハード膜層の数は1つに限定されずに、半導体デバイスの意図された使用に応じて、2又は3、あるいは3を超えても良い。さらに、積層構造は、本実施例に限定されずに、層が互いにその上に積層された上記実施例のようなマルチレベルDD(デュアルダマシン)構造を含む。
すべての膜は、処理ガス流又はRF電力を変化させることにより、単一のCVD室に堆積され、CVD室からアンロードすることなく連続して堆積される。さらに、従来の低k膜がハード膜層に対するものと同じ原料ガスから形成されれば、デュアルダマシン構造が不活性ガス流を変化させることにより容易に形成できる。
例えば、層201,202,203,204,205及び206は、ウェハーをアンロードすることなく、単一のローディングで順次形成される。これは、マルチ工程の堆積法を使用することにより達成される。
これは、装置のスループットを向上させ、ガス消費を減少させる。
図3を参照して、ハード膜の機能をさらに説明する。デュアルダマシン構造を形成する過程で、ビアファーストエッチング法を使用するのならば、例えば、ビア207は、RIE(リアクティブ イオン エッチング)によって形成される。これにより、ハード膜202は、エッチング処理の間、エッチング停止層として作用する。ビア207は、トレンチファースト法によっても形成可能である。ビア207の幅は、0.09から0.25ミクロンの範囲内(0.13から0.18ミクロンの範囲を含む)である。
図3に示された工程に続き、図4に示されているようなトレンチ208が形成される。トレンチ208の幅は、0.10から0.28ミクロンの範囲内(0.01から0.18ミクロンの範囲を含む)である。この処理において、層204は、トレンチRIE(リアクティブ イオン エッチング)に対するエッチング停止層として部分的に作用する。ハード膜204は、角209に急激な傾きが生じるのを防止し、これにより、良好なデュアルダマシン構造が形成される。図4において、ハード膜206の目的は以下の通りである。デュアルダマシン構造の形成後、銅による処理がCMPの最終工程として実施される。層206は、CMP処理における銅の過剰研磨の間に生じる絶縁膜の過剰な損失を防止するための犠牲層として作用する。上述のエッチング処理は、好適な方法により実施される。
図5において、銅201の最終構造は、層206が除去されたデュアルダマシン構造である。上述のように、層206を犠牲層として利用することにより、銅のディッシング211が最小化される。これにより、過剰研磨の間に、CMP処理のより好適な制御が行える。さらに、犠牲層206は、傷、層剥離及びパーティクルのようなCMP欠陥からデバイスを保護する。
ちなみに、以下の文献には、本発明に関連する技術が開示されている。
米国特許2002/0011210A1,”セルフクリーニングのための遠隔プラズマ源を備えた半導体処理装置”
米国特許 6350670B1,”炭素含有酸化絶縁層を有する半導体デバイスの製造方法”
米国特許 6316063B1,”炭素含有酸化絶縁層の製法”
米国特許 6348725B2,”低比誘電率膜を堆積するためのプラズマ処理”
米国特許 6440838,”積層された中間エッチング停止層を使用したデュアンダマシン構造”
米国特許 6440861,”デュアンダマシン構造の形成方法”
本発明の技術思想の範囲内で、その分野の当業者ならば多くのかつ種々の変更が可能である。それゆえ、本発明の範囲は、図示されたものに限定されないことは明らかである。
本発明に使用可能なCVD装置の概略図である。 基板上に形成された積層構造を図示した本発明の一実施例の断面図である。 積層構造中のビアホールの形成を図示した本発明の一実施例の断面図である。 積層構造中のトレンチの形成を図示した本発明の一実施例の断面図である。 銅で埋められたトレンチを示す本発明の一実施例の断面図である。
符号の説明
1 プラズマCVD装置
2 反応室
3 支持部
4 シャワーヘッド
5 導入ポート
6 バルブ
7 ガス排出ポート
8 高周波(RF)源
9 半導体ウェハー
10 整合回路
11 反応ガス導管
13 遠隔プラズマ放電室
14 パイプ
15 バルブ
17 パイプ
18 ゲートバルブ
19 開口
20 排出口
21 コンダクタンス制御バルブ
25 駆動機構
26 熱素子
27 接地
28a 圧力ゲージ
29 支持ピストン

Claims (14)

  1. 基板上にエッチングされるべき層(エッチング層)とエッチング停止層とを有する積層構造を形成する方法であって、
    反応室中に、シリコンとカーボンとを含む原料ガスから成る反応ガスを原料ガス流量で導入する一方、不活性ガスを原料ガス流量の40%以上の不活性ガス流量で導入し、
    加熱された基板支持部材上に取り付けられた基板の上流側であってかつ反応ガスが存在する空間に、プラズマエネルギーを印加し、
    ブラズマエネルギーを使用して、反応ガスから基板上にエッチング停止層を形成し、
    基板上に少なくとも一つのエッチング層を形成することにより積層構造を形成し、
    前記原料ガスは、ジメチル ジメトキシ シランであり、
    前記エッチング停止層形成工程及び前記エッチング層形成工程は、同じ室内で、真空状態を維持したまま連続して実施され、
    前記エッチング停止層形成工程及び前記エッチング層形成工程は、同じ原料ガス及び不活性ガスを使用し、
    前記エッチング停止層形成工程における不活性ガス流量は、前記エッチング層形成工程における不活性ガス流量より大きく、
    前記エッチング層形成工程において、不活性ガス流量は原料ガス流量の40%以下であることを特徴とする積層構造体の形成方法。
  2. 前記積層構造は、デュアルダマシン構造であることを特徴とする請求項1に記載の形成方法。
  3. 前記エッチング停止層形成工程は、エッチング停止層の比誘電率を3.0以下に調整する条件下で実施されることを特徴とする請求項1に記載の形成方法。
  4. 前記プラズマエネルギーは、上部及び下部電極としてそれぞれ機能するシャワーヘッドとサセプターとの間にそれぞれ印加され、前記基板はサセプター上に実装されていることを特徴とする請求項1に記載の形成方法。
  5. 前記反応ガスは、反応ガスを反応室に導入する工程に先立って、遠隔プラズマ室内でプラズマエネルギーを使用して励起されることを特徴とする請求項1に記載の形成方法。
  6. 前記原料ガス流量は、50sccmから200sccmの範囲内にあることを特徴とする請求項1に記載の形成方法。
  7. 請求項1において、さらに、
    ホールを形成するために、エッチング停止層以外のエッチング層をエッチングし、このホールを銅で埋めることを特徴とする形成方法。
  8. 絶縁膜の集積方法において、
    シリコン、炭素、酸素及び水素を含む原料ガスから成る第1の反応ガスを第1の原料ガス流量で使用する一方、第1の不活性ガスを第1の不活性ガス流量で使用して、基板上に第1の絶縁層を堆積し、第1の不活性ガス流量は第1の原料ガス流量の40%以下であり、第1の反応ガスはプラズマエネルギーで励起されており、
    続いて、シリコン、炭素、酸素及び水素を含む原料ガスから成る第2の反応ガスを第2の原料ガス流量で使用する一方、第2の不活性ガスを第2の不活性ガス流量で使用して、第1の絶縁層上に第2の絶縁層を堆積し、第2の不活性ガス流量は第2の原料ガス流量の40%以上であり、第2の反応ガスはプラズマエネルギーで励起され、
    前記原料ガスは、ジメチル ジメトキシ シランであり、
    前記第1の絶縁層形成工程及び前記第2の絶縁層形成工程は、同じチャンバー内で、真空状態を維持したまま連続して実施され、
    前記第1の絶縁層形成工程及び前記第2の絶縁層形成工程は、同じ原料ガス及び不活性ガスを使用し、
    前記第1の絶縁層は絶縁体であり、前記第2の絶縁層はエッチングストッパーであることを特徴とする絶縁膜の集積方法。
  9. 前記第1及び第2の絶縁層は、炭素含有酸化シリコンから成ることを特徴とする請求項8に記載の絶縁膜の集積方法。
  10. 前記第1及び第2の絶縁層は、12GPaもしくはそれ以下及び13GPaもしくはそれ以上の弾性率をそれぞれ有することを特徴とする請求項8に記載の絶縁膜の集積方法。
  11. 前記第1及び第2の絶縁層は、2.0GPaもしくはそれ以下及び2.0GPaもしくはそれ以上の硬度をそれぞれ有することを特徴とする請求項8に記載の絶縁膜の集積方法。
  12. 前記第2の絶縁層形成工程は、第2の絶縁層の比誘電率を3.0以下に調整する条件下で実施されることを特徴とする請求項8に記載の絶縁膜の集積方法。
  13. 前記第1及び第2の反応ガスは、基板が処理される反応室の上流側に配置された遠隔プラズマ室で、プラズマエネルギーを使用して最初に励起されることを特徴とする請求項8に記載の絶縁膜の集積方法。
  14. 前記第1及び第2の原料ガス流量は、それぞれ50sccmから200sccmの範囲内にあることを特徴とする請求項8に記載の絶縁膜の集積方法。
JP2003358792A 2002-10-30 2003-10-20 積層構造体の形成方法及び絶縁膜の集積方法 Expired - Lifetime JP4633348B2 (ja)

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
US10/284,517 US6815332B2 (en) 2002-10-30 2002-10-30 Method for forming integrated dielectric layers

Publications (2)

Publication Number Publication Date
JP2004153266A JP2004153266A (ja) 2004-05-27
JP4633348B2 true JP4633348B2 (ja) 2011-02-16

Family

ID=32174879

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2003358792A Expired - Lifetime JP4633348B2 (ja) 2002-10-30 2003-10-20 積層構造体の形成方法及び絶縁膜の集積方法

Country Status (3)

Country Link
US (1) US6815332B2 (ja)
JP (1) JP4633348B2 (ja)
KR (1) KR20040038606A (ja)

Families Citing this family (19)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR100587600B1 (ko) * 2002-12-10 2006-06-08 매그나칩 반도체 유한회사 듀얼 다마신 공정을 이용한 금속배선 형성방법
US7238393B2 (en) * 2003-02-13 2007-07-03 Asm Japan K.K. Method of forming silicon carbide films
US6737365B1 (en) * 2003-03-24 2004-05-18 Intel Corporation Forming a porous dielectric layer
US20040253378A1 (en) * 2003-06-12 2004-12-16 Applied Materials, Inc. Stress reduction of SIOC low k film by addition of alkylenes to OMCTS based processes
KR100541185B1 (ko) * 2003-07-28 2006-01-11 삼성전자주식회사 캡핑막을 포함하는 층간절연막 및 이를 포함하는 금속배선형성 방법
US20050037153A1 (en) * 2003-08-14 2005-02-17 Applied Materials, Inc. Stress reduction of sioc low k films
US6908863B2 (en) * 2003-09-29 2005-06-21 Intel Corporation Sacrificial dielectric planarization layer
US7271093B2 (en) 2004-05-24 2007-09-18 Asm Japan K.K. Low-carbon-doped silicon oxide film and damascene structure using same
KR100613375B1 (ko) * 2004-08-13 2006-08-17 동부일렉트로닉스 주식회사 반도체 소자의 구리 배선 및 그 형성 방법
US7265437B2 (en) * 2005-03-08 2007-09-04 International Business Machines Corporation Low k dielectric CVD film formation process with in-situ imbedded nanolayers to improve mechanical properties
US20060286306A1 (en) * 2005-06-17 2006-12-21 Asm Japan K.K. Method of producing advanced low dielectric constant film by UV light emission
US7582557B2 (en) * 2005-10-06 2009-09-01 Taiwan Semiconductor Manufacturing Co., Ltd. Process for low resistance metal cap
US7789965B2 (en) 2006-09-19 2010-09-07 Asm Japan K.K. Method of cleaning UV irradiation chamber
US7777344B2 (en) * 2007-04-11 2010-08-17 Taiwan Semiconductor Manufacturing Company, Ltd. Transitional interface between metal and dielectric in interconnect structures
US20090093135A1 (en) * 2007-10-04 2009-04-09 Asm Japan K.K. Semiconductor manufacturing apparatus and method for curing material with uv light
JP6246558B2 (ja) * 2013-10-29 2017-12-13 東京エレクトロン株式会社 シリコン酸炭窒化物膜、シリコン酸炭化物膜、シリコン酸窒化物膜の成膜方法および成膜装置
KR101533033B1 (ko) * 2015-01-16 2015-07-02 성균관대학교산학협력단 초슬림 구조의 박막 증착 방법 및 이를 위한 증착 장치
US10418243B2 (en) * 2015-10-09 2019-09-17 Applied Materials, Inc. Ultra-high modulus and etch selectivity boron-carbon hardmask films
CN110993499B (zh) 2019-11-05 2022-08-16 北京北方华创微电子装备有限公司 一种刻蚀方法、空气隙型介电层及动态随机存取存储器

Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2001110789A (ja) * 1999-06-09 2001-04-20 Applied Materials Inc 集積した低k誘電体層とエッチング停止層
JP2002110670A (ja) * 2000-04-19 2002-04-12 Applied Materials Inc 酸化プラズマを用いた低誘電率膜の堆積方法
JP2002526916A (ja) * 1998-10-01 2002-08-20 アプライド マテリアルズ インコーポレイテッド ダマシン用途の低κシリコンカーバイドバリア層、エッチストップ及び反射防止被膜のインシチュウ堆積
JP2002275631A (ja) * 2000-10-30 2002-09-25 Applied Materials Inc オルガノシリケート層の堆積方法

Family Cites Families (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6100184A (en) 1997-08-20 2000-08-08 Sematech, Inc. Method of making a dual damascene interconnect structure using low dielectric constant material for an inter-level dielectric layer
US6140226A (en) 1998-01-16 2000-10-31 International Business Machines Corporation Dual damascene processing for semiconductor chip interconnects
US6287990B1 (en) * 1998-02-11 2001-09-11 Applied Materials, Inc. CVD plasma assisted low dielectric constant films
US6627532B1 (en) * 1998-02-11 2003-09-30 Applied Materials, Inc. Method of decreasing the K value in SiOC layer deposited by chemical vapor deposition
US6211092B1 (en) 1998-07-09 2001-04-03 Applied Materials, Inc. Counterbore dielectric plasma etch process particularly useful for dual damascene
US6177364B1 (en) 1998-12-02 2001-01-23 Advanced Micro Devices, Inc. Integration of low-K SiOF for damascene structure
US6576564B2 (en) * 2000-12-07 2003-06-10 Micron Technology, Inc. Photo-assisted remote plasma apparatus and method

Patent Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2002526916A (ja) * 1998-10-01 2002-08-20 アプライド マテリアルズ インコーポレイテッド ダマシン用途の低κシリコンカーバイドバリア層、エッチストップ及び反射防止被膜のインシチュウ堆積
JP2001110789A (ja) * 1999-06-09 2001-04-20 Applied Materials Inc 集積した低k誘電体層とエッチング停止層
JP2002110670A (ja) * 2000-04-19 2002-04-12 Applied Materials Inc 酸化プラズマを用いた低誘電率膜の堆積方法
JP2002275631A (ja) * 2000-10-30 2002-09-25 Applied Materials Inc オルガノシリケート層の堆積方法

Also Published As

Publication number Publication date
US6815332B2 (en) 2004-11-09
US20040087179A1 (en) 2004-05-06
KR20040038606A (ko) 2004-05-08
JP2004153266A (ja) 2004-05-27

Similar Documents

Publication Publication Date Title
JP4633348B2 (ja) 積層構造体の形成方法及び絶縁膜の集積方法
US7271093B2 (en) Low-carbon-doped silicon oxide film and damascene structure using same
US6762127B2 (en) Etch process for dielectric materials comprising oxidized organo silane materials
US6991959B2 (en) Method of manufacturing silicon carbide film
US6669858B2 (en) Integrated low k dielectrics and etch stops
US6812043B2 (en) Method for forming a carbon doped oxide low-k insulating layer
US6849561B1 (en) Method of forming low-k films
US6903004B1 (en) Method of making a semiconductor device having a low K dielectric
US20080145998A1 (en) Method of forming a low-k dual damascene interconnect structure
WO2001080309A2 (en) A method to enhance the adhesion of silicon nitride to low-k fluorinated amorphous carbon using a silicon carbide adhesion promoter layer
JP2004247725A (ja) シリコンカーバイド膜を形成する方法
US5728631A (en) Method for forming a low capacitance dielectric layer
KR100817350B1 (ko) 규소 탄화물 박막의 이중 플라즈마 처리
JP2005033203A (ja) シリコンカーバイド膜の形成方法
US7129171B2 (en) Selective oxygen-free etching process for barrier materials
JP3236576B2 (ja) 層間絶縁膜の形成方法、化学的気相成長装置、及び半導体装置
US7247252B2 (en) Method of avoiding plasma arcing during RIE etching
JP2002539640A (ja) 半導体ウェーハ上のギャップの充填方法
US6255211B1 (en) Silicon carbide stop layer in chemical mechanical polishing over metallization layers
US6753269B1 (en) Method for low k dielectric deposition
US20020142104A1 (en) Plasma treatment of organosilicate layers
JPH07316823A (ja) プラズマcvd装置およびプラズマcvd方法
KR100888186B1 (ko) 절연막 형성 방법
KR100667423B1 (ko) 반도체장치의 제조방법
US6472330B1 (en) Method for forming an interlayer insulating film, and semiconductor device

Legal Events

Date Code Title Description
A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20060928

A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20081021

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20100714

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20100802

RD03 Notification of appointment of power of attorney

Free format text: JAPANESE INTERMEDIATE CODE: A7423

Effective date: 20100802

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20100915

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20101005

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20101027

A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20101117

R150 Certificate of patent or registration of utility model

Ref document number: 4633348

Country of ref document: JP

Free format text: JAPANESE INTERMEDIATE CODE: R150

Free format text: JAPANESE INTERMEDIATE CODE: R150

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20131126

Year of fee payment: 3

S802 Written request for registration of partial abandonment of right

Free format text: JAPANESE INTERMEDIATE CODE: R311802

R350 Written notification of registration of transfer

Free format text: JAPANESE INTERMEDIATE CODE: R350

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

EXPY Cancellation because of completion of term