US7265437B2 - Low k dielectric CVD film formation process with in-situ imbedded nanolayers to improve mechanical properties - Google Patents
Low k dielectric CVD film formation process with in-situ imbedded nanolayers to improve mechanical properties Download PDFInfo
- Publication number
- US7265437B2 US7265437B2 US10/906,815 US90681505A US7265437B2 US 7265437 B2 US7265437 B2 US 7265437B2 US 90681505 A US90681505 A US 90681505A US 7265437 B2 US7265437 B2 US 7265437B2
- Authority
- US
- United States
- Prior art keywords
- dielectric
- layer
- stack
- nanolayer
- dielectric material
- Prior art date
- Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
- Active, expires
Links
- 238000000034 method Methods 0.000 title description 13
- 230000008569 process Effects 0.000 title description 7
- 230000015572 biosynthetic process Effects 0.000 title description 6
- 238000011065 in-situ storage Methods 0.000 title description 3
- 239000003989 dielectric material Substances 0.000 claims abstract description 65
- 239000002052 molecular layer Substances 0.000 claims abstract description 38
- 239000000758 substrate Substances 0.000 claims description 33
- 229910052710 silicon Inorganic materials 0.000 claims description 28
- 229910052760 oxygen Inorganic materials 0.000 claims description 26
- 229910052739 hydrogen Inorganic materials 0.000 claims description 25
- VYPSYNLAJGMNEJ-UHFFFAOYSA-N Silicium dioxide Chemical compound O=[Si]=O VYPSYNLAJGMNEJ-UHFFFAOYSA-N 0.000 claims description 19
- 229910052799 carbon Inorganic materials 0.000 claims description 19
- 239000000203 mixture Substances 0.000 claims description 13
- 229910052757 nitrogen Inorganic materials 0.000 claims description 8
- 239000000377 silicon dioxide Substances 0.000 claims description 8
- -1 SiCOx Inorganic materials 0.000 claims description 7
- 229910052814 silicon oxide Inorganic materials 0.000 claims description 7
- 229910052681 coesite Inorganic materials 0.000 claims 2
- 229910052906 cristobalite Inorganic materials 0.000 claims 2
- 229910052682 stishovite Inorganic materials 0.000 claims 2
- 229910052905 tridymite Inorganic materials 0.000 claims 2
- 238000011282 treatment Methods 0.000 abstract description 14
- 230000006872 improvement Effects 0.000 abstract description 4
- 239000010410 layer Substances 0.000 description 158
- 239000011810 insulating material Substances 0.000 description 68
- 239000000463 material Substances 0.000 description 40
- 239000004020 conductor Substances 0.000 description 39
- 239000002243 precursor Substances 0.000 description 38
- 229910052751 metal Inorganic materials 0.000 description 25
- 239000002184 metal Substances 0.000 description 25
- 238000001020 plasma etching Methods 0.000 description 20
- 125000004429 atom Chemical group 0.000 description 19
- 239000007789 gas Substances 0.000 description 17
- 238000000151 deposition Methods 0.000 description 16
- 238000009792 diffusion process Methods 0.000 description 15
- 230000004888 barrier function Effects 0.000 description 14
- 239000004065 semiconductor Substances 0.000 description 13
- 238000004891 communication Methods 0.000 description 12
- 230000008021 deposition Effects 0.000 description 12
- XUIMIQQOPSSXEZ-UHFFFAOYSA-N Silicon Chemical compound [Si] XUIMIQQOPSSXEZ-UHFFFAOYSA-N 0.000 description 8
- 239000010703 silicon Substances 0.000 description 8
- 238000000623 plasma-assisted chemical vapour deposition Methods 0.000 description 7
- 239000011261 inert gas Substances 0.000 description 6
- 238000009832 plasma treatment Methods 0.000 description 6
- 230000005855 radiation Effects 0.000 description 6
- 239000004215 Carbon black (E152) Substances 0.000 description 5
- 229910052581 Si3N4 Inorganic materials 0.000 description 5
- 229910052786 argon Inorganic materials 0.000 description 5
- 238000010894 electron beam technology Methods 0.000 description 5
- 229910052734 helium Inorganic materials 0.000 description 5
- 229930195733 hydrocarbon Natural products 0.000 description 5
- 150000002430 hydrocarbons Chemical class 0.000 description 5
- 239000012212 insulator Substances 0.000 description 5
- 238000004519 manufacturing process Methods 0.000 description 5
- 239000007800 oxidant agent Substances 0.000 description 5
- 229910010271 silicon carbide Inorganic materials 0.000 description 5
- HQVNEWCFYHHQES-UHFFFAOYSA-N silicon nitride Chemical compound N12[Si]34N5[Si]62N3[Si]51N64 HQVNEWCFYHHQES-UHFFFAOYSA-N 0.000 description 5
- 238000007669 thermal treatment Methods 0.000 description 5
- WZJUBBHODHNQPW-UHFFFAOYSA-N 2,4,6,8-tetramethyl-1,3,5,7,2$l^{3},4$l^{3},6$l^{3},8$l^{3}-tetraoxatetrasilocane Chemical compound C[Si]1O[Si](C)O[Si](C)O[Si](C)O1 WZJUBBHODHNQPW-UHFFFAOYSA-N 0.000 description 4
- XKRFYHLGVUSROY-UHFFFAOYSA-N Argon Chemical compound [Ar] XKRFYHLGVUSROY-UHFFFAOYSA-N 0.000 description 4
- UFHFLCQGNIYNRP-UHFFFAOYSA-N Hydrogen Chemical compound [H][H] UFHFLCQGNIYNRP-UHFFFAOYSA-N 0.000 description 4
- 150000001875 compounds Chemical class 0.000 description 4
- NBBQQQJUOYRZCA-UHFFFAOYSA-N diethoxymethylsilane Chemical compound CCOC([SiH3])OCC NBBQQQJUOYRZCA-UHFFFAOYSA-N 0.000 description 4
- 239000001257 hydrogen Substances 0.000 description 4
- 239000007788 liquid Substances 0.000 description 4
- 239000012705 liquid precursor Substances 0.000 description 4
- HMMGMWAXVFQUOA-UHFFFAOYSA-N octamethylcyclotetrasiloxane Chemical compound C[Si]1(C)O[Si](C)(C)O[Si](C)(C)O[Si](C)(C)O1 HMMGMWAXVFQUOA-UHFFFAOYSA-N 0.000 description 4
- 239000011148 porous material Substances 0.000 description 4
- 239000003870 refractory metal Substances 0.000 description 4
- HBMJWWWQQXIZIP-UHFFFAOYSA-N silicon carbide Chemical compound [Si+]#[C-] HBMJWWWQQXIZIP-UHFFFAOYSA-N 0.000 description 4
- 239000002356 single layer Substances 0.000 description 4
- 230000015556 catabolic process Effects 0.000 description 3
- 238000006731 degradation reaction Methods 0.000 description 3
- 239000007769 metal material Substances 0.000 description 3
- 229910044991 metal oxide Inorganic materials 0.000 description 3
- 150000004706 metal oxides Chemical class 0.000 description 3
- 229920000412 polyarylene Polymers 0.000 description 3
- 239000003361 porogen Substances 0.000 description 3
- 229910052721 tungsten Inorganic materials 0.000 description 3
- OKTJSMMVPCPJKN-UHFFFAOYSA-N Carbon Chemical compound [C] OKTJSMMVPCPJKN-UHFFFAOYSA-N 0.000 description 2
- RYGMFSIKBFXOCR-UHFFFAOYSA-N Copper Chemical compound [Cu] RYGMFSIKBFXOCR-UHFFFAOYSA-N 0.000 description 2
- YZCKVEUIGOORGS-UHFFFAOYSA-N Hydrogen atom Chemical compound [H] YZCKVEUIGOORGS-UHFFFAOYSA-N 0.000 description 2
- 229910000577 Silicon-germanium Inorganic materials 0.000 description 2
- 238000003302 UV-light treatment Methods 0.000 description 2
- 238000002679 ablation Methods 0.000 description 2
- 238000000862 absorption spectrum Methods 0.000 description 2
- 229910052782 aluminium Inorganic materials 0.000 description 2
- XAGFODPZIPBFFR-UHFFFAOYSA-N aluminium Chemical compound [Al] XAGFODPZIPBFFR-UHFFFAOYSA-N 0.000 description 2
- QVGXLLKOCUKJST-UHFFFAOYSA-N atomic oxygen Chemical group [O] QVGXLLKOCUKJST-UHFFFAOYSA-N 0.000 description 2
- 239000012159 carrier gas Substances 0.000 description 2
- 238000005229 chemical vapour deposition Methods 0.000 description 2
- 229910052802 copper Inorganic materials 0.000 description 2
- 239000010949 copper Substances 0.000 description 2
- 125000004122 cyclic group Chemical group 0.000 description 2
- 150000004759 cyclic silanes Chemical class 0.000 description 2
- 230000003247 decreasing effect Effects 0.000 description 2
- 238000005137 deposition process Methods 0.000 description 2
- DGXPASZXUJQWLQ-UHFFFAOYSA-N diethyl(methoxy)silane Chemical compound CC[SiH](CC)OC DGXPASZXUJQWLQ-UHFFFAOYSA-N 0.000 description 2
- 238000005530 etching Methods 0.000 description 2
- 150000002170 ethers Chemical class 0.000 description 2
- 229910052731 fluorine Inorganic materials 0.000 description 2
- 229910052735 hafnium Inorganic materials 0.000 description 2
- 238000010438 heat treatment Methods 0.000 description 2
- 239000001307 helium Substances 0.000 description 2
- SWQJXJOGLNCZEY-UHFFFAOYSA-N helium atom Chemical compound [He] SWQJXJOGLNCZEY-UHFFFAOYSA-N 0.000 description 2
- VNWKTOKETHGBQD-UHFFFAOYSA-N methane Chemical compound C VNWKTOKETHGBQD-UHFFFAOYSA-N 0.000 description 2
- 150000004767 nitrides Chemical class 0.000 description 2
- 150000001282 organosilanes Chemical class 0.000 description 2
- 239000001301 oxygen Substances 0.000 description 2
- 239000000376 reactant Substances 0.000 description 2
- 235000012239 silicon dioxide Nutrition 0.000 description 2
- 239000000126 substance Substances 0.000 description 2
- 229910052715 tantalum Inorganic materials 0.000 description 2
- 229920001187 thermosetting polymer Polymers 0.000 description 2
- 229910052726 zirconium Inorganic materials 0.000 description 2
- GJEZBVHHZQAEDB-UHFFFAOYSA-N 6-oxabicyclo[3.1.0]hexane Chemical compound C1CCC2OC21 GJEZBVHHZQAEDB-UHFFFAOYSA-N 0.000 description 1
- JBRZTFJDHDCESZ-UHFFFAOYSA-N AsGa Chemical compound [As]#[Ga] JBRZTFJDHDCESZ-UHFFFAOYSA-N 0.000 description 1
- VGGSQFUCUMXWEO-UHFFFAOYSA-N Ethene Chemical compound C=C VGGSQFUCUMXWEO-UHFFFAOYSA-N 0.000 description 1
- 239000005977 Ethylene Substances 0.000 description 1
- 229910001218 Gallium arsenide Inorganic materials 0.000 description 1
- 229910000673 Indium arsenide Inorganic materials 0.000 description 1
- GPXJNWSHGFTCBW-UHFFFAOYSA-N Indium phosphide Chemical compound [In]#P GPXJNWSHGFTCBW-UHFFFAOYSA-N 0.000 description 1
- 229910018540 Si C Inorganic materials 0.000 description 1
- 229910018557 Si O Inorganic materials 0.000 description 1
- 229910003811 SiGeC Inorganic materials 0.000 description 1
- BLRPTPMANUNPDV-UHFFFAOYSA-N Silane Chemical compound [SiH4] BLRPTPMANUNPDV-UHFFFAOYSA-N 0.000 description 1
- NINIDFKCEFEMDL-UHFFFAOYSA-N Sulfur Chemical group [S] NINIDFKCEFEMDL-UHFFFAOYSA-N 0.000 description 1
- HMDDXIMCDZRSNE-UHFFFAOYSA-N [C].[Si] Chemical compound [C].[Si] HMDDXIMCDZRSNE-UHFFFAOYSA-N 0.000 description 1
- 229910045601 alloy Inorganic materials 0.000 description 1
- 239000000956 alloy Substances 0.000 description 1
- 125000003118 aryl group Chemical group 0.000 description 1
- 230000008901 benefit Effects 0.000 description 1
- 125000004432 carbon atom Chemical group C* 0.000 description 1
- 239000003575 carbonaceous material Substances 0.000 description 1
- 125000002915 carbonyl group Chemical group [*:2]C([*:1])=O 0.000 description 1
- 230000000295 complement effect Effects 0.000 description 1
- 238000005336 cracking Methods 0.000 description 1
- 230000001747 exhibiting effect Effects 0.000 description 1
- 230000004907 flux Effects 0.000 description 1
- 229910000078 germane Inorganic materials 0.000 description 1
- 125000005842 heteroatom Chemical group 0.000 description 1
- FFUAGWLWBBFQJT-UHFFFAOYSA-N hexamethyldisilazane Chemical compound C[Si](C)(C)N[Si](C)(C)C FFUAGWLWBBFQJT-UHFFFAOYSA-N 0.000 description 1
- 150000004678 hydrides Chemical class 0.000 description 1
- 125000004435 hydrogen atom Chemical group [H]* 0.000 description 1
- 230000003116 impacting effect Effects 0.000 description 1
- 238000010348 incorporation Methods 0.000 description 1
- RPQDHPTXJYYUPQ-UHFFFAOYSA-N indium arsenide Chemical compound [In]#[As] RPQDHPTXJYYUPQ-UHFFFAOYSA-N 0.000 description 1
- 239000011229 interlayer Substances 0.000 description 1
- 229910052743 krypton Inorganic materials 0.000 description 1
- 238000013532 laser treatment Methods 0.000 description 1
- 125000005647 linker group Chemical group 0.000 description 1
- 150000002739 metals Chemical class 0.000 description 1
- UIUXUFNYAYAMOE-UHFFFAOYSA-N methylsilane Chemical compound [SiH3]C UIUXUFNYAYAMOE-UHFFFAOYSA-N 0.000 description 1
- 238000004377 microelectronic Methods 0.000 description 1
- 238000002156 mixing Methods 0.000 description 1
- 229910003465 moissanite Inorganic materials 0.000 description 1
- 229910052754 neon Inorganic materials 0.000 description 1
- 230000003287 optical effect Effects 0.000 description 1
- 125000004430 oxygen atom Chemical group O* 0.000 description 1
- 238000000206 photolithography Methods 0.000 description 1
- 238000005498 polishing Methods 0.000 description 1
- 229910021420 polycrystalline silicon Inorganic materials 0.000 description 1
- 229920005591 polysilicon Polymers 0.000 description 1
- 229920006395 saturated elastomer Polymers 0.000 description 1
- 229910000077 silane Inorganic materials 0.000 description 1
- 229910021332 silicide Inorganic materials 0.000 description 1
- FVBUAEGBCNSCDD-UHFFFAOYSA-N silicide(4-) Chemical compound [Si-4] FVBUAEGBCNSCDD-UHFFFAOYSA-N 0.000 description 1
- LIVNPJMFVYWSIS-UHFFFAOYSA-N silicon monoxide Inorganic materials [Si-]#[O+] LIVNPJMFVYWSIS-UHFFFAOYSA-N 0.000 description 1
- 239000007787 solid Substances 0.000 description 1
- 238000000992 sputter etching Methods 0.000 description 1
- 230000000087 stabilizing effect Effects 0.000 description 1
- 125000003107 substituted aryl group Chemical group 0.000 description 1
- 150000003457 sulfones Chemical group 0.000 description 1
- 150000003462 sulfoxides Chemical group 0.000 description 1
- 229910052717 sulfur Inorganic materials 0.000 description 1
- 239000011593 sulfur Chemical group 0.000 description 1
- PQDJYEQOELDLCP-UHFFFAOYSA-N trimethylsilane Chemical compound C[SiH](C)C PQDJYEQOELDLCP-UHFFFAOYSA-N 0.000 description 1
- WFKWXMTUELFFGS-UHFFFAOYSA-N tungsten Chemical compound [W] WFKWXMTUELFFGS-UHFFFAOYSA-N 0.000 description 1
- 239000010937 tungsten Substances 0.000 description 1
- 238000002211 ultraviolet spectrum Methods 0.000 description 1
- 229910052724 xenon Inorganic materials 0.000 description 1
Images
Classifications
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/70—Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
- H01L21/71—Manufacture of specific parts of devices defined in group H01L21/70
- H01L21/768—Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
- H01L21/76801—Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/02—Manufacture or treatment of semiconductor devices or of parts thereof
- H01L21/02104—Forming layers
- H01L21/02107—Forming insulating materials on a substrate
- H01L21/02109—Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
- H01L21/02112—Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
- H01L21/02123—Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
- H01L21/02126—Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing Si, O, and at least one of H, N, C, F, or other non-metal elements, e.g. SiOC, SiOC:H or SiONC
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/02—Manufacture or treatment of semiconductor devices or of parts thereof
- H01L21/02104—Forming layers
- H01L21/02107—Forming insulating materials on a substrate
- H01L21/02109—Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
- H01L21/022—Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being a laminate, i.e. composed of sublayers, e.g. stacks of alternating high-k metal oxides
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/02—Manufacture or treatment of semiconductor devices or of parts thereof
- H01L21/02104—Forming layers
- H01L21/02107—Forming insulating materials on a substrate
- H01L21/02109—Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
- H01L21/02205—Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
- H01L21/02208—Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si
- H01L21/02214—Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound comprising silicon and oxygen
- H01L21/02216—Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound comprising silicon and oxygen the compound being a molecule comprising at least one silicon-oxygen bond and the compound having hydrogen or an organic group attached to the silicon or oxygen, e.g. a siloxane
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/02—Manufacture or treatment of semiconductor devices or of parts thereof
- H01L21/02104—Forming layers
- H01L21/02107—Forming insulating materials on a substrate
- H01L21/02225—Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
- H01L21/0226—Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
- H01L21/02263—Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
- H01L21/02271—Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
- H01L21/02274—Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition in the presence of a plasma [PECVD]
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/02—Manufacture or treatment of semiconductor devices or of parts thereof
- H01L21/02104—Forming layers
- H01L21/02107—Forming insulating materials on a substrate
- H01L21/02296—Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
- H01L21/02318—Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment
- H01L21/02337—Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment treatment by exposure to a gas or vapour
- H01L21/0234—Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment treatment by exposure to a gas or vapour treatment by exposure to a plasma
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/02—Manufacture or treatment of semiconductor devices or of parts thereof
- H01L21/02104—Forming layers
- H01L21/02107—Forming insulating materials on a substrate
- H01L21/02296—Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
- H01L21/02318—Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment
- H01L21/02345—Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment treatment by exposure to radiation, e.g. visible light
- H01L21/02348—Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment treatment by exposure to radiation, e.g. visible light treatment by exposure to UV light
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/02—Manufacture or treatment of semiconductor devices or of parts thereof
- H01L21/02104—Forming layers
- H01L21/02107—Forming insulating materials on a substrate
- H01L21/02296—Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
- H01L21/02318—Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment
- H01L21/02345—Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment treatment by exposure to radiation, e.g. visible light
- H01L21/02351—Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment treatment by exposure to radiation, e.g. visible light treatment by exposure to corpuscular radiation, e.g. exposure to electrons, alpha-particles, protons or ions
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/02—Manufacture or treatment of semiconductor devices or of parts thereof
- H01L21/02104—Forming layers
- H01L21/02107—Forming insulating materials on a substrate
- H01L21/02296—Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
- H01L21/02318—Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment
- H01L21/02345—Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment treatment by exposure to radiation, e.g. visible light
- H01L21/02354—Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment treatment by exposure to radiation, e.g. visible light using a coherent radiation, e.g. a laser
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/02—Manufacture or treatment of semiconductor devices or of parts thereof
- H01L21/04—Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
- H01L21/18—Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
- H01L21/30—Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
- H01L21/31—Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
- H01L21/3105—After-treatment
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/02—Manufacture or treatment of semiconductor devices or of parts thereof
- H01L21/04—Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
- H01L21/18—Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
- H01L21/30—Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
- H01L21/31—Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
- H01L21/314—Inorganic layers
- H01L21/316—Inorganic layers composed of oxides or glassy oxides or oxide based glass
- H01L21/31604—Deposition from a gas or vapour
- H01L21/31633—Deposition of carbon doped silicon oxide, e.g. SiOC
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/70—Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
- H01L21/71—Manufacture of specific parts of devices defined in group H01L21/70
- H01L21/768—Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
- H01L21/76801—Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
- H01L21/76822—Modification of the material of dielectric layers, e.g. grading, after-treatment to improve the stability of the layers, to increase their density etc.
- H01L21/76825—Modification of the material of dielectric layers, e.g. grading, after-treatment to improve the stability of the layers, to increase their density etc. by exposing the layer to particle radiation, e.g. ion implantation, irradiation with UV light or electrons etc.
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/70—Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
- H01L21/71—Manufacture of specific parts of devices defined in group H01L21/70
- H01L21/768—Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
- H01L21/76801—Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
- H01L21/76822—Modification of the material of dielectric layers, e.g. grading, after-treatment to improve the stability of the layers, to increase their density etc.
- H01L21/76826—Modification of the material of dielectric layers, e.g. grading, after-treatment to improve the stability of the layers, to increase their density etc. by contacting the layer with gases, liquids or plasmas
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/70—Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
- H01L21/71—Manufacture of specific parts of devices defined in group H01L21/70
- H01L21/768—Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
- H01L21/76801—Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
- H01L21/76822—Modification of the material of dielectric layers, e.g. grading, after-treatment to improve the stability of the layers, to increase their density etc.
- H01L21/76828—Modification of the material of dielectric layers, e.g. grading, after-treatment to improve the stability of the layers, to increase their density etc. thermal treatment
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/70—Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
- H01L21/71—Manufacture of specific parts of devices defined in group H01L21/70
- H01L21/768—Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
- H01L21/76801—Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
- H01L21/76829—Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/70—Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
- H01L21/71—Manufacture of specific parts of devices defined in group H01L21/70
- H01L21/768—Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
- H01L21/76801—Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
- H01L21/76829—Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers
- H01L21/76834—Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers formation of thin insulating films on the sidewalls or on top of conductors
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L23/00—Details of semiconductor or other solid state devices
- H01L23/52—Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
- H01L23/522—Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
- H01L23/532—Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body characterised by the materials
- H01L23/5329—Insulating materials
- H01L23/53295—Stacked insulating layers
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/02—Manufacture or treatment of semiconductor devices or of parts thereof
- H01L21/02104—Forming layers
- H01L21/02107—Forming insulating materials on a substrate
- H01L21/02109—Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
- H01L21/02112—Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
- H01L21/02123—Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
- H01L21/02167—Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon carbide not containing oxygen, e.g. SiC, SiC:H or silicon carbonitrides
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/02—Manufacture or treatment of semiconductor devices or of parts thereof
- H01L21/02104—Forming layers
- H01L21/02107—Forming insulating materials on a substrate
- H01L21/02109—Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
- H01L21/02203—Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being porous
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/02—Manufacture or treatment of semiconductor devices or of parts thereof
- H01L21/02104—Forming layers
- H01L21/02107—Forming insulating materials on a substrate
- H01L21/02109—Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
- H01L21/02205—Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
- H01L21/02208—Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si
- H01L21/02211—Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound being a silane, e.g. disilane, methylsilane or chlorosilane
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/02—Manufacture or treatment of semiconductor devices or of parts thereof
- H01L21/02104—Forming layers
- H01L21/02107—Forming insulating materials on a substrate
- H01L21/02225—Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
- H01L21/0226—Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
- H01L21/02282—Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process liquid deposition, e.g. spin-coating, sol-gel techniques, spray coating
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/02—Manufacture or treatment of semiconductor devices or of parts thereof
- H01L21/02104—Forming layers
- H01L21/02107—Forming insulating materials on a substrate
- H01L21/02296—Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
- H01L21/02299—Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer pre-treatment
- H01L21/02304—Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer pre-treatment formation of intermediate layers, e.g. buffer layers, layers to improve adhesion, lattice match or diffusion barriers
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L23/00—Details of semiconductor or other solid state devices
- H01L23/52—Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
- H01L23/522—Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
- H01L23/5222—Capacitive arrangements or effects of, or between wiring layers
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L2924/00—Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
- H01L2924/0001—Technical content checked by a classifier
- H01L2924/0002—Not covered by any one of groups H01L24/00, H01L24/00 and H01L2224/00
Definitions
- the present invention relates to a dielectric stack that is comprised of one or more dielectric materials, each having a low dielectric constant on the order of about 3.0 or less, preferably about 2.7 or less, in which one or more nanolayers are present in at least one of the dielectric materials. The presence of the nanolayer improves the mechanical properties of the dielectric materials within the stack.
- the present invention also relates to semiconductor structures such as interconnect structures that include the inventive dielectric stack.
- the present invention also relates to a method of fabricating the inventive dielectric stack.
- interconnect structures for interconnecting regions within devices and for interconnecting one or more devices within integrated circuits.
- forming interconnect structures begins with forming a lower level of wiring followed by the deposition of an interlevel dielectric layer and then a second level of wiring, where the first and second wiring levels may be connected by one or more metal filled vias.
- Interlevel and/or intralevel dielectrics such as silicon dioxide (SiO 2 ) are used to electrically isolate active elements and different interconnect signal paths from each other.
- the electrical connections between different interconnect levels are made through vias that are formed in the ILD layers.
- the vias are filled with a metal, such as copper, aluminum or tungsten.
- low k dielectric constant
- a dielectric material organic or inorganic having a dielectric constant that is less than silicon dioxide (e.g., k of less than about 4.0, as measured in a vacuum).
- low k materials include: organic dielectrics containing atoms of C, O and H such as thermosetting polyarylene ethers; and inorganic dielectrics containing atoms of Si, O and H, with C being optional. Examples of the latter include carbon doped oxides (also referred to as “SiCOH”), silsesquioxanes, organosilanes and other like Si-containing materials.
- low k materials are insulators in interconnect structures because low k materials reduce the interconnect capacitance. Accordingly, low k materials increase the signal propagation speed, while reducing cross-talk noise and power dissipation in the interconnect structure.
- low k materials lack mechanical rigidity and easily crack when subjected to thermal and mechanical stresses. That is, prior art low k dielectrics exhibit high crack velocity (on the order of about 1E-10 m/sec or greater at a film thickness of 1.2 ⁇ m) and stress (on the order of about 60 MPa or greater), while exhibiting low modulus (on the order of about 7.5 GPa or less) and hardness (on the order of about 1 GPa or less). These mechanical properties become poorer as the dielectric constant of the material is decreased. For instance, the crack velocity, stress, modulus and hardness of a porous low k material are worse than its corresponding nonporous low k material.
- dielectric films that have a high crack velocity have a high tendency to form cracks within said film during further processing and use, which greatly reduces the reliability of the semiconductor device that includes such films.
- the present invention provides a low k dielectric stack having an effective dielectric constant k, of about 3.0 or less, preferably about 2.7 or less, in which the mechanical properties of the stack are improved without significantly increasing the dielectric constant of the films within the stack.
- the improvement in mechanical properties is achieved without the need of subjecting the inventive dielectric stack to any post treatment steps.
- the present invention provides a low k dielectric stack that comprises at least one low k dielectric material and at least one nanolayer present within the at least one low k dielectric material.
- nanolayer is used in the present invention to denote a layer whose thickness is in the nanometer range.
- the nanolayers of the present invention are formed in-situ and they typically include atoms of at least Si and O, with atoms of C, H, and N being optional.
- Illustrative examples of nanolayers of the present invention include, but are not limited to: SiCOH, SiCOHN, SiO 2 , SiCOH, SiON, SiCO x or multilayers thereof.
- the present invention provides a dielectric stack that comprises at least one low k dielectric material having a dielectric constant of about 3.0 or less and at least one nanolayer comprising at least atoms of Si and O present within the at least one low k dielectric material.
- the present invention also relates to electronic structures such as interconnect structures that include the inventive dielectric film as the interlevel or intralevel dielectric, a capping layer, and/or as a hardmask/polish stop layer.
- the electronic structure of the present invention includes a pre-processed semiconducting substrate that has a first region of metal embedded in a first layer of insulating material, a first region of conductor embedded in a second layer of insulating material, the second layer of insulating material being in intimate contact with the first layer of insulating material, the first region of conductor being in electrical communication with the first region of metal, and a second region of conductor being in electrical communication with the first region of conductor and being embedded in a third layer of insulating material, the third layer of insulating material being in intimate contact with the second layer of insulating material.
- each of the insulating layers can comprise the inventive low k dielectric stack.
- the electronic structure may further include a dielectric cap layer situated in-between the first layer of insulating material and the second layer of insulating material, and may further include a dielectric cap layer situated in-between the second layer of insulating material and the third layer of insulating material.
- the electronic structure may further include a first dielectric cap layer between the second layer of insulating material and the third layer of insulating material, and a second dielectric cap layer on top of the third layer of insulating material.
- the dielectric cap itself can comprise the inventive low k dielectric stack.
- the electronic structure may further include a diffusion barrier layer of a dielectric material deposited on at least one of the second and third layer of insulating material.
- the electronic structure may further include a dielectric layer on top of the second layer of insulating material for use as a RIE hard mask/polish-stop layer and a dielectric diffusion barrier layer on top of the dielectric RIE hard mask/polish-stop layer.
- the electronic structure may further include a first dielectric RIE hard mask/polish-stop layer on top of the second layer of insulating material, a first dielectric RIE diffusion barrier layer on top of the first dielectric polish-stop layer a second dielectric RIE hard mask/polish-stop layer on top of the third layer of insulating material, and a second dielectric diffusion barrier layer on top of the second dielectric polish-stop layer.
- the dielectric RIE hard mask/polish-stop layer may be comprised of the inventive low k dielectric stack as well.
- the present invention also relates to a method of fabricating the inventive dielectric stack. Specifically, the method of the present invention includes:
- the present invention also contemplates other material stacks besides those including low k dielectrics.
- the present invention provides a material stack comprising one or more films that have a crack velocity of about 1E-10 m/sec or greater and at least one monolayer within said one or more films, said one at least one monolayer reduces said crack velocity of said one or more films to a value of less than 1E-10 m/sec.
- the stack is made using the method described above except that the first dielectric precursor is replaced with a first material precursor.
- a metal stack formed on a metal oxide substrate can be provided in which the metal stack is comprised of Au deposited from an Au-containing precursor.
- FIG. 1 is a pictorial representation (through a cross sectional view) illustrating the dielectric stack of the present invention.
- FIG. 2 is an enlarged, cross-sectional view of an electronic device of the present invention that includes the inventive low k dielectric stack as both the intralevel dielectric layer and the interlevel dielectric layer.
- FIG. 3 is an enlarged, cross-sectional view of the electronic structure of FIG. 2 having an additional diffusion barrier dielectric cap layer deposited on top of the inventive dielectric stack.
- FIG. 4 is an enlarged, cross-sectional view of the electronic structure of FIG. 3 having an additional RIE hard mask/polish-stop dielectric cap layer and a dielectric cap diffusion barrier layer deposited on top of the polish-stop layer.
- FIG. 5 is an enlarged, cross-sectional view of the electronic structure of FIG. 4 having additional RIE hard mask/polish-stop dielectric layers deposited on top of the dielectric stack of the present invention.
- the present invention which provides a dielectric stack comprising one or more low k dielectric materials with improved mechanical properties (including crack velocity, stress, elongation modulus and hardness) as well as a method of fabricating the same, will now be described in greater detail by referring to the drawings that accompany the present application.
- the various drawings are provided for illustrative purposes and thus they are not drawn to scale.
- dielectric stack formation includes nanolayers imbedded within one or more low k dielectric films.
- dielectric stack formation is described and illustrated, the incorporation of nanolayers within other films that are highly susceptible to cracking is also contemplated herein.
- the dielectric precursor described below is substituted with any conventional material precursor, such as a metal-containing precursor.
- the material precursor is changed to a nanolayer precursor to form the nanolayer, and after nanolayer formation a material precursor (same or different from the first one) is again used.
- FIG. 1 illustrates a structure that is provided after forming the inventive low k (dielectric constant of about 3.0 or less, preferably 2.7 or less) dielectric stack 12 on a surface of a substrate 10 .
- substrate when used in conjunction with substrate 10 includes, a semiconducting material, an insulating material, a conductive material or any combination thereof, including multilayered structures.
- substrate 10 can be a semiconducting material such as Si, SiGe, SiGeC, SiC, GaAs, InAs, InP and other III/V or II/VI compound semiconductors.
- the semiconductor substrate 10 can also include a layered substrate such as, for example, Si/SiGe, Si/SiC, silicon-on-insulators (SOIs) or silicon germanium-on-insulators (SGOIs).
- the insulating material can be an organic insulator, an inorganic insulator or a combination thereof including multilayers.
- the substrate 10 may include, for example, polySi, an elemental metal, alloys of elemental metals, a metal silicide, a metal nitride and combinations thereof, including multilayers.
- the substrate 10 includes a combination of a semiconducting material and an insulating material, a combination of a semiconducting material and a conductive material or a combination of a semiconducting material, an insulating material and a conductive material.
- CMOS complementary metal oxide semiconductor
- the low k dielectric stack 12 can comprise any dielectric material having a dielectric constant of about 3.0 or less.
- the low k dielectric stack 12 includes dielectric materials that have a dielectric constant of about 2.7 or less, with a dielectric constant of about 2.5 or less being more highly preferred.
- the term “dielectric stack” is used to denote a structure that includes at least one dielectric film (or material) having said low k value.
- the dielectric stack 12 includes six film layers 14 wherein a nanolayer 16 separates each of the film layers. This illustration is exemplary and by no means restrictions the number of dielectric films or nanolayers that can be present within the inventive dielectric stack.
- the dielectric materials within the film stack can comprise the same or different, preferably the same, low k dielectric material.
- the low k dielectric films that can be present within the stack 12 can be porous, nonporous or a combination of porous and non-porous. When porous dielectric films are employed, the dielectric constant thereof is less than the nonporous version of the same dielectric film.
- each of the low k dielectric films (or materials) within the stack are porous.
- the pores are typically formed by introducing a porogen during the deposition process that are removed after deposition using a curing process.
- one of the precursors employed can be a porogen material.
- dielectric films examples include, but are not limited to: organic dielectrics containing atoms of C, O and H such as thermosetting polyarylene ethers; and/or inorganic dielectrics containing atoms of Si, O and H, with C being optional.
- examples of the latter include carbon doped oxides (also referred to as “SiCOH”), silsesquioxanes, organosilanes and other like Si-containing materials.
- polyarylene is used herein to denote aryl moieties or inertly substituted aryl moieties which are linked together by bonds, fused rings, or inert linking groups such as oxygen, sulfur, sulfone, sulfoxide, carbonyl, etc.
- the above described as deposited materials, without the nanolayers have a crack velocity of about 1E-10 m/sec or greater at a film thickness of 1.2 ⁇ m, a stress of about 60 MPa or greater, a modulus of about 7.5 GPa or less and a hardness of about 1 GPa or less.
- These mechanical properties become poorer as the dielectric of the material is decreased. For instance, the crack velocity, stress, modulus and hardness of a porous low k material are worse than its corresponding nonporous low k material.
- the dielectric stack 12 is deposited by placing the substrate 10 into a reactor chamber such as a plasma enhanced chemical vapor deposition (PECVD).
- PECVD plasma enhanced chemical vapor deposition
- the present invention also contemplates that the dielectric stack 12 can be formed utilizing chemical vapor deposition (CVD), high-density plasma (HDP) deposition, pulsed PECVD, spin-on application, or other related methods.
- a dielectric material having a low k, as defined above, is then deposited as will be described in more detail herein below.
- the deposition of the dielectric film(s) 14 the conditions are changed so that at least one nanolayer 16 comprising atoms of at least Si and O is formed. This is achieved by stopping the precursor flow and replacing the same with a nanolayer precursor flow. After forming the nanolayer, the nanolayer precursor flow is halted and dielectric precursor can then be introduced into the reactor. It is possible to switch the dielectric precursor after forming the nanolayer to provide a composition that is different from that of the previously formed di
- the thickness of the dielectric stack 12 deposited may vary; typical ranges for the deposited low k dielectric stacks 12 are from about 50 nm to about 5 ⁇ m, with a thickness from 100 to about 1.5 ⁇ m being more typical.
- the nanolayers 16 that are introduced into the film stack have a thickness that is within the nanometer range. Typically, the nanolayers 16 have a thickness from about 1 to about 100 nm, with a thickness from about 2 to about 10 nm being more typical.
- the nanolayers 16 of the present invention are in-situ nanolayers that include atoms of at least Si and O, with atoms of C, H, and N being optional.
- Illustrative examples of nanolayers of the present invention include SiCOH, SiCOHN, SiO 2 , SiCO x , SiON or multilayers thereof.
- the composition of each nanolayer within a given dielectric stack 12 may be the same or different.
- the dielectric material within the inventive stack has a crack velocity of less than 1E-10 m/sec at 1.2 ⁇ m, typically from about 1E-8 to about 1E-10 m/sec, at a film thickness of 1.2 ⁇ m, a stress of less than 60 MPa, typically from about 30 to about 50 MPa, a modulus of greater than 7.5 GPa, typically from about 8 to about 13 GPa, and a hardness of greater than 1 GPa, typically from about 1.5 to about 2.0 GPa.
- the aforementioned values are for the as deposited material prior to subjecting the inventive stack to any post treatment steps. These values for the inventive stack including the imbedded nanolayers are an improvement over prior art as deposited dielectric films that do not contain any imbedded nanolayers.
- the low k dielectric material 14 is a SiCOH dielectric that is deposited using the processing techniques disclosed in co-assigned U.S. Pat. Nos. 6,147,009, 6,312,793, 6,441,491, 6,437,443, 6,541,398, 6,479,110 B2, and 6,497,963, the contents of which are incorporated herein by reference.
- the SiCOH dielectric film is formed by providing at least a first precursor, e.g., the dielectric precursor, (liquid, gas or vapor) comprising atoms of Si, C, O, and H, and an inert carrier such as He or Ar, into a reactor, preferably the reactor is a PECVD reactor, and then depositing a film derived from said first precursor onto a suitable substrate utilizing conditions that are effective in forming a SiCOH dielectric material.
- the present invention yet further provides for mixing the first precursor with an oxidizing agent such as O 2 , CO 2 or a combination thereof, thereby stabilizing the reactants in the reactor and improving the uniformity of the low k dielectric material deposited on the substrate 10 .
- a second precursor gas, liquid or vapor
- a third precursor gas, liquid or gas
- Ge may also be used.
- the first precursor is selected from organic molecules with ring structures comprising SiCOH components such as 1,3,5,7-tetramethylcyclotetrasiloxane (“TMCTS” or “C 4 H 16 O 4 Si 4 ”), octamethylcyclotetrasiloxane (OMCTS), diethoxymethylsilane (DEMS), dimethyidimethoxysilane (DMDMOS), diethylmethoxysilane (DEDMOS), and related cyclic and non-cyclic silanes, siloxanes and the like.
- TCTS 1,3,5,7-tetramethylcyclotetrasiloxane
- OMC octamethylcyclotetrasiloxane
- DEMS dimethyidimethoxysilane
- DEDMOS diethylmethoxysilane
- related cyclic and non-cyclic silanes, siloxanes and the like such as 1,3,5,7-tetramethylcyclotetrasilox
- the second precursor that may be used in forming a SiCOH low k dielectric is a hydrocarbon molecule.
- any hydrocarbon molecule such as, for example, ethylene
- the second precursor is selected from the group consisting of hydrocarbon molecules with ring structures, preferably with more than one ring present in the molecule or with branched chains attached to the ring.
- species containing fused rings, at least one of which contains a heteroatom, preferentially oxygen are those that include a ring of a size that imparts significant ring strain, namely rings of 3 or 4 atoms and/or 7 or more atoms.
- oxabicyclics such as cyclopentene oxide (“CPO” or “C 5 H 8 O”).
- CPO cyclopentene oxide
- the third precursor may be formed from germane hydride or any other reactant comprising a source Ge.
- the SiCOH dielectric film, which is used as the low k dielectric within the inventive stack may be deposited using a method the includes the step of providing a parallel plate reactor, which has a conductive area of a substrate chuck between about 85 cm 2 and about 750 cm 2 , and a gap between the substrate and a top electrode between about 1 cm and about 12 cm.
- a high frequency RF power is applied to one of the electrodes at a frequency between about 0.45 MHz and about 200 MHz.
- an additional low frequency power can be applied to one of the electrodes.
- the conditions used for the deposition step may vary depending on the desired final dielectric constant of the SiCOH dielectric film.
- the conditions used for providing a stable dielectric material comprising elements of Si, C, O and H that has a dielectric constant of about 2.7 or less include: setting the substrate temperature at between about 200° C.
- setting the high frequency RF power density at between about 0.1 W/cm 2 and about 2.5 W/cm 2 ; setting the first liquid precursor flow rate at between about 100 mg/min and about 5000 mg/min, optionally setting the second liquid precursor flow rate at between about 50 mg/min to about 10,000 mg/min; optionally setting the third liquid precursor flow rate at between about 25 mg/min to about 4000 mg/min; optionally setting the inert carrier gases such as helium (and/or argon) flow rate at between about 50 sccm to about 5000 sccm; setting the reactor pressure at a pressure between about 1000 mTorr and about 7000 mTorr; and setting the high frequency RF power between about 75 W and about 1000 W.
- a low frequency power may be added to the plasma between about 30 W and about 400 W.
- the RF power applied to the substrate chuck is also changed by a factor of X.
- an oxidizing agent When employed in the present invention, it is flown into the PECVD reactor at a flow rate between about 10 sccm to about 1000 sccm.
- organosilicon gas phase precursors such as trimethylsilane
- a porogen can be included during the deposition of the low k dielectric film 12 that causes subsequent pore formation within the film 12 during a subsequent curing step.
- the low k dielectric film within the stack is a hydrogenated oxidized silicon carbon material (e.g., SiCOH) comprising atoms of Si, C, O and H in a covalently bonded tri-dimensional network and having a dielectric constant of not more than about 2.8.
- the tri-bonded network may include a covalently bonded tri-dimensional ring structure comprising Si—O, Si—C, Si—H, C—H and C—C bonds.
- the term “tri-dimensional” is used to describe a polymeric structure in which the Si, C, O and H atoms are interconnected and interrelated in the x, y and z directions
- the low k dielectric film 14 within the inventive stack 12 may comprise F and N and may optionally have the Si atoms partially substituted by Ge atoms.
- the low k dielectric film 14 may contain molecular scale voids (i.e., nanometer-sized pores) of between about 0.3 to about 50 nanometers in diameter, and most preferably between about 0.4 and about 10 nanometers in diameter, further reducing the dielectric constant of the film to values below about 2.0.
- the nanometer-sized pores of the low k dielectric film 14 occupy a volume of between about 0.5% and about 50% of a volume of the material.
- the low k dielectric film 14 When the low k dielectric film 14 is a SiCOH dielectric, it typically comprises between about 5 and about 40 atomic percent of Si; between about 5 and about 45 atomic percent of C; between 0 and about 50 atomic percent of O; and between about 10 and about 55 atomic percent of H.
- the nanolayers are introduced during the deposition of the low k dielectric film 14 by changing the precursor being introduced into the reactor chamber to one that is capable of forming the inventive nanolayer.
- the nanolayer precursor comprises a solid, liquid or gas that includes atoms of at least, Si, or Si and O, with C, N and H being optional.
- nanolayer precursors examples include 1,3,5,7-tetramethylcyclotetrasiloxane (“TMCTS” or “C 4 H 16 O 4 Si 4 ”), octamethylcyclotetrasiloxane (OMCTS), diethoxymethylsilane (DEMS), dimethyidimethoxysilane (DMDMOS), diethylmethoxysilane (DEDMOS), silane, hexamethyl disilazane (HMDS) or related cyclic and non-cyclic silanes and siloxanes.
- TCTS 1,3,5,7-tetramethylcyclotetrasiloxane
- OMC octamethylcyclotetrasiloxane
- DEMS dimethyidimethoxysilane
- DEDMOS diethylmethoxysilane
- silane hexamethyl disilazane (HMDS) or related cyclic and non-cyclic silanes and siloxanes.
- the nanolayer precursor may be used in conjunction with an inert gas and/or an oxidizing agent.
- the inert gas and the oxidizing agent can be the same as described above.
- the conditions used for forming the nanolayer include: setting the substrate temperature at between about 200° C. and about 425° C.; setting the high frequency RF power density at between about 0.1 W/cm 2 and about 2.5 W/cm 2 ; setting the nanolayer precursor flow rate at between about 600 mg/min and about 2500 mg/min; optionally setting the inert carrier gases such as helium (and/or argon) flow rate at between about 50 sccm to about 5000 sccm; optionally setting the oxidizing agent flow rate at about 600 to about 2500 sccm; setting the reactor pressure at a pressure between about 1000 mTorr and about 7000 mTorr; and setting the high frequency RF power between about 75 W and about 1000 W.
- a low frequency power may be added to the plasma between about 30 W and about 400 W.
- inventive (as deposited) dielectric stack has improved mechanical properties, in terms of crack velocity, stress, modulus and hardness as compared to an equivalent (as deposited) dielectric stack that does not include any nanolayers imbedded therein. Further improvement in mechanical properties can be achieved by subjecting the same to a post treatment step.
- the post treatment step is optional and does not need to be performed with the inventive dielectric stack 12 .
- post treatment of the inventive dielectric stack 12 may be performed by utilizing an energy source such as thermal, electron beam, plasma, microwave or optical radiation such as UV or laser. Combinations of the aforementioned energy sources can also be used in the present invention.
- the thermal energy source includes any source such as, for example, a heating element or a lamp, that can heat the deposited dielectric stack 12 to a temperature up to 450° C. More preferably, the thermal energy source is capable of heating the SiCOH dielectric stack 12 to a temperature from about 200° to about 450° C., with a temperature from about 350° C. to about 425° C. being even more preferred.
- This thermal treatment process can be carried out for various time periods, with a time period from about 0.5 minutes to about 300 minutes being typical.
- the thermal treatment step is typically performed in the presence of an inert gas such as He, Ar, Ne, Xe, N 2 or a mixture thereof.
- the thermal treatment step may be referred to as an anneal step in which rapid thermal anneal, furnace anneal, laser anneal or spike anneal conditions are employed.
- the thermal treatment step can be performed in the presence of a gas mixture containing a hydrogen source gas such as, for example, H 2 or a hydrocarbon.
- the thermal treatment step can be performed in the presence of a gas mixture containing a very low partial pressure of O 2 and H 2 O, in the range below 1000 parts per million.
- the UV light treatment step is performed utilizing a source that can generate light having a wavelength from about 500 to about 150 nm, to irradiate the substrate while the wafer temperature is maintained at up to 450° C., with temperatures from 200° C.-450° C. being preferred and a temperature from 350° C. to 425° C. being even more highly preferred. Radiation with >370 nm is of insufficient energy to dissociate or activate important bonds, so the wavelength range 150-370 nm is a preferred range. Using literature data and absorbance spectra measured on as deposited films, it has been found that ⁇ 170 nm radiation may not be favored due to degradation of the dielectric material within the dielectric stack.
- the UV light treatment step may be performed in an inert gas, a hydrogen source gas or a gas mixture of O 2 and H 2 O using the partial pressure range mentioned above.
- the electron beam treatment step may be performed in an inert gas, a hydrogen source gas or a gas mixture of O 2 and H 2 O using the partial pressure range mentioned above.
- the plasma treatment step is performed utilizing a source that is capable of generating atomic hydrogen (H), and optionally CH 3 or other hydrocarbon radicals. Downstream plasma sources are preferred over direct plasma exposure.
- the wafer temperature is maintained at a temperature up to 450° C., with temperatures from 200° C.-450° C. being preferred and temperatures from 350° C. to 425° C. being more highly preferred.
- the plasma treatment step is performed by introducing a gas into a reactor that can generate a plasma and thereafter it is converted into a plasma.
- the gas that can be used for the plasma treatment includes inert gases such as Ar, N, He, Xe or Kr, with He being preferred; hydrogen or related sources of atomic hydrogen, methane, methylsilane, related sources of CH 3 groups, and mixtures thereof.
- the flow rate of the plasma treatment gas may vary depending on the reactor system being used.
- the chamber pressure can range anywhere from 0.05 to 20 Torr, but the preferred range of pressure operation is 1 to 10 Torr.
- the plasma treatment step occurs for a period of time, which is typically from about 1 ⁇ 2 to about 10 minutes, although longer times may be used within the invention.
- a deep ultra-violet (DUV) laser source can also be employed.
- the laser source used to treat the deposited films is typically an excimer laser which operates at one of several DUV wavelengths depending on the laser gas mixture.
- a XeF laser which produces 308 nm radiation can be employed.
- a KrF laser that produces 248 nm radiation, or a ArF laser that produces 193 nm radiation can be employed in the present invention.
- Excimer lasers can operate at several hundred pulses per second with pulse energies up to a joule (J) resulting in several hundred Watt (W) output.
- the laser employed in treating the as deposited films preferably operates under a pulse mode.
- the laser beam can be expanded to expose the entire sample. Alternatively, and for larger samples, the laser exposure area can be raster scanned across the sample to provide uniform dose.
- the fluence is limited to less than 5 mJ/cm 2 per pulse to ensure ablation will not occur.
- the short pulse duration of about 10 ns for the excimer laser can cause material ablation at fluence levels greater than 20 mJ/cm 2 .
- laser fluence levels of 0.1-5 mJ/cm 2 per pulse are employed.
- the total dose can vary from 1 to 10000 Joules/cm 2 , preferably 500-2000 J/cm 2 .
- a dose of 1000 J/cm 2 can be obtained using a fluence of 1 mJ/cm 2 for duration of 10 6 pulses.
- Excimer laser normally operates at a few hundreds pulses per second.
- the overall exposure time period for the DUV laser treatment for a several seconds to hours.
- a typical 500 J/cm 2 dose is achieved in less than 15 min using a 200 Hz laser operating at a fluence level of 3 mJ/cm 2 per pulse.
- FIGS. 2-5 The electronic devices which can include the inventive dielectric stack are shown in FIGS. 2-5 . It should be noted that the devices shown in FIGS. 2-5 are merely illustrative examples of the present invention, while an infinite number of other devices may include the inventive dielectric stack. In the following drawings, the nanolayers are not specifically shown within the dielectric stack of the present invention, but nevertheless nanolayers are meant to be included with layers that are referred to as the inventive dielectric stack.
- an electronic device 30 built on a silicon substrate 32 is shown.
- an insulating material layer 34 is first formed with a first region of metal 36 embedded therein.
- a dielectric stack 38 of the present invention is deposited on top of the first layer of insulating material 34 and the first region of metal 36 .
- the first layer of insulating material 34 may be suitably formed of silicon oxide, silicon nitride, doped varieties of these materials, or any other suitable insulating materials.
- the dielectric stack 38 is then patterned in a photolithography process followed by etching and a conductor layer 40 is deposited thereon.
- a second layer of the inventive dielectric stack 44 is deposited by a plasma enhanced chemical vapor deposition process overlying the first dielectric stack 38 and the first conductor layer 40 .
- the conductor layer 40 may be deposited of a metallic material or a nonmetallic conductive material. For instance, a metallic material of aluminum or copper, or a nonmetallic material of nitride or polysilicon.
- the first conductor 40 is in electrical communication with the first region of metal 36 .
- a second region of conductor 50 is then formed after a photolithographic process on the dielectric stack 44 is conducted followed by etching and then a deposition process for the second conductor material.
- the second region of conductor 50 may also be deposited of either a metallic material or a nonmetallic material, similar to that used in depositing the first conductor layer 40 .
- the second region of conductor 50 is in electrical communication with the first region of conductor 40 and is embedded in the second layer of the dielectric stack 44 .
- the second layer of the dielectric stack 44 is in intimate contact with the first layer of the dielectric stack 38 .
- the first layer of the dielectric stack 38 is an intralevel dielectric material
- the second layer of the dielectric stack 44 is both an intralevel and an interlevel dielectric. Based on the low dielectric constant of the inventive dielectric stacks, superior insulating property can be achieved by the first insulating layer 38 and the second insulating layer 44 .
- FIG. 3 shows a present invention electronic device 60 similar to that of electronic device 30 shown in FIG. 2 , but with an additional dielectric cap layer 62 deposited between the first insulating material layer 38 and the second insulating material layer 44 .
- the dielectric cap layer 62 can be suitably formed of a material such as silicon oxide, silicon nitride, silicon oxynitride, refractory metal silicon nitride with the refractory metal being Ta, Zr, Hf or W, silicon carbide, silicon carbo-nitride (SiCN), silicon carbo-oxide (SiCO), and their hydrogenated compounds.
- the additional dielectric cap layer 62 functions as a diffusion barrier layer for preventing diffusion of the first conductor layer 40 into the second insulating material layer 44 or into the lower layers, especially into layers 34 and 32 .
- the polish stop layer 74 can be deposited of a suitable dielectric material such as silicon oxide, silicon nitride, silicon oxynitride, refractory metal silicon nitride with the refractory metal being Ta, Zr, Hf or W, silicon carbide, silicon carbo-oxide (SiCO), and their hydrogenated compounds.
- a preferred polish stop layer composition is SiCH or SiCOH for layers 72 or 74 .
- a second dielectric layer 74 can be added on top of the second dielectric stack 44 for the same purposes.
- FIG. 5 Still another alternate embodiment of the present invention electronic device 80 is shown in FIG. 5 .
- an additional layer 82 of dielectric material is deposited and thus dividing the second insulating material layer 44 into two separate layers 84 and 86 .
- the intralevel and interlevel dielectric layer 44 formed of the inventive dielectric stack is therefore divided into an interlayer dielectric layer 84 and an intralevel dielectric layer 86 at the boundary between via 92 and interconnect 94 .
- An additional diffusion barrier layer 96 is further deposited on top of the upper dielectric layer 74 .
- the additional benefit provided by this alternate embodiment electronic structure 80 is that dielectric layer 82 acts as an RIE etch stop providing superior interconnect depth control.
- the composition of layer 82 is selected to provide etch selectivity with respect to layer 86 .
- Still other alternate embodiments may include an electronic structure which has layers of insulating material as intralevel or interlevel dielectrics in a wiring structure that includes a pre-processed semiconducting substrate which has a first region of metal embedded in a first layer of insulating material, a first region of conductor embedded in a second layer of the insulating material wherein the second layer of insulating material is in intimate contact with the first layer of insulating material, and the first region of conductor is in electrical communication with the first region of metal, a second region of conductor in electrical communication with the first region of conductor and is embedded in a third layer of insulating material, wherein the third layer of insulating material is in intimate contact with the second layer of insulating material, a first dielectric cap layer between the second layer of insulating material and the third layer of insulating material and a second dielectric cap layer on top of the third layer of insulating material, wherein the first and the second dielectric cap layers are formed of a material that includes the inventive dielectric stack of the present
- Still other alternate embodiments of the present invention include an electronic structure which has layers of insulating material as intralevel or interlevel dielectrics in a wiring structure that includes a pre-processed semiconducting substrate that has a first region of metal embedded in a first layer of insulating material, a first region of conductor embedded in a second layer of insulating material which is in intimate contact with the first layer of insulating material, the first region of conductor is in electrical communication with the first region of metal, a second region of conductor that is in electrical communication with the first region of conductor and is embedded in a third layer of insulating material, the third layer of insulating material is in intimate contact with the second layer of insulating material, and a diffusion barrier layer formed of the dielectric stack of the present invention deposited on at least one of the second and third layers of insulating material.
- Still other alternate embodiments include an electronic structure which has layers of insulating material as intralevel or interlevel dielectrics in a wiring structure that includes a pre-processed semiconducting substrate that has a first region of metal embedded in a first layer of insulating material, a first region of conductor embedded in a second layer of insulating material which is in intimate contact with the first layer of insulating material, the first region of conductor is in electrical communication with the first region of metal, a second region of conductor in electrical communication with the first region of conductor and is embedded in a third layer of insulating material, the third layer of insulating material is in intimate contact with the second layer of insulating material, a reactive ion etching (RIE) hard mask/polish stop layer on top of the second layer of insulating material, and a diffusion barrier layer on top of the RIE hard mask/polish stop layer, wherein the RIE hard mask/polish stop layer and the diffusion barrier layer are formed of the dielectric stack of the present invention.
- RIE reactive
- Still other alternate embodiments include an electronic structure which has layers of insulating materials as intralevel or interlevel dielectrics in a wiring structure that includes a pre-processed semiconducting substrate that has a first region of metal embedded in a first layer of insulating material, a first region of conductor embedded in a second layer of insulating material which is in intimate contact with the first layer of insulating material, the first region of conductor is in electrical communication with the first region of metal, a second region of conductor in electrical communication with the first region of conductor and is embedded in a third layer of insulating material, the third layer of insulating material is in intimate contact with the second layer of insulating material, a first RIE hard mask, polish stop layer on top of the second layer of insulating material, a first diffusion barrier layer on top of the first RIE hard mask/polish stop layer, a second RIE hard mask/polish stop layer on top of the third layer of insulating material, and a second diffusion barrier layer on top of the second RIE hard mask/polis
- Still other alternate embodiments of the present invention includes an electronic structure that has layers of insulating material as intralevel or interlevel dielectrics in a wiring structure similar to that described immediately above but further includes a dielectric cap layer which is formed of the dielectric stack of the present invention situated between an interlevel dielectric layer and an intralevel dielectric layer.
- the present invention also contemplates other material stacks besides those including low k dielectrics.
- the present invention provides a material stack comprising one or more films that have a crack velocity of about 1E-10 m/sec or greater and at least one monolayer within said one or more films, said one at least one monolayer reduces said crack velocity of said one or more films to a value of less than 1E-10 m/sec.
Landscapes
- Engineering & Computer Science (AREA)
- Physics & Mathematics (AREA)
- Condensed Matter Physics & Semiconductors (AREA)
- General Physics & Mathematics (AREA)
- Computer Hardware Design (AREA)
- Microelectronics & Electronic Packaging (AREA)
- Power Engineering (AREA)
- Manufacturing & Machinery (AREA)
- Plasma & Fusion (AREA)
- Optics & Photonics (AREA)
- Health & Medical Sciences (AREA)
- Toxicology (AREA)
- Spectroscopy & Molecular Physics (AREA)
- Chemical & Material Sciences (AREA)
- Chemical Kinetics & Catalysis (AREA)
- Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)
- Formation Of Insulating Films (AREA)
- Chemical Vapour Deposition (AREA)
- Laminated Bodies (AREA)
- Organic Insulating Materials (AREA)
- Insulating Bodies (AREA)
Abstract
Description
Claims (16)
Priority Applications (7)
Application Number | Priority Date | Filing Date | Title |
---|---|---|---|
US10/906,815 US7265437B2 (en) | 2005-03-08 | 2005-03-08 | Low k dielectric CVD film formation process with in-situ imbedded nanolayers to improve mechanical properties |
TW095107313A TWI414623B (en) | 2005-03-08 | 2006-03-03 | Low k dielectric cvd film formation process with in-situ imbedded nanolayers to improve mechanical properties |
EP06737610A EP1856735A4 (en) | 2005-03-08 | 2006-03-08 | Low k dielectric cvd film formation process with in-situ imbedded nanolayers to improve mechanical properties |
PCT/US2006/008449 WO2006096813A2 (en) | 2005-03-08 | 2006-03-08 | Low k dielectric cvd film formation process with in-situ imbedded nanolayers to improve mechanical properties |
JP2008500925A JP5398258B2 (en) | 2005-03-08 | 2006-03-08 | Dielectric stack and interconnect structure comprising the same |
CN2006800074066A CN101138085B (en) | 2005-03-08 | 2006-03-08 | Low k dielectric cvd film formation process with in-situ imbedded nanolayers to improve mechanical properties |
US11/830,425 US7998880B2 (en) | 2005-03-08 | 2007-07-30 | Low k dielectric CVD film formation process with in-situ imbedded nanolayers to improve mechanical properties |
Applications Claiming Priority (1)
Application Number | Priority Date | Filing Date | Title |
---|---|---|---|
US10/906,815 US7265437B2 (en) | 2005-03-08 | 2005-03-08 | Low k dielectric CVD film formation process with in-situ imbedded nanolayers to improve mechanical properties |
Related Child Applications (1)
Application Number | Title | Priority Date | Filing Date |
---|---|---|---|
US11/830,425 Division US7998880B2 (en) | 2005-03-08 | 2007-07-30 | Low k dielectric CVD film formation process with in-situ imbedded nanolayers to improve mechanical properties |
Publications (2)
Publication Number | Publication Date |
---|---|
US20060202311A1 US20060202311A1 (en) | 2006-09-14 |
US7265437B2 true US7265437B2 (en) | 2007-09-04 |
Family
ID=36954026
Family Applications (2)
Application Number | Title | Priority Date | Filing Date |
---|---|---|---|
US10/906,815 Active 2025-08-05 US7265437B2 (en) | 2005-03-08 | 2005-03-08 | Low k dielectric CVD film formation process with in-situ imbedded nanolayers to improve mechanical properties |
US11/830,425 Expired - Fee Related US7998880B2 (en) | 2005-03-08 | 2007-07-30 | Low k dielectric CVD film formation process with in-situ imbedded nanolayers to improve mechanical properties |
Family Applications After (1)
Application Number | Title | Priority Date | Filing Date |
---|---|---|---|
US11/830,425 Expired - Fee Related US7998880B2 (en) | 2005-03-08 | 2007-07-30 | Low k dielectric CVD film formation process with in-situ imbedded nanolayers to improve mechanical properties |
Country Status (6)
Country | Link |
---|---|
US (2) | US7265437B2 (en) |
EP (1) | EP1856735A4 (en) |
JP (1) | JP5398258B2 (en) |
CN (1) | CN101138085B (en) |
TW (1) | TWI414623B (en) |
WO (1) | WO2006096813A2 (en) |
Cited By (9)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
US20080026203A1 (en) * | 2003-03-18 | 2008-01-31 | International Business Machines Corporation | ULTRA LOW K (ULK) SiCOH FILM AND METHOD |
WO2009158236A2 (en) * | 2008-06-26 | 2009-12-30 | Intel Corporation | Forming ultra low dielectric constant porous dielectric films and structures formed thereby |
US20100015816A1 (en) * | 2008-07-15 | 2010-01-21 | Kelvin Chan | Methods to promote adhesion between barrier layer and porous low-k film deposited from multiple liquid precursors |
US20110183525A1 (en) * | 2010-01-27 | 2011-07-28 | International Business Machines Corporation | Homogeneous Porous Low Dielectric Constant Materials |
US8492239B2 (en) | 2010-01-27 | 2013-07-23 | International Business Machines Corporation | Homogeneous porous low dielectric constant materials |
US8541301B2 (en) | 2011-07-12 | 2013-09-24 | International Business Machines Corporation | Reduction of pore fill material dewetting |
US8637412B2 (en) | 2011-08-19 | 2014-01-28 | International Business Machines Corporation | Process to form an adhesion layer and multiphase ultra-low k dielectric material using PECVD |
US8828489B2 (en) | 2011-08-19 | 2014-09-09 | International Business Machines Corporation | Homogeneous modification of porous films |
US8927430B2 (en) | 2011-07-12 | 2015-01-06 | International Business Machines Corporation | Overburden removal for pore fill integration approach |
Families Citing this family (22)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
US7622378B2 (en) | 2005-11-09 | 2009-11-24 | Tokyo Electron Limited | Multi-step system and method for curing a dielectric film |
US20070210421A1 (en) * | 2006-03-13 | 2007-09-13 | Texas Instruments Inc. | Semiconductor device fabricated using a carbon-containing film as a contact etch stop layer |
US8956457B2 (en) * | 2006-09-08 | 2015-02-17 | Tokyo Electron Limited | Thermal processing system for curing dielectric films |
US20090061649A1 (en) | 2007-08-28 | 2009-03-05 | International Business Machines Corporation | LOW k POROUS SiCOH DIELECTRIC AND INTEGRATION WITH POST FILM FORMATION TREATMENT |
US20090061237A1 (en) * | 2007-08-28 | 2009-03-05 | International Business Machines Corporation | LOW k POROUS SiCOH DIELECTRIC AND INTEGRATION WITH POST FILM FORMATION TREATMENT |
US20090075491A1 (en) * | 2007-09-13 | 2009-03-19 | Tokyo Electron Limited | Method for curing a dielectric film |
US20090226694A1 (en) * | 2008-03-06 | 2009-09-10 | Tokyo Electron Limited | POROUS SiCOH-CONTAINING DIELECTRIC FILM AND A METHOD OF PREPARING |
US20090226695A1 (en) * | 2008-03-06 | 2009-09-10 | Tokyo Electron Limited | Method for treating a dielectric film with infrared radiation |
US7858533B2 (en) * | 2008-03-06 | 2010-12-28 | Tokyo Electron Limited | Method for curing a porous low dielectric constant dielectric film |
US7977256B2 (en) | 2008-03-06 | 2011-07-12 | Tokyo Electron Limited | Method for removing a pore-generating material from an uncured low-k dielectric film |
US8058183B2 (en) * | 2008-06-23 | 2011-11-15 | Applied Materials, Inc. | Restoring low dielectric constant film properties |
US8895942B2 (en) * | 2008-09-16 | 2014-11-25 | Tokyo Electron Limited | Dielectric treatment module using scanning IR radiation source |
US20100065758A1 (en) * | 2008-09-16 | 2010-03-18 | Tokyo Electron Limited | Dielectric material treatment system and method of operating |
JP5671220B2 (en) * | 2009-08-25 | 2015-02-18 | ルネサスエレクトロニクス株式会社 | Manufacturing method of semiconductor device |
US20110232677A1 (en) * | 2010-03-29 | 2011-09-29 | Tokyo Electron Limited | Method for cleaning low-k dielectrics |
US8846528B2 (en) * | 2011-11-29 | 2014-09-30 | Taiwan Semiconductor Manufacturing Company, Ltd. | Method of modifying a low k dielectric layer having etched features and the resulting product |
US20130256894A1 (en) * | 2012-03-29 | 2013-10-03 | International Rectifier Corporation | Porous Metallic Film as Die Attach and Interconnect |
US9460997B2 (en) | 2013-12-31 | 2016-10-04 | Taiwan Semiconductor Manufacturing Company, Ltd. | Interconnect structure for semiconductor devices |
CN104752333B (en) * | 2013-12-31 | 2018-07-03 | 中芯国际集成电路制造(上海)有限公司 | The production method of first metal interconnecting layer |
JP6929279B2 (en) * | 2015-10-22 | 2021-09-01 | アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated | Method of depositing a fluid film containing SiO and SiN |
WO2017161236A1 (en) | 2016-03-17 | 2017-09-21 | Applied Materials, Inc. | Methods for gapfill in high aspect ratio structures |
US11133178B2 (en) | 2019-09-20 | 2021-09-28 | Applied Materials, Inc. | Seamless gapfill with dielectric ALD films |
Citations (8)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
US20010004550A1 (en) * | 1999-12-13 | 2001-06-21 | Stmicroelectronics S.A. | Damascene-type interconnection structure and its production process |
US6316167B1 (en) | 2000-01-10 | 2001-11-13 | International Business Machines Corporation | Tunabale vapor deposited materials as antireflective coatings, hardmasks and as combined antireflective coating/hardmasks and methods of fabrication thereof and application thereof |
US20020115285A1 (en) | 2000-12-21 | 2002-08-22 | Wong Lawrence D. | Mechanically reinforced highly porous low dielectric constant films |
US6518646B1 (en) | 2001-03-29 | 2003-02-11 | Advanced Micro Devices, Inc. | Semiconductor device with variable composition low-k inter-layer dielectric and method of making |
US20030234450A1 (en) * | 2000-10-25 | 2003-12-25 | Alfred Grill | Ultralow dielectric constant material as an intralevel or interlevel dielectric in a semiconductor device |
US6713874B1 (en) | 2001-03-27 | 2004-03-30 | Advanced Micro Devices, Inc. | Semiconductor devices with dual nature capping/arc layers on organic-doped silica glass inter-layer dielectrics |
US20040137153A1 (en) * | 2002-04-16 | 2004-07-15 | Michael Thomas | Layered stacks and methods of production thereof |
US20050179135A1 (en) * | 2002-10-31 | 2005-08-18 | Asm Japan K.K. | Semiconductor device having porous structure |
Family Cites Families (13)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
US5858200A (en) * | 1996-05-30 | 1999-01-12 | Bridgestone Metalpha Corporation | Method of and apparatus for manufacturing metallic fiber and the twine of metallic fibers, and method of coloring metallic fiber and the twine of metallic fibers |
US6051321A (en) * | 1997-10-24 | 2000-04-18 | Quester Technology, Inc. | Low dielectric constant materials and method |
US6974766B1 (en) * | 1998-10-01 | 2005-12-13 | Applied Materials, Inc. | In situ deposition of a low κ dielectric layer, barrier layer, etch stop, and anti-reflective coating for damascene application |
US6953984B2 (en) * | 2000-06-23 | 2005-10-11 | International Business Machines Corporation | Hydrogenated oxidized silicon carbon material |
TW462085B (en) * | 2000-10-26 | 2001-11-01 | United Microelectronics Corp | Planarization of organic silicon low dielectric constant material by chemical mechanical polishing |
JP3545364B2 (en) * | 2000-12-19 | 2004-07-21 | キヤノン販売株式会社 | Semiconductor device and manufacturing method thereof |
TW477029B (en) * | 2001-02-21 | 2002-02-21 | Nat Science Council | Method of reducing thick film stress of spin on dielectric and the resulting sandwich dielectric structure |
US20020163062A1 (en) * | 2001-02-26 | 2002-11-07 | International Business Machines Corporation | Multiple material stacks with a stress relief layer between a metal structure and a passivation layer |
JP3749469B2 (en) * | 2001-10-18 | 2006-03-01 | 富士通株式会社 | Method for forming SiC: H film and method for manufacturing semiconductor device |
US20030134495A1 (en) * | 2002-01-15 | 2003-07-17 | International Business Machines Corporation | Integration scheme for advanced BEOL metallization including low-k cap layer and method thereof |
US6815332B2 (en) * | 2002-10-30 | 2004-11-09 | Asm Japan K.K. | Method for forming integrated dielectric layers |
US7288292B2 (en) * | 2003-03-18 | 2007-10-30 | International Business Machines Corporation | Ultra low k (ULK) SiCOH film and method |
US7132374B2 (en) * | 2004-08-17 | 2006-11-07 | Cecilia Y. Mak | Method for depositing porous films |
-
2005
- 2005-03-08 US US10/906,815 patent/US7265437B2/en active Active
-
2006
- 2006-03-03 TW TW095107313A patent/TWI414623B/en not_active IP Right Cessation
- 2006-03-08 CN CN2006800074066A patent/CN101138085B/en active Active
- 2006-03-08 JP JP2008500925A patent/JP5398258B2/en active Active
- 2006-03-08 EP EP06737610A patent/EP1856735A4/en not_active Withdrawn
- 2006-03-08 WO PCT/US2006/008449 patent/WO2006096813A2/en active Application Filing
-
2007
- 2007-07-30 US US11/830,425 patent/US7998880B2/en not_active Expired - Fee Related
Patent Citations (10)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
US20010004550A1 (en) * | 1999-12-13 | 2001-06-21 | Stmicroelectronics S.A. | Damascene-type interconnection structure and its production process |
US6316167B1 (en) | 2000-01-10 | 2001-11-13 | International Business Machines Corporation | Tunabale vapor deposited materials as antireflective coatings, hardmasks and as combined antireflective coating/hardmasks and methods of fabrication thereof and application thereof |
US20030234450A1 (en) * | 2000-10-25 | 2003-12-25 | Alfred Grill | Ultralow dielectric constant material as an intralevel or interlevel dielectric in a semiconductor device |
US20020115285A1 (en) | 2000-12-21 | 2002-08-22 | Wong Lawrence D. | Mechanically reinforced highly porous low dielectric constant films |
US6703324B2 (en) | 2000-12-21 | 2004-03-09 | Intel Corporation | Mechanically reinforced highly porous low dielectric constant films |
US20040157436A1 (en) | 2000-12-21 | 2004-08-12 | Wong Lawrence D. | Mechanically reinforced highly porous low dielectric constant films |
US6713874B1 (en) | 2001-03-27 | 2004-03-30 | Advanced Micro Devices, Inc. | Semiconductor devices with dual nature capping/arc layers on organic-doped silica glass inter-layer dielectrics |
US6518646B1 (en) | 2001-03-29 | 2003-02-11 | Advanced Micro Devices, Inc. | Semiconductor device with variable composition low-k inter-layer dielectric and method of making |
US20040137153A1 (en) * | 2002-04-16 | 2004-07-15 | Michael Thomas | Layered stacks and methods of production thereof |
US20050179135A1 (en) * | 2002-10-31 | 2005-08-18 | Asm Japan K.K. | Semiconductor device having porous structure |
Cited By (15)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
US20080026203A1 (en) * | 2003-03-18 | 2008-01-31 | International Business Machines Corporation | ULTRA LOW K (ULK) SiCOH FILM AND METHOD |
US20090297823A1 (en) * | 2003-03-18 | 2009-12-03 | International Business Machines Corporation | ULTRA LOW K (ULK) SiCOH FILM AND METHOD |
WO2009158236A2 (en) * | 2008-06-26 | 2009-12-30 | Intel Corporation | Forming ultra low dielectric constant porous dielectric films and structures formed thereby |
US20090324928A1 (en) * | 2008-06-26 | 2009-12-31 | Vijayakumar Ramachandrarao | Forming ultra low dielectric constant porous dielectric films and structures formed thereby |
WO2009158236A3 (en) * | 2008-06-26 | 2010-04-22 | Intel Corporation | Forming ultra low dielectric constant porous dielectric films and structures formed thereby |
US20100015816A1 (en) * | 2008-07-15 | 2010-01-21 | Kelvin Chan | Methods to promote adhesion between barrier layer and porous low-k film deposited from multiple liquid precursors |
US20110183525A1 (en) * | 2010-01-27 | 2011-07-28 | International Business Machines Corporation | Homogeneous Porous Low Dielectric Constant Materials |
US8314005B2 (en) | 2010-01-27 | 2012-11-20 | International Business Machines Corporation | Homogeneous porous low dielectric constant materials |
US8492239B2 (en) | 2010-01-27 | 2013-07-23 | International Business Machines Corporation | Homogeneous porous low dielectric constant materials |
US8623741B2 (en) | 2010-01-27 | 2014-01-07 | International Business Machines Corporation | Homogeneous porous low dielectric constant materials |
US8541301B2 (en) | 2011-07-12 | 2013-09-24 | International Business Machines Corporation | Reduction of pore fill material dewetting |
US8871632B2 (en) | 2011-07-12 | 2014-10-28 | International Business Machines Corporation | Reduction of pore fill material dewetting |
US8927430B2 (en) | 2011-07-12 | 2015-01-06 | International Business Machines Corporation | Overburden removal for pore fill integration approach |
US8637412B2 (en) | 2011-08-19 | 2014-01-28 | International Business Machines Corporation | Process to form an adhesion layer and multiphase ultra-low k dielectric material using PECVD |
US8828489B2 (en) | 2011-08-19 | 2014-09-09 | International Business Machines Corporation | Homogeneous modification of porous films |
Also Published As
Publication number | Publication date |
---|---|
EP1856735A2 (en) | 2007-11-21 |
US20100028695A1 (en) | 2010-02-04 |
TW200641177A (en) | 2006-12-01 |
TWI414623B (en) | 2013-11-11 |
JP2008537639A (en) | 2008-09-18 |
EP1856735A4 (en) | 2009-07-15 |
WO2006096813A2 (en) | 2006-09-14 |
CN101138085B (en) | 2013-03-27 |
US7998880B2 (en) | 2011-08-16 |
US20060202311A1 (en) | 2006-09-14 |
WO2006096813A3 (en) | 2006-12-28 |
JP5398258B2 (en) | 2014-01-29 |
CN101138085A (en) | 2008-03-05 |
Similar Documents
Publication | Publication Date | Title |
---|---|---|
US7265437B2 (en) | Low k dielectric CVD film formation process with in-situ imbedded nanolayers to improve mechanical properties | |
US7335980B2 (en) | Hardmask for reliability of silicon based dielectrics | |
US7494938B2 (en) | Advanced low dielectric constant organosilicon plasma chemical vapor deposition films | |
US8097932B2 (en) | Ultra low κ plasma enhanced chemical vapor deposition processes using a single bifunctional precursor containing both a SiCOH matrix functionality and organic porogen functionality | |
US7560794B2 (en) | DUV laser annealing and stabilization of SiCOH films | |
US7030468B2 (en) | Low k and ultra low k SiCOH dielectric films and methods to form the same | |
US7948083B2 (en) | Reliable BEOL integration process with direct CMP of porous SiCOH dielectric | |
US8664109B2 (en) | Advanced low k cap film formation process for nano electronic devices |
Legal Events
Date | Code | Title | Description |
---|---|---|---|
AS | Assignment |
Owner name: INTERNATIONAL BUSINESS MACHINES CORPORATION, NEW Y Free format text: ASSIGNMENT OF ASSIGNORS INTEREST;ASSIGNORS:NGUYEN, SON V.;LANE, SARAH L.;LINIGER, ERIC G.;AND OTHERS;REEL/FRAME:015742/0966;SIGNING DATES FROM 20050202 TO 20050203 Owner name: SONY CORPORATION, JAPAN Free format text: ASSIGNMENT OF ASSIGNORS INTEREST;ASSIGNOR:IDA, KENSAKU;REEL/FRAME:015743/0026 Effective date: 20050208 |
|
FEPP | Fee payment procedure |
Free format text: PAYOR NUMBER ASSIGNED (ORIGINAL EVENT CODE: ASPN); ENTITY STATUS OF PATENT OWNER: LARGE ENTITY |
|
STCF | Information on status: patent grant |
Free format text: PATENTED CASE |
|
REMI | Maintenance fee reminder mailed | ||
FPAY | Fee payment |
Year of fee payment: 4 |
|
SULP | Surcharge for late payment | ||
FPAY | Fee payment |
Year of fee payment: 8 |
|
MAFP | Maintenance fee payment |
Free format text: PAYMENT OF MAINTENANCE FEE, 12TH YEAR, LARGE ENTITY (ORIGINAL EVENT CODE: M1553); ENTITY STATUS OF PATENT OWNER: LARGE ENTITY Year of fee payment: 12 |