RU2004102519A - Способ получения низкодиэлектрических пленок - Google Patents

Способ получения низкодиэлектрических пленок Download PDF

Info

Publication number
RU2004102519A
RU2004102519A RU2004102519/28A RU2004102519A RU2004102519A RU 2004102519 A RU2004102519 A RU 2004102519A RU 2004102519/28 A RU2004102519/28 A RU 2004102519/28A RU 2004102519 A RU2004102519 A RU 2004102519A RU 2004102519 A RU2004102519 A RU 2004102519A
Authority
RU
Russia
Prior art keywords
group
organosilicon
organosilicate compound
tetramethylcyclotetrasiloxane
cbr
Prior art date
Application number
RU2004102519/28A
Other languages
English (en)
Other versions
RU2264675C2 (ru
Inventor
Си-Воо РЕЕ (KR)
Си-Воо РЕЕ
Санг-Ки КВАК (KR)
Санг-Ки КВАК
Original Assignee
Поустек Фаундейшн (Kr)
Поустек Фаундейшн
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Поустек Фаундейшн (Kr), Поустек Фаундейшн filed Critical Поустек Фаундейшн (Kr)
Publication of RU2004102519A publication Critical patent/RU2004102519A/ru
Application granted granted Critical
Publication of RU2264675C2 publication Critical patent/RU2264675C2/ru

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02126Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing Si, O, and at least one of H, N, C, F, or other non-metal elements, e.g. SiOC, SiOC:H or SiONC
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/40Oxides
    • C23C16/401Oxides containing silicon
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02205Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
    • H01L21/02208Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si
    • H01L21/02211Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound being a silane, e.g. disilane, methylsilane or chlorosilane
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02205Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
    • H01L21/02208Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si
    • H01L21/02214Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound comprising silicon and oxygen
    • H01L21/02216Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound comprising silicon and oxygen the compound being a molecule comprising at least one silicon-oxygen bond and the compound having hydrogen or an organic group attached to the silicon or oxygen, e.g. a siloxane
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/02274Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition in the presence of a plasma [PECVD]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/312Organic layers, e.g. photoresist
    • H01L21/3121Layers comprising organo-silicon compounds
    • H01L21/3122Layers comprising organo-silicon compounds layers comprising polysiloxane compounds
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/314Inorganic layers
    • H01L21/316Inorganic layers composed of oxides or glassy oxides or oxide based glass
    • H01L21/31604Deposition from a gas or vapour
    • H01L21/31633Deposition of carbon doped silicon oxide, e.g. SiOC
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02167Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon carbide not containing oxygen, e.g. SiC, SiC:H or silicon carbonitrides

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Power Engineering (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Computer Hardware Design (AREA)
  • Manufacturing & Machinery (AREA)
  • General Physics & Mathematics (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Organic Chemistry (AREA)
  • General Chemical & Material Sciences (AREA)
  • Metallurgy (AREA)
  • Mechanical Engineering (AREA)
  • Materials Engineering (AREA)
  • Inorganic Chemistry (AREA)
  • Plasma & Fusion (AREA)
  • Spectroscopy & Molecular Physics (AREA)
  • Chemical Vapour Deposition (AREA)
  • Formation Of Insulating Films (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)

Claims (11)

1. Способ получения пленки гидрированного кремний-оксикарбида (SiCO:H) c низкой диэлектрической постоянной, включающий проведение химического осаждения из паровой фазы с использованием, вместе с плазмой О2-содержащего газа, кремнийорганического или органосиликатного соединения, имеющего, по меньшей мере, одну винильную или этинильную группу, или смеси насыщенного кремнийорганического или органосиликатного соединения и алифатически ненасыщенного углеводорода.
2. Способ по п.1, в котором смесь насыщенного кремнийорганического или органосиликатного соединения и алифатически ненасыщенного углеводорода имеет соотношение смешения от 1:0,1 до 1:10.
3. Способ по п.1, в котором кремнийорганическое или органосиликатное соединение, имеющее, по меньшей мере, одну винильную или этинильную группу, выбирают из группы, состоящей из винилтриметилсилана, винилтриэтилсилана, винилтриметоксисилана, винилтриэтоксисилана, 1,3,5-тривинил-1,3,5-триметилциклотрисилоксана, 1,3,5,7-тетравинил-1,3,5,7-тетраметилциклотетрасилоксана, 1,3-дивинилтетраметилдисилоксана, гексавинилдисилоксана, аллилдиметилсилана, аллилдиметоксисилана, этинилтриметилсилана, этинилтриэтилсилана и их смеси.
4. Способ по п.3, в котором кремнийорганическое или органосиликатное соединение, имеющее, по меньшей мере, одну винильную или этинильную группу, выбирают из группы, состоящей из винилтриметилсилана, 1,3,5,7-тетравинил-1,3,5,7-тетраметилциклотетрасилоксана, аллилдиметилсилана, 1,3-дивинилтетраметилдисилоксана, винилтриметоксисилана и этинилтриметилсилана.
5. Способ по п.1, в котором насыщенное кремнийорганическое или органосиликатное соединение выбирают из группы, состоящей из триметилсилана, триэтилсилана, триметоксисилана, триэтоксисилана, тетраметилсилана, тетраэтилсилана, тетраметоксисилана, тетраэтоксисилана, гексаметилциклотрисилоксана, тетраметилциклотетрасилоксана, тетраэтилциклотетрасилоксана, октаметилциклотетрасилоксана, гексаметилдисилоксана, бис-триметилсилилметана, винилтриметилсилана, винилтриэтилсилана, винилтриметоксисилана, винилтриэтоксисилана, 1,3,5-тривинил-1,3,5-триметилциклотрисилоксана, 1,3,5,7-тетравинил-1,3,5,7-тетраметилциклотетрасилоксана, 1,3-дивинилтетраметилдисилоксана, гексавинилдисилоксана, аллилдиметилсилана, аллилдиметоксисилана, этинилтриметилсилана, этинилтриэтилсилана и их смеси.
6. Способ по п.5, в котором насыщенное кремнийорганическое или органосиликатное соединение выбирают из группы, состоящей из тетраметилсилана, гексаметилдисилоксана и тетраметилциклотетрасилоксана.
7. Способ по п.1, в котором алифатически ненасыщенный углеводород выбирают из группы, состоящей из H2C=CH2, F2C=CF2, H2C=CF2, HFC=CFH, F2C=C=CF2, H2C=C=CF2, HFC=C=CFH, HC≡CH, FC≡CH, FC≡CF, Cl2C=CCl2, H2C=CCl2, HClC=CClH, Cl2C=C=CCl2, H2C=C=CCl2, HClC=C=CClH, ClC≡CH, ClC≡CCl, Br2C=CBr2, H2C=CBr2, HBrC=CBrH, Br2C=C=CBr2, H2C=C=CBr2, HBrC=C=CBrH, BrC≡CH, BrC≡CBr, I2C=CI2, H2C=CI2, HIC=CIH, I2C=C=CI2, H2C=C=CI2, HIC=C=CIH, IC≡CH и IC≡CI.
8. Способ по п.7, в котором алифатически ненасыщенным углеводородом является Н2С=СН2 или F2C=CF2.
9. Способ по п.1, в котором О2-содержащий газ выбирают из группы, состоящей из О2, N2O, O3, H2O2, CO2, H2O и их смеси.
10. Способ по п.1, дополнительно включающий стадию отжига осажденной пленки при температуре в интервале от 100 до 500°C в течение 0,5-8 ч.
11. Пленка из гидрированного кремний-оксикарбида (SiCO:H) с низкой диэлектрической постоянной, полученная способом по п.1.
RU2004102519/28A 2001-06-29 2002-06-28 Пленка гидрированного кремний-оксикарбида (sico:h) и способ ее получения RU2264675C2 (ru)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
KR20010038050 2001-06-29
KR2001/38050 2001-06-29

Publications (2)

Publication Number Publication Date
RU2004102519A true RU2004102519A (ru) 2005-03-20
RU2264675C2 RU2264675C2 (ru) 2005-11-20

Family

ID=19711521

Family Applications (1)

Application Number Title Priority Date Filing Date
RU2004102519/28A RU2264675C2 (ru) 2001-06-29 2002-06-28 Пленка гидрированного кремний-оксикарбида (sico:h) и способ ее получения

Country Status (8)

Country Link
US (1) US7087271B2 (ru)
EP (1) EP1399955A1 (ru)
JP (1) JP3828540B2 (ru)
KR (1) KR20030002993A (ru)
CN (1) CN1277290C (ru)
RU (1) RU2264675C2 (ru)
TW (1) TW571350B (ru)
WO (1) WO2003005429A1 (ru)

Families Citing this family (71)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6936309B2 (en) * 2002-04-02 2005-08-30 Applied Materials, Inc. Hardness improvement of silicon carboxy films
US20030194495A1 (en) * 2002-04-11 2003-10-16 Applied Materials, Inc. Crosslink cyclo-siloxane compound with linear bridging group to form ultra low k dielectric
ATE499458T1 (de) * 2002-04-17 2011-03-15 Air Prod & Chem Verfahren zur herstellung einer porösen sioch- schicht
US8951342B2 (en) 2002-04-17 2015-02-10 Air Products And Chemicals, Inc. Methods for using porogens for low k porous organosilica glass films
US9061317B2 (en) 2002-04-17 2015-06-23 Air Products And Chemicals, Inc. Porogens, porogenated precursors and methods for using the same to provide porous organosilica glass films with low dielectric constants
US6936551B2 (en) * 2002-05-08 2005-08-30 Applied Materials Inc. Methods and apparatus for E-beam treatment used to fabricate integrated circuit devices
AU2003302222A1 (en) 2002-07-22 2004-06-30 Massachusetts Institute Of Technolgoy Porous material formation by chemical vapor deposition onto colloidal crystal templates
US6846756B2 (en) * 2002-07-30 2005-01-25 Taiwan Semiconductor Manufacturing Co., Ltd Method for preventing low-k dielectric layer cracking in multi-layered dual damascene metallization layers
AU2003282988A1 (en) * 2002-10-21 2004-05-13 Massachusetts Institute Of Technology Pecvd of organosilicate thin films
US20040137757A1 (en) * 2003-01-13 2004-07-15 Applied Materials, Inc. Method and apparatus to improve cracking thresholds and mechanical properties of low-k dielectric material
US7208389B1 (en) 2003-03-31 2007-04-24 Novellus Systems, Inc. Method of porogen removal from porous low-k films using UV radiation
US7241704B1 (en) 2003-03-31 2007-07-10 Novellus Systems, Inc. Methods for producing low stress porous low-k dielectric materials using precursors with organic functional groups
US20040197474A1 (en) * 2003-04-01 2004-10-07 Vrtis Raymond Nicholas Method for enhancing deposition rate of chemical vapor deposition films
US20040253378A1 (en) * 2003-06-12 2004-12-16 Applied Materials, Inc. Stress reduction of SIOC low k film by addition of alkylenes to OMCTS based processes
JP4513956B2 (ja) * 2003-07-30 2010-07-28 日本電気株式会社 有機高分子膜及びその製造方法
US7390537B1 (en) * 2003-11-20 2008-06-24 Novellus Systems, Inc. Methods for producing low-k CDO films with low residual stress
JP4434146B2 (ja) * 2003-11-28 2010-03-17 日本電気株式会社 多孔質絶縁膜及びその製造方法並びに多孔質絶縁膜を用いた半導体装置
TW200527536A (en) * 2004-02-13 2005-08-16 Matsushita Electric Ind Co Ltd Method for forming organic/inorganic hybrid insulation film
US7381662B1 (en) 2004-03-11 2008-06-03 Novellus Systems, Inc. Methods for improving the cracking resistance of low-k dielectric materials
US7341761B1 (en) 2004-03-11 2008-03-11 Novellus Systems, Inc. Methods for producing low-k CDO films
US7781351B1 (en) 2004-04-07 2010-08-24 Novellus Systems, Inc. Methods for producing low-k carbon doped oxide films with low residual stress
US7253125B1 (en) 2004-04-16 2007-08-07 Novellus Systems, Inc. Method to improve mechanical strength of low-k dielectric film using modulated UV exposure
US7622400B1 (en) 2004-05-18 2009-11-24 Novellus Systems, Inc. Method for improving mechanical properties of low dielectric constant materials
US7326444B1 (en) 2004-09-14 2008-02-05 Novellus Systems, Inc. Methods for improving integration performance of low stress CDO films
US9659769B1 (en) 2004-10-22 2017-05-23 Novellus Systems, Inc. Tensile dielectric films using UV curing
US7790633B1 (en) 2004-10-26 2010-09-07 Novellus Systems, Inc. Sequential deposition/anneal film densification method
US7695765B1 (en) 2004-11-12 2010-04-13 Novellus Systems, Inc. Methods for producing low-stress carbon-doped oxide films with improved integration properties
US7892648B2 (en) 2005-01-21 2011-02-22 International Business Machines Corporation SiCOH dielectric material with improved toughness and improved Si-C bonding
US7510982B1 (en) 2005-01-31 2009-03-31 Novellus Systems, Inc. Creation of porosity in low-k films by photo-disassociation of imbedded nanoparticles
US7166531B1 (en) 2005-01-31 2007-01-23 Novellus Systems, Inc. VLSI fabrication processes for introducing pores into dielectric materials
US8282768B1 (en) 2005-04-26 2012-10-09 Novellus Systems, Inc. Purging of porogen from UV cure chamber
US8454750B1 (en) 2005-04-26 2013-06-04 Novellus Systems, Inc. Multi-station sequential curing of dielectric films
US8137465B1 (en) 2005-04-26 2012-03-20 Novellus Systems, Inc. Single-chamber sequential curing of semiconductor wafers
US8889233B1 (en) 2005-04-26 2014-11-18 Novellus Systems, Inc. Method for reducing stress in porous dielectric films
US8980769B1 (en) 2005-04-26 2015-03-17 Novellus Systems, Inc. Multi-station sequential curing of dielectric films
JP4747755B2 (ja) * 2005-09-20 2011-08-17 独立行政法人産業技術総合研究所 有機絶縁膜とその作製方法,及び有機絶縁膜を用いた半導体装置
US7892985B1 (en) 2005-11-15 2011-02-22 Novellus Systems, Inc. Method for porogen removal and mechanical strength enhancement of low-k carbon doped silicon oxide using low thermal budget microwave curing
US7381644B1 (en) 2005-12-23 2008-06-03 Novellus Systems, Inc. Pulsed PECVD method for modulating hydrogen content in hard mask
US7923376B1 (en) 2006-03-30 2011-04-12 Novellus Systems, Inc. Method of reducing defects in PECVD TEOS films
JP2007318067A (ja) * 2006-04-27 2007-12-06 National Institute For Materials Science 絶縁膜材料、この絶縁膜材料を用いた成膜方法および絶縁膜
US7851232B2 (en) 2006-10-30 2010-12-14 Novellus Systems, Inc. UV treatment for carbon-containing low-k dielectric repair in semiconductor processing
US8465991B2 (en) 2006-10-30 2013-06-18 Novellus Systems, Inc. Carbon containing low-k dielectric constant recovery using UV treatment
US10037905B2 (en) 2009-11-12 2018-07-31 Novellus Systems, Inc. UV and reducing treatment for K recovery and surface clean in semiconductor processing
US7906174B1 (en) * 2006-12-07 2011-03-15 Novellus Systems, Inc. PECVD methods for producing ultra low-k dielectric films using UV treatment
US20090278178A1 (en) * 2006-12-12 2009-11-12 Nec Corporation Semiconductor device and method for fabricating the same
ATE509138T1 (de) 2007-03-05 2011-05-15 Atotech Deutschland Gmbh Chrom(vi)-freie schwarzpassivierung für zink- haltige oberflächen
US8242028B1 (en) 2007-04-03 2012-08-14 Novellus Systems, Inc. UV treatment of etch stop and hard mask films for selectivity and hermeticity enhancement
US7622162B1 (en) 2007-06-07 2009-11-24 Novellus Systems, Inc. UV treatment of STI films for increasing tensile stress
US8211510B1 (en) 2007-08-31 2012-07-03 Novellus Systems, Inc. Cascaded cure approach to fabricate highly tensile silicon nitride films
JP5015705B2 (ja) * 2007-09-18 2012-08-29 ルネサスエレクトロニクス株式会社 層間絶縁膜形成方法、層間絶縁膜、半導体デバイス、および半導体製造装置
KR100962044B1 (ko) * 2007-12-06 2010-06-08 성균관대학교산학협력단 저유전 플라즈마 중합체 박막 및 그 제조 방법
KR20110039454A (ko) * 2008-08-04 2011-04-18 더 트러스티즈 오브 프린스턴 유니버시티 박막 트랜지스터용 하이브리드 유전 재료
US8298965B2 (en) * 2008-09-03 2012-10-30 American Air Liquide, Inc. Volatile precursors for deposition of C-linked SiCOH dielectrics
US9050623B1 (en) 2008-09-12 2015-06-09 Novellus Systems, Inc. Progressive UV cure
DE102009017702B4 (de) 2009-04-15 2011-06-16 Atotech Deutschland Gmbh Verfahren zur Bildung von Korrosionsschutzschichten auf Metalloberflächen
JP5152093B2 (ja) * 2009-04-24 2013-02-27 富士通セミコンダクター株式会社 半導体装置の製造方法
GB0914543D0 (en) * 2009-08-20 2009-09-30 Ge Healthcare Ltd Radioiodination method
US8247332B2 (en) 2009-12-04 2012-08-21 Novellus Systems, Inc. Hardmask materials
TWI550121B (zh) 2010-02-17 2016-09-21 液態空氣喬治斯克勞帝方法研究開發股份有限公司 SiCOH低K膜之氣相沈積法
KR102140719B1 (ko) * 2012-03-09 2020-08-03 에어 프로덕츠 앤드 케미칼스, 인코오포레이티드 디스플레이 디바이스를 위한 배리어 물질
US9337068B2 (en) 2012-12-18 2016-05-10 Lam Research Corporation Oxygen-containing ceramic hard masks and associated wet-cleans
CN109477214A (zh) * 2016-07-19 2019-03-15 应用材料公司 可流动含硅膜的沉积
US9847221B1 (en) * 2016-09-29 2017-12-19 Lam Research Corporation Low temperature formation of high quality silicon oxide films in semiconductor device manufacturing
CN109119339B (zh) * 2018-08-26 2022-02-08 合肥安德科铭半导体科技有限公司 一种低介电常数的SiCO间隔层材料及其制备方法和应用
EP3680098A1 (de) * 2019-01-11 2020-07-15 Carl Freudenberg KG Verbundmaterial mit haftvermittlerschicht auf basis von si, c und o
CN110129769B (zh) * 2019-05-17 2021-05-14 江苏菲沃泰纳米科技股份有限公司 疏水性的低介电常数膜及其制备方法
CN110158052B (zh) 2019-05-17 2021-05-14 江苏菲沃泰纳米科技股份有限公司 低介电常数膜及其制备方法
CN114424324A (zh) * 2019-08-16 2022-04-29 弗萨姆材料美国有限责任公司 硅化合物和使用其沉积膜的方法
KR102387925B1 (ko) * 2020-06-22 2022-04-19 울산과학기술원 고유전 탄화수소 박막 및 이를 이용한 반도체 소자
KR102387926B1 (ko) * 2020-06-22 2022-04-19 울산과학기술원 고유전 탄화수소 박막 및 이를 이용한 반도체 소자
KR102375281B1 (ko) * 2020-06-22 2022-03-17 울산과학기술원 고유전 탄화수소 박막을 이용한 커패시터 및 이를 이용한 반도체 소자

Family Cites Families (14)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5246887A (en) * 1991-07-10 1993-09-21 At&T Bell Laboratories Dielectric deposition
JPH05267480A (ja) * 1992-03-21 1993-10-15 Ricoh Co Ltd 半導体装置とその製造方法
US5530581A (en) * 1995-05-31 1996-06-25 Eic Laboratories, Inc. Protective overlayer material and electro-optical coating using same
TW328971B (en) * 1995-10-30 1998-04-01 Dow Corning Method for depositing Si-O containing coatings
JP3355949B2 (ja) * 1996-08-16 2002-12-09 日本電気株式会社 プラズマcvd絶縁膜の形成方法
DE19781956B4 (de) * 1996-08-24 2006-06-14 Trikon Equipments Ltd., Newport Verfahren zum Aufbringen einer planarisierten dielektrischen Schicht auf einem Halbleitersubstrat
KR100463858B1 (ko) * 1996-08-29 2005-02-28 마츠시타 덴끼 산교 가부시키가이샤 층간절연막의형성방법
US6303523B2 (en) * 1998-02-11 2001-10-16 Applied Materials, Inc. Plasma processes for depositing low dielectric constant films
US6159871A (en) * 1998-05-29 2000-12-12 Dow Corning Corporation Method for producing hydrogenated silicon oxycarbide films having low dielectric constant
US6147009A (en) * 1998-06-29 2000-11-14 International Business Machines Corporation Hydrogenated oxidized silicon carbon material
US6753258B1 (en) * 2000-11-03 2004-06-22 Applied Materials Inc. Integration scheme for dual damascene structure
JP2004526318A (ja) * 2001-03-23 2004-08-26 ダウ・コーニング・コーポレイション 水素化シリコンオキシカーバイド膜を生産するための方法
KR100432704B1 (ko) * 2001-09-01 2004-05-24 주성엔지니어링(주) 수소화된 SiOC 박막 제조방법
US20040197474A1 (en) * 2003-04-01 2004-10-07 Vrtis Raymond Nicholas Method for enhancing deposition rate of chemical vapor deposition films

Also Published As

Publication number Publication date
JP2004534400A (ja) 2004-11-11
TW571350B (en) 2004-01-11
JP3828540B2 (ja) 2006-10-04
KR20030002993A (ko) 2003-01-09
WO2003005429A1 (en) 2003-01-16
CN1277290C (zh) 2006-09-27
EP1399955A1 (en) 2004-03-24
US7087271B2 (en) 2006-08-08
CN1522462A (zh) 2004-08-18
RU2264675C2 (ru) 2005-11-20
US20040166240A1 (en) 2004-08-26

Similar Documents

Publication Publication Date Title
RU2004102519A (ru) Способ получения низкодиэлектрических пленок
US6733830B2 (en) Processes for depositing low dielectric constant materials
CN100537839C (zh) 形成含掺杂磷的二氧化硅的层的方法和在制造集成电路中形成沟槽隔离的方法
JP4216768B2 (ja) 有機ケイ酸塩ガラス膜及びその作製方法並びに有機ケイ酸塩ガラス膜作製のための混合物
CN103187455B (zh) 用于电子器件或其它制品上的涂层的杂化层
US6815373B2 (en) Use of cyclic siloxanes for hardness improvement of low k dielectric films
JP2004526318A (ja) 水素化シリコンオキシカーバイド膜を生産するための方法
EP2503022A1 (en) Formation of high quality dielectric films of silicon dioxide for STI: usage of different siloxane-based precursors for HARP II - remote plasma enhanced deposition processes
US7737525B1 (en) Method for producing low-K CDO films
US20030194496A1 (en) Methods for depositing dielectric material
JP2013243375A (ja) 基板上に酸化ケイ素層を形成する方法
JP2004312041A5 (ru)
KR101039242B1 (ko) 수소화 규소 옥시카바이드 막의 제조방법
EP1271634A2 (en) Methods for forming low-K dielectric films
JP2002083810A (ja) 成膜方法及び半導体装置
TW202012419A (zh) 矽化合物及使用其沉積膜的方法
US6936309B2 (en) Hardness improvement of silicon carboxy films
US20040137757A1 (en) Method and apparatus to improve cracking thresholds and mechanical properties of low-k dielectric material
CN101553600B (zh) 用于电子器件或其它制品上的涂层的杂化层
CN109722648A (zh) 硅杂环状化合物和使用其沉积含硅膜的方法
JP3484128B2 (ja) 成膜方法及び半導体装置
Grill et al. THE STRUCTURE OF LOW-k TO EXTREME LOW-k SiCOH DIELECTRICS–FTIR CHARACTERIZATION

Legal Events

Date Code Title Description
MM4A The patent is invalid due to non-payment of fees

Effective date: 20060629