JP2013243375A - 基板上に酸化ケイ素層を形成する方法 - Google Patents

基板上に酸化ケイ素層を形成する方法 Download PDF

Info

Publication number
JP2013243375A
JP2013243375A JP2013138042A JP2013138042A JP2013243375A JP 2013243375 A JP2013243375 A JP 2013243375A JP 2013138042 A JP2013138042 A JP 2013138042A JP 2013138042 A JP2013138042 A JP 2013138042A JP 2013243375 A JP2013243375 A JP 2013243375A
Authority
JP
Japan
Prior art keywords
precursor
silicon
annealing
oxide layer
silicon oxide
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
JP2013138042A
Other languages
English (en)
Inventor
D Nemani Srinivas
スリニヴァス, ディー ネマニ,
Abhiit Bas Malik
アビジット, バス マリック,
Ellie Y Yieh
エリー, ワイ イー,
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Applied Materials Inc
Original Assignee
Applied Materials Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Applied Materials Inc filed Critical Applied Materials Inc
Publication of JP2013243375A publication Critical patent/JP2013243375A/ja
Pending legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/314Inorganic layers
    • H01L21/316Inorganic layers composed of oxides or glassy oxides or oxide based glass
    • H01L21/31604Deposition from a gas or vapour
    • H01L21/31608Deposition of SiO2
    • H01L21/31612Deposition of SiO2 on a silicon body
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/04Coating on selected surface areas, e.g. using masks
    • C23C16/045Coating cavities or hollow spaces, e.g. interior of tubes; Infiltration of porous substrates
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/40Oxides
    • C23C16/401Oxides containing silicon
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/448Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for generating reactive gas streams, e.g. by evaporation or sublimation of precursor materials
    • C23C16/452Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for generating reactive gas streams, e.g. by evaporation or sublimation of precursor materials by activating reactive gas streams before their introduction into the reaction chamber, e.g. by ionisation or addition of reactive species
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/56After-treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02126Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing Si, O, and at least one of H, N, C, F, or other non-metal elements, e.g. SiOC, SiOC:H or SiONC
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02164Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon oxide, e.g. SiO2
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02205Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
    • H01L21/02208Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si
    • H01L21/02214Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound comprising silicon and oxygen
    • H01L21/02216Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound comprising silicon and oxygen the compound being a molecule comprising at least one silicon-oxygen bond and the compound having hydrogen or an organic group attached to the silicon or oxygen, e.g. a siloxane
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02205Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
    • H01L21/02208Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si
    • H01L21/02219Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound comprising silicon and nitrogen
    • H01L21/02222Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound comprising silicon and nitrogen the compound being a silazane
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/02274Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition in the presence of a plasma [PECVD]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02318Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment
    • H01L21/02337Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment treatment by exposure to a gas or vapour
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/312Organic layers, e.g. photoresist
    • H01L21/3121Layers comprising organo-silicon compounds
    • H01L21/3122Layers comprising organo-silicon compounds layers comprising polysiloxane compounds
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02205Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
    • H01L21/02208Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si
    • H01L21/02219Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound comprising silicon and nitrogen
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/0228Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition deposition by cyclic CVD, e.g. ALD, ALE, pulsed CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/314Inorganic layers
    • H01L21/316Inorganic layers composed of oxides or glassy oxides or oxide based glass
    • H01L21/31604Deposition from a gas or vapour
    • H01L21/31633Deposition of carbon doped silicon oxide, e.g. SiOC

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Power Engineering (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • General Chemical & Material Sciences (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • Materials Engineering (AREA)
  • Inorganic Chemistry (AREA)
  • Plasma & Fusion (AREA)
  • Spectroscopy & Molecular Physics (AREA)
  • Chemical Vapour Deposition (AREA)
  • Formation Of Insulating Films (AREA)

Abstract

【課題】多孔度が小さく、ウェットエッチレート比がより小さく、またクラッキングがより少ない、低−k炭素系膜を堆積させる方法を提供する。
【解決手段】基板上に酸化ケイ素層を堆積させる方法は、堆積チャンバに基板を提供することを含む。第1ケイ素含有前駆体、第2ケイ素含有前駆体及びNH3プラズマが反応して酸化ケイ素層を形成する。第1ケイ素含有前駆体は、Si−H結合及びSi−Si結合の少なくとも1つを含む。第2ケイ素含有前駆体は、少なくとも1つのSi−N結合を含む。堆積された酸化ケイ素層はアニールされる。
【選択図】図1

Description

発明の詳細な説明
[技術分野]
[関連出願の相互参照]
[0001]本出願は、本出願人に譲渡された米国特許仮出願第60/803499号(Lubomirsky他、出願は2006年5月30日、名称は「PROCESS CHAMBER FOR DIELECTRIC GAPFILL」)に関連する。本出願は、本出願人に譲渡された米国特許第6387207号(Janakiraman他、発行は2002年5月14日、名称は「INTEGRATION OF REMOTE PLASMA GENERATOR WITH SEMICONDUCTOR PROCESSING CHAMBER」)に関連する。本出願は、本出願人に譲渡された米国特許第6830624号(Janakiraman他、発行は2004年12月14日、名称は「BLOCKER PLATE BY−PASS FOR REMOTE PLASMA CLEAN」)に関連する。本出願はまた、本出願人に譲渡された米国特許第5558717号(Zhao他、名称は「CVD PROCESSING CHAMBER」)にも関連する。全ての関連出願の全内容は、全ての目的のために、参照によって本明細書に組み込まれる。
[0002]半導体デバイスの幾何形状は、数10年前にそれらが導入されてから、寸法が劇的に小さくなった。現在の半導体製造設備は、250nm、180nm、及び65nmの凹凸寸法(feature size)を有するデバイスをごく普通に生産し、新しい設備が開発されて、さらに小さい幾何形状を有するデバイスを製造するために提供されている。しかし、より小さい寸法は、デバイス素子が互いに接近して作動しなければならないので、クロストーク及び寄生容量を含めて、電気的干渉の機会を増大させ得ることを意味する。
[0003]電気的干渉の度合いを少なくするために、誘電体絶縁材料が、デバイス素子、金属配線、及び他のデバイスの凹凸(feature)の間のギャップ、トレンチ、及び他のスペースを充填するために用いられる。誘電体材料は、デバイスの凹凸の間のスペースにおけるそれらの生成のし易さ、それらの低い誘電率(すなわち、「k−値」)により選択される。より低いk−値を有する誘電体は、クロストーク及びRC遅延時間をできるかぎり小さくし、さらには、デバイス全体の電力消費を低減することに、より優れている。通常の誘電材料には酸化ケイ素が含まれ、これは、通常のCVD法により堆積された場合、4.0と4.2の間の平均k−値を有する。
[0004]通常のCVD酸化ケイ素のk−値は、多くのデバイス構造にとって許容できるものであるが、デバイス素子の絶えず減少する寸法及び増加する密度のために、半導体製造業者は、より低いk−値を有する誘電材料を捜し続けている。1つの手法は、フッ素を酸化ケイ素にドープして、約3.4から3.6のように低い誘電率を有するフッ素ドープ酸化ケイ素膜(すなわち、「FSG」膜)とすることであった。別の手法は、水素シルセスキオキサン(HSQ)のような非常に流動性のある前駆体により基板を被覆して多孔質の低−k膜を形成するスピン−オンガラス法の開発であった。
[0005]より最近になって、3.0以下のk−値を有するケイ素−酸素−炭素(Si−O−C)膜が開発された。これらの低−k膜は、基板上への炭素−ケイ素及び酸素の前駆体の化学気相堆積によって堆積されることが多い。これらのSi−O−C膜は、純粋な酸化ケイ素及びフッ素ドープ酸化ケイ素膜より低いk−値を有するが、それらはまた、かなり一層多孔質である傾向もあり、このことが、負の結果を有し得る。多孔質膜は、水の吸着が多い傾向があり、このために、膜のk−値は増大し得る。多孔質膜はまた、膜の腐食及びストレスクラッキングの傾向をより高め得る、より大きな「ウェットエッチレート比(wet etch rate ratio)」(WERR)を有する。このため、多孔度が小さく、WERR値がより小さく、またクラッキングがより少ない、低−k炭素系膜を堆積させる新しい方法が求められている。これらの及び他の問題点が本発明の実施形態によって取り組まれる。
[0006]本発明の実施形態はまた、基板上に酸化ケイ素層を堆積させる方法を含む。この方法は、堆積チャンバに基板を提供することを含む。第1ケイ素含有前駆体、第2ケイ素含有前駆体及びNH3プラズマが反応して酸化ケイ素層を形成する。第1ケイ素含有前駆体は、Si−H結合及びSi−Si結合の少なくとも1つを含む。第2ケイ素含有前駆体は、少なくとも1つのSi−N結合を含む。堆積された酸化ケイ素層はアニールされる。
[0007]本発明の実施形態は、基板上に酸化ケイ素層を堆積させる方法を含む。この方法は、堆積チャンバに基板を提供することを含み得る。原子状酸素前駆体が、堆積チャンバの外部で生成され、チャンバに導入され得る。ケイ素前駆体が堆積チャンバに導入される。ケイ素前駆体は、約8以下のC:Si原子比を有し得る。ケイ素前駆体及び原子状酸素前駆体は、初めて、チャンバ内で混合され得る。ケイ素前駆体及び原子状酸素前駆体は反応して、基板上に酸化ケイ素層を形成し得る。堆積された酸化ケイ素層はアニールされ得る。
[0008]本発明の実施形態はまた、基板上に酸化ケイ素層を堆積させる方法を含んでもよい。この方法は、堆積チャンバに基板を提供することを含み得る。原子状酸素前駆体が、堆積チャンバの外部でオゾン含有前駆体をプラズマ化することによって生成され、チャンバに導入され得る。ケイ素前駆体が、堆積チャンバに導入され得る。ケイ素前駆体は、約8以下のC:Si原子比を有し得る。ケイ素前駆体及び原子状酸素前駆体は、初めてチャンバ内で混合され得る。ケイ素前駆体及び原子状酸素前駆体は、約100Torrから約760Torrの間の処理圧力で反応して、基板上に酸化ケイ素層を形成し得る。堆積された酸化ケイ素層はアニールされ得る。
[0009]さらなる実施形態及び特徴は、一部は以下の説明に記載され、一部は本明細書の検討により当業者に明らかになるであろう、或いは、本発明の実施によって習得され得る。本発明の特徴及び利点は、本明細書に記載される媒介の手段、組合せ、及び方法により、実現され、獲得され得る。
[0010]本発明の特質及び利点のさらなる理解は、本明細書の残りの部分及び図(図では、類似のコンポーネントを表すために類似の参照番号が、いくつかの図の全体を通して用いられている)を参照することによって達成され得る。場合によっては、サブラベル(sublabel)が参照数字に結び付けられ、ハイフンの後に置かれて、複数の類似のコンポーネントの1つを示す。存在するサブラベルの指定なしに参照番号が参照される場合、このような複数の類似のコンポーネントの全てが参照されるものとする。
基板上に酸化物層を形成する例示的方法100におけるステップを含むフローチャートを示す。 基板上に酸化ケイ素層を形成する例示的方法を示す概略的断面図である。 基板上に酸化ケイ素層を形成する例示的方法を示す概略的断面図である。 基板上に酸化ケイ素層を形成する例示的方法を示す概略的断面図である。 基板上に酸化ケイ素層を形成する例示的方法を示す概略的断面図である。 酸化ケイ素層を形成する別の例示的実施形態を示すフローチャートである。 例示的な薄膜堆積システムの垂直断面図を示す。 薄膜堆積システムの例示的システムモニタ/コントローラコンポーネントの簡略化した図である。
[0016]所望の流動性を有する酸化ケイ素層を堆積させ、次いで、この層を、所望の特性を有する酸化物層又は充填物(fill)へとアニール(すなわち、硬化)するためのシステム及び方法が記載される。最初に形成される酸化物の所望の流動性により、酸化物は、アスペクト比を有するギャップ及びトレンチ(例えば、5:1を超えるアスペクト比)を、ギャップ又はシーム(seam)なしに、充填する。次いで、アニーリングステップが湿気を追い出して、酸化ケイ素膜での実際上の限界値に匹敵し得るウェットエッチレート比(WERR)(例えば、約1.8から約1.4まで下がったWERR)を有する、高密度の酸化物膜が後に残される。
[0017]例示的方法は、堆積/反応チャンバの外部での反応性原子状酸素の遠隔生成(remote generation)を含み得る。原子状酸素は、約8以下のC:Si原子比を有するケイ素前駆体と、堆積チャンバで初めて混合され、そのチャンバで、それらは、低い温度及び圧力でさえ反応し、基板上に酸化ケイ素を堆積できる。生成する酸化物は、ケイ素に結合した、所望のレベルのヒドロキシル基を有し、このために酸化物は望ましく流動できる。一旦堆積されると、酸化物は、低温でさえ、望ましく流動して、ギャップ又はトレンチ充填の間に、生じようとするボイド及びシームを充填するであろう。次いで、堆積の後、アニーリングステップが、かなりの量のSi−OH基を二酸化ケイ素及び水蒸気(これは、堆積された膜から追い出される)に変換し得る。
例示的酸化物層形成プロセス
[0018]図1は、基板上に酸化物層を形成する例示的方法100におけるステップを含むフローチャートを示す。方法100は、ステップ102において、堆積チャンバに基板200を提供することを含み得る。基板200は、例えば、シリコン基板、III−V化合物基板、ケイ素/ゲルマニウム(SiGe)基板、エピ基板、シリコン−オン−インシュレーター(SOI)基板、ディスプレイ基板(例えば、液晶ディスプレイ(LCD)、プラズマディスプレイ、エレクトロルミネセンス(EL)ランプディスプレイ)、又は発光ダイオード(LED)基板であり得る。いくつかの実施形態では、基板200は、少なくとも1つの構造、例えば、トレンチ構造、ウェル、接合、ダイオード、トランジスタ、金属−酸化物−半導体電界効果トランジスタ(MOSFET)、層間絶縁(ILD)構造、金属間誘電(IMD)構造、回路、他の半導体構造又はこれらの様々な組合せを含み得る。基板200は、半導体ウェハ(例えば、200mm、300mm、400mmなどのシリコンウェハ)であり得る。いくつかの実施形態において、基板200は、図2Aに示される、それに形成されたトレンチ210のような、少なくとも1つのトレンチを有し得る。いくつかの実施形態において、基板200は、半導体ウェハ(例えば、200mm、300mm、400mmなどのシリコンウェハ)であり、より早期のプロセスにおいて形成された構造、デバイスコンポーネントなどを含み得る。例えば、基板は、高さと幅のアスペクト比が大きい(例えば、5:1以上、6:1以上、7:1以上、8:1以上、9:1以上、10:1以上、11:1以上、12:1以上などのアスペクト比)トレンチ210を含み得る。
[0019]いくつかの実施形態において、方法100は、ステップ104において、堆積チャンバの外部の位置での原子状酸素前駆体の遠隔生成を含み得る。原子状酸素前駆体は、分子状酸素(O2)、オゾン(O3)、窒素−酸素化合物(例えば、NO,NO2、N2Oなど)、水素−酸素化合物(例えば、H2O、H2O2など)、炭素−酸素化合物(例えば、CO、CO2など)、さらには他の酸素含有前駆体及び前駆体の組合せのような酸素含有前駆体の解離によって生成され得る。
[0020]いくつかの実施形態において、原子状酸素前駆体は、オゾン含有前駆体の解離によって生成され得る。オゾン含有前駆体は、酸素及びオゾンの混合ガスであり得る。例えば、酸素が、オゾン発生装置に供給され得る。オゾン発生装置内で、酸素の少なくとも一部がオゾンとしてオゾン化され得る。いくつかの実施形態において、酸素は、約3標準リットル/分(slm)と約20slmの間の流量を有し得る。オゾン化の後、酸素内のオゾンは約6%と約20%の間の重量パーセントを有し得る。
[0021]いくつかの実施形態において、方法の中でも特に、原子状酸素を生成させるための酸素含有前駆体の解離は、熱解離、紫外線解離、及び/又はプラズマ解離によってなされ得る。プラズマ解離は、遠隔プラズマ生成チャンバにおいて、ヘリウム、アルゴンなどからプラズマを発生させること、及び酸素前駆体をプラズマに導入して原子状酸素前駆体を生成させることを含み得る。
[0022]原子状酸素前駆体は、ステップ106において、堆積チャンバに導入することができ、そこで、原子状酸素前駆体は、初めて、ケイ素前駆体と混ざることができ、ケイ素前駆体はステップ108においてチャンバに導入され得る。原子状酸素前駆体は、ステップ110において、約−10℃と約200℃の間の温度、及び約10Torrと約760Torrのチャンバ全圧の間の圧力で、ケイ素前駆体(及び、反応チャンバに存在し得る他の堆積前駆体)と反応して、酸化ケイ素膜220(図2Bに示される)を生成し得る。酸化ケイ素膜220は、トレンチ210のアスペクト比をトレンチ210aのそれに低下させ得る。
[0023]ケイ素前駆体は、約8以下のC:Si原子比(例えば、7、6、5、4、3、2、1又はこれ未満のC:Si比)を有し得る。これは、ケイ素前駆体分子中に存在する各ケイ素原子に対して、8個より少数の炭素原子が存在し得ることを意味する。
[0024]いくつかの実施形態において、ケイ素前駆体は、シロキサン化合物、例えば、シロキサン化合物の中でも特に、トリエトキシシロキサン(TRIES)、テトラメトキシシロキサン(TMOS)、トリメトキシシロキサン(TRIMOS)、ヘキサメトキシジシロキサン(HMODS)、オクタメトキシトリシロキサン(OMOTS)、及び/又はオクタメトキシドデカシロキサン(OMODDS)であり得る。
Figure 2013243375
トリエトキシシロキサン (TRIES)
Figure 2013243375
テトラメトキシシロキサン (TMOS)
Figure 2013243375
トリメトキシシロキサン (TRIMOS)
Figure 2013243375
ヘキサメトキシジシロキサン (HMODS)
Figure 2013243375
オクタメトキシトリシロキサン (OMOTS)
Figure 2013243375
オクタメトキシドデカシロキサン (OMODDS)
Figure 2013243375
オクタメトキシ環状シロキサン
[0025]別の実施形態において、ケイ素前駆体はまた、1つ又は複数の窒素基を含むシラゾキサン(silazoxane)化合物であり得る。シラゾキサン化合物には、シラゾキサン化合物の中でも特に、ヘキサメトキシジシラゾキサン(HMDS−H)、メチルヘキサメトキシジシラゾキサン(HMDS−CH3)、クロロヘキサメトキシジシラゾキサン(HMDS−Cl)、ヘキサエトキシジシラゾキサン(HEDS−H)、ノナメトキシトリシラゾキサン(NMTS)、及びオクタメトキシ環状シラゾキサン(OMCS)が含まれ得る。
Figure 2013243375
ヘキサメトキシジシラゾキサン (HMDS−H)
Figure 2013243375
メチルヘキサメトキシジシラゾキサン (HMDS−CH3)
Figure 2013243375
クロロヘキサメトキシジシラゾキサン (HMDS−Cl)
Figure 2013243375
ヘキサエトキシジシラゾキサン (HEDS−H)
Figure 2013243375
ノナメトキシトリシラゾキサン (NMTS)
Figure 2013243375
オクタメトキシ環状シラゾキサン (OMCS)
[0026]さらに別の実施形態において、ケイ素前駆体は、1つ又は複数のハロゲン基(例えば、フッ化物、塩化物、臭化物、又はヨウ化物基)を含むハロゲン化シロキサン化合物であり得る。例えば、ハロゲン化シロキサンは、塩化シロキサン化合物、例えば、塩化シロキサン化合物の中でも特に、テトラクロロシラン(TECS)、ジクロロジエトキシシロキサン(DCDES)、クロロトリエトキシシロキサン(CTES)、ヘキサクロロジシロキサン(HCDS)、及び/又はオクタクロロトリシロキサン(OCTS)であり得る。
Figure 2013243375
テトラクロロシラン (TECS)
Figure 2013243375
ジクロロジエトキシシロキサン (DCDES)
Figure 2013243375
クロロトリエトキシシロキサン (CTES)
Figure 2013243375
ヘキサクロロジシロキサン (HCDS)
Figure 2013243375
オクタクロロトリシロキサン(OCTS)
[0027]ケイ素前駆体は、約0、0.5、1、2、3、4、5、6など、又はこれを超えるO:Siの比を有し得る。例えば、TMOSは、約4のO:Si原子比を有する。他のケイ素前駆体、例えば、TRIES、及びTRIMOSは、約3のO:Si比を有する。さらに別のもの、例えば、HCDSは、0.5のO:Si比を有し、TECSは0のO:Si比を有する。
[0028]ケイ素前駆体は、有機ケイ素化合物の中でも特に、HMODS、OMOTS、OMODDS、HCDS、及びOCTSに見られるように、Si−O−Siの結合を含み得る。ケイ素前駆体におけるこの結合は、炭素及びヒドロキシル基による汚染を減少させ、SiOx膜の形成を容易にし得る。
[0029]いくつかの実施形態において、ケイ素前駆体は、アミノシラン、例えば、化合物の中でも特に、トリシリルアミン(TSA)、ヘキサメチルジシラザン(HMDS)、シラトラン(Silatrane)、テトラキス(ジメチルアミノ)シラン、ビス(ジエチルアミノ)シラン、ビス−tert−ブチルアミノシラン、ビス−ジメチルアミノシラン(BDMAS)、トリス(ジメチルアミノ)クロロシラン及びメチルシラトランを含み得る。
Figure 2013243375
トリシリルアミン (TSA)
Figure 2013243375
ヘキサメチルジシラザン (HMDS)
Figure 2013243375
シラトラン
Figure 2013243375
テトラキス(ジメチルアミノ)シラン
Figure 2013243375
ビス(ジエチルアミノ)シラン
Figure 2013243375
トリス(ジメチルアミノ)クロロシラン
Figure 2013243375
メチルシラトラン
[0030]別の実施形態において、ケイ素前駆体は、アルコキシジシラン、アルコキシ−アルキルジシラン及びアルコキシ−アセトキシジシランを含めて、ジシランを含み得る。アルコキシジシランは、次を含み得る。
Figure 2013243375
式中、R1〜6は、独立に、C1〜3アルキルオキシ基である。例えば、アルコキシジシランには、アルコキシジシランの中でも特に、ヘキサメトキシジシラン及びヘキサエトキシジシランが含まれ得る。
[0031]アルコキシジシランには、Si部分にアルコキシ基が結合した環状ジシラン化合物が含まれ得る。例えば、アルコキシシクロシランには、中でも特に、オクタエトキシシクロブタシラン、デカブタオキシシクロペンタシラン及びドデカメトキシシクロヘキサシランが含まれ得る。アルコキシジシランのいくつかの例が下に示される。
Figure 2013243375
ヘキサメトキシジシラン
Figure 2013243375
ヘキサエトキシジシラン
Figure 2013243375
ドデカメトキシシクロヘキサシラン
[0032]アルコキシ−アルキルジシランは、次を含み得る。
Figure 2013243375
式中、R7〜12は、独立に、C1〜3アルキル基又はC1〜3アルキルオキシ基であることができ、ここで、R7〜12の少なくとも1つはアルキル基であり、R7〜12の少なくとも1つはアルキルオキシ基である。アルコキシ−アルキルジシランはまた、アルキル及びアルコキシ部分を有する環状ジシラン、例えば、少なくとも1つのアルキル及びアルコキシ基がこれらに結合したブタシラン、ペンタシラン、ヘキサシラン、ヘプタシラン、オクタシランなども含み得る。例には、アルコキシ−アルキルシクロシランの中でも特に、オクタメチル−1,4−ジオキサ−2,3,5,6−テトラシラシクロヘキサン、1,4−ジオキサ−2,3,5,6−テトラシラシクロヘキサン、及び、1,2,3,4,5,6−ヘキサメトキシ−1,2,3,4,5,6−ヘキサメチルシクロヘキサシランが含まれる。アルコキシ−アルキルジシランのいくつかの例が下に示される。
Figure 2013243375
オクタメチル−1,4−ジオキサ−2,3,5,6−テトラシラシクロヘキサン
Figure 2013243375
1,4−ジオキサ−2,3,5,6−テトラシラシクロヘキサン
Figure 2013243375
1,1,2,2−テトラエトキシ−1,2−ジメチルジシラン
[0033]アルコキシ−アセトキシジシランは、次を含み得る。
Figure 2013243375
式中、R13〜17は、独立に、C1〜3アルキル基、C1〜3アルコキシ基、又はアセトキシ基であることができ、ここで、R13〜17の少なくとも1つはアルコキシ基であり、R13〜17の少なくとも1つはアセトキシ基である。
[0034]さらに別の実施形態において、ケイ素前駆体には、オルガノシクロシラン、例えば、シクロブタシラン、シクロペンタシラン、シクロヘキサシラン、シクロヘプタシラン、シクロオクタシランなどが含まれ得る。
[0035]いくつかの実施形態において、ケイ素前駆体は、それが堆積チャンバに導入される前に、又はその間に、キャリアガスと混合されてもよい。キャリアガスは、基板200上への酸化物膜210の生成を実質的に妨げない不活性ガスであり得る。キャリアガスの例には、ガスの中でも特に、ヘリウム、ネオン、アルゴン及び水素(H2)が含まれ得る。
[0036]方法100の実施形態において、原子状酸素前駆体及びケイ素前駆体は、堆積チャンバに導入される前に、混合されなくてよい。これらの前駆体は、反応チャンバの周りに振り分けられた、空間的に離れた別々の前駆体入口を通ってチャンバに入ることができる。例えば、原子状酸素前駆体は、基板の真上に位置する、チャンバの最上部の1つの入口(又は複数の入口)から入り得る。入口は、酸素前駆体の流れを、基板の堆積表面に実質的に直交する方向に向け得る。一方、ケイ素前駆体は、堆積チャンバの側面の周りの1つ又は複数の入口から入り得る。入口は、ケイ素前駆体の流れを、堆積表面にほぼ平行な方向に向け得る。
[0037]いくつかの実施形態において、原子状酸素前駆体及びケイ素前駆体は、マルチポートシャワーヘッドの別々のポートを通して送ることができる。例えば、基板の上方に位置するシャワーヘッドは、前駆体が堆積チャンバに入る開口部パターンを含み得る。開口部のサブセットの1つが、原子状酸素前駆体によって供給され、他方、第2の開口部サブセットは、ケイ素前駆体によって供給され得る。異なる開口部セットを通して送られる前駆体は、堆積チャンバへ出て行くまでは、流体として互いに隔離され得る。前駆体取扱い装置の様式及び設計についてのさらなる詳細は、本出願人に譲渡された米国特許仮出願第60/803499号(Lubomirsky他、出願は2006年5月30日、名称は「PROCESS CHAMBER FOR DIELECTRIC GAPFILL」)に記載されており、この特許の全内容は、全ての目的のために、参照によって本明細書に組み込まれる。
[0038]原子状酸素前駆体及びケイ素前駆体は、堆積チャンバにおいて反応し得るので、ステップ110において、それらは、基板の堆積表面上に酸化ケイ素層210を形成できる。初期の酸化物層は、所望の流動性を有し、堆積表面に存在する構造における、ギャップ、トレンチ、ボイド、シームなどの中に望ましく移動できる。このため、方法100は、高さと幅の大きなアスペクト比(例えば、約5:1、6:1、6:1、8:1、9:1、10:1、11:1、及び12:1又はこれを超えるAR)を有するギャップ、トレンチ、及び他の表面構造に、ボイド及びシームが実質的にないことが可能な酸化物の充填物をもたらすことができる。
[0039]再び図1を参照すると、堆積された酸化ケイ素層210(図2Bに示される)の堆積後アニール112が、単一ステップ、又は複数ステップで行われ得る。単一ステップのアニールは、例えば、実質的に乾燥した雰囲気(例えば、乾燥した窒素、ヘリウム、アルゴンなど)中で、堆積された層を約300℃から約1000℃(例えば、約600℃から約900℃)に加熱することによって行うことができる。アニールは、堆積された層から湿気を除去でき、Si−OH基を酸化ケイ素に変換する。アニールされた酸化ケイ素層は、向上した膜特性(例えば、約6から約3、又はこれ未満のWERR)、及び誘電体としての向上した特性(例えば、純粋な二酸化ケイ素に近い又は等しいk−値)を有し得る。いくつかの実施形態において、アニールステップ112は、窒素雰囲気中、約900℃で1時間実施され得る。
[0040]いくつかの実施形態において、複数ステップのアニールは2段階のアニールを含み、この場合、例えば、水蒸気の存在下で約650℃に層を加熱するような湿式アニール段階を層は最初に経ることができる。この後、乾式アニール段階が行われ、この段階で、層は、湿気を実質的に含まない雰囲気(例えば、乾燥N2)中で、より高い温度(例えば、約900℃)に加熱され得る。
[0041]湿式及び乾式熱アニーリングに加えて、他のアニーリング法(単独で、又は組み合わせて)が、酸化ケイ素層114をアニールするために用いられてもよい。これらには、特に、水蒸気アニール、熱アニール、誘導結合プラズマ(ICP)アニール、紫外線アニール、e−線アニール、酸蒸気触媒作用アニール、塩基蒸気触媒作用アニール及び/又はマイクロ波アニールが含まれる。
[0042]図2Dは、例示的なSTI構造を示す断面図である。図2Dにおいて、高密度プラズマ化学気相堆積(HDP CVD)層のような誘電体層230は、アニールされた酸化ケイ素層220a上に形成され得る。アニールされた酸化ケイ素層220aは、トレンチ210の側壁での厚さより大きい、トレンチ210の底の部分の厚さを有し得るので、トレンチ210aのアスペクト比は、望ましく低下し得る。したがって、誘電体層230内にシーム、ギャップ又はボイドを実質的に生成することなく、誘電体層230がトレンチ210a内に形成され、また充填され得る。いくつかの実施形態において、誘電体層230の形成は、酸化物層220が、図2Aに示されるトレンチ210を望ましく充填できる場合には、任意選択であり得る。
[0043]いくつかの実施形態において、誘電体層230は、アニールプロセスのような熱処理を受けてもよい(示されていない)。熱処理は、誘電体層230を望ましく高密度化する。いくつかの実施形態において、誘電体層230の形成及び熱処理のためのプロセスは、任意選択であり得る。酸化ケイ素層220(図2Bに示される)は、形成され、トレンチ210を実質的に充填し得る。
別の例示的酸化物層形成プロセス
[0044]図3は、酸化ケイ素層を形成するための別の例示的方法を示すフローチャートである。図3を参照すると、酸化ケイ素層を形成する方法300は、ステップ302、304及び306を含み得る。ステップ302では、堆積チャンバに基板(示されていない)が備えつけられ得る。基板は、図1に関連して上で記載された基板200と同様であり得る。
[0045]ステップ304において、第1ケイ素含有前駆体、第2ケイ素含有前駆体及びアンモニア(NH3)プラズマが反応して、酸化ケイ素層を形成し得る。いくつかの実施形態において、第1ケイ素含有前駆体は、Si−H結合及びSi−Si結合の少なくとも1つを含み得る。別の実施形態において、第1ケイ素含有前駆体は、NH3プラズマと反応し得る。さらに別の実施形態において、第1ケイ素含有前駆体は、TMDSO、トリメトキシシロキサン(TRIMOS)、ヘキサクロロジシロキサン(HCDS)、DMTMDS、SAM24、TMCTS及びBTBASの少なくとも1つを含み得る。いくつかの実施形態において、第1ケイ素含有前駆体は、約8以下のC:Si原子比を有し得る。
[0046]いくつかの実施形態において、第2ケイ素含有前駆体は、少なくとも1つのSi−N結合を含み得る。第2ケイ素含有前駆体は、酸化ケイ素層の収縮を望ましく減少させ得る。いくつかの実施形態において、第2ケイ素含有前駆体は、酸化ケイ素層の原子構造を膨張させ得る。例えば、酸化ケイ素層の収縮は、約32%以下であり得る。いくつかの実施形態において、第2ケイ素含有前駆体は、酸化ケイ素層を11%以下だけ膨張させ得る。
[0047]いくつかの実施形態において、第2含有前駆体はTSAを含み得る。いくつかの実施形態において、TSAのSi−H結合の少なくとも1つは、Si−CH3結合によって置き換えられていてもよい。例えば、SiH3の各Si−H結合が、Si−CH3結合によって置き換えられていてもよい。
[0048]NH3プラズマは、外部のプラズマ発生装置において、又は堆積チャンバにおいて生成され得ることに注意されたい。第1ケイ素含有前駆体及び第2ケイ素含有前駆体は、NH3プラズマと相互作用するように、混合されても、又は堆積チャンバに別々に導入されてもよい。
[0049]TMDSO及びTSAを用いるいくつかの実施形態において、ステップ304は、約0℃以上の間の処理温度を有し得る。いくつかの実施形態において、処理温度は、約10℃と約25℃の間である。TSAの流量は、約10標準センチメートル/分(sccm)と約550sccmの間であり得る。いくつかの実施形態において、TSAの流量は、約400sccmと約430sccmの間であり得る。TMDSOの流量は、約10ミリグラム/分(mgm)と約1500mgmの間であり得る。いくつかの実施形態において、TMDSOの流量は約1000mgmである。いくつかの実施形態において、NH3プラズマを生成させるための前駆体は、約1000sccmと約1,250sccmの間の流量を有し得る。いくつかの実施形態において、NH3の流量は、約1,000sccmと約1、250sccmの間である。
[0050]再び図3を参照すると、ステップ306では、堆積された酸化ケイ素層が硬化され得る。いくつかの実施形態において、ステップ306は、図1に関連して上で記載されたステップ112と同様であり得る。
[0051]酸化ケイ素層を形成するためのいくつかの実施形態において、TSAの流量は約400sccmであり得る。TMDSOの流量は約1,000mgmであり得る。NH3プラズマを生成させるための前駆体の流量は、約1,250sccmであり得る。処理温度は約10℃であり得る。処理圧力は、約1.5Torrであり得る。いくつかの実施形態において、硬化ステップ306は省かれ得る。アニールプロセス(示されていない)が、堆積された酸化ケイ素層に適用されてもよく、アニールプロセスは2段階水蒸気アニールであり得る。これらのステップの1つは、約600℃の処理温度を有し、これらのステップの他方は、約850℃の処理温度を有し得る。
例示的基板処理システム
[0052]本発明の実施形態を実施し得る堆積システムには、システムの中でも特に、高密度プラズマ化学気相堆積(HDP−CVD)システム、プラズマ促進化学気相堆積(PECVD)システム、準常圧(sub−atmospheric)化学気相堆積(SACVD)システム、及び熱化学気相堆積システムが含まれ得る。本発明の実施形態を実施し得るCVDシステムの具体例には、Applied Materials, Inc.(Santa Clara、カリフォルニア州)から入手可能なCENTURA ULTIMA(商標)HDP−CVDチャンバ/システム、及びPRODUCER(商標)PECVDチャンバ/システムが含まれる。
[0053]本発明の例示的方法により使用され得る基板処理システムの例には、本出願人に譲渡された米国特許仮出願第60/803499号(Lubomirsky他、出願は2006年5月30日、名称は「PROCESS CHAMBER FOR DIELECTRIC GAPFILL」)に示され、記載されているものが含まれ得る(この特許の全内容は、全ての目的のために、参照によって本明細書に組み込まれる)。さらなる例示的システムには、米国特許第6387207号及び米国特許第6830624号(これらの特許は、全ての目的のために、参照によって本明細書に組み込まれる)に示され、記載されているものが含まれ得る。
[0054]ここで、図4Aを参照すると、真空又は処理チャンバ15(これは、チャンバ壁15a及びチャンバ蓋アセンブリ15bを含む)を有するCVDシステム10の垂直断面図が示されている。CVDシステム10は、処理チャンバ15内の中央にある加熱された台12上にある基板(示されていない)に、プロセスガスを分散させるためのガス配給マニホールド11を含む。ガス配給マニホールド11は、容量性プラズマを生成するための電極としての役目を果たすように、導電性材料により形作られ得る。処理の間、基板(例えば、半導体ウェハ)は、台12の平坦な(又は、僅かに凸状の)表面12aに置かれる。台12は、下方の装填/脱装填の位置(図4Aで示される)と、上方の処理位置(図4Aの破線14によって示される)(この位置はマニホールド11に非常に隣接している)との間で、制御して動かすことができる。センターボード(示されていない)は、ウェハの位置についての情報をもたらすセンサを含む。
[0055]堆積及びキャリアガスは、平坦で円形の通常のガス配給表面板13aの穿孔13bを通して、チャンバ15に導入される。より詳細には、堆積プロセスガスは、入口マニホールド11を通り、通常の穿孔障害板(blocker plate)42を通り、次いで、ガス配給表面板13aの孔13bを通ってチャンバに流入する。
[0056]マニホールド11に達する前に、堆積及びキャリアガスは、ガス源7から、ガス供給ライン8を通して混合システム9に投入され、そこで、それらは一緒にされ、次いで、マニホールド11に送られる。通常、各プロセスガスの供給ラインは、(i)チャンバへのプロセスガスの流れを、自動的に、又は手動で遮断するために使用できるいくつかの安全遮断バルブ(示されていない)、及び(ii)供給ラインを通るガスの流れを計測するマスフローコントローラ(やはり示されていない)を含む。プロセスにおいて毒性ガスが使用される場合、通常の配置構成で、いくつかの安全遮断バルブが各ガス供給ラインに置かれる。
[0057]CVDシステム10において実施される堆積プロセスは、熱プロセス又はプラズマ促進プロセスのいずれかであり得る。プラズマ促進プロセスでは、プロセスガス混合物を励起して、表面板13aと台12の間の円柱状領域内にプラズマを生成するように、RF電源44が、ガス配給表面板13aと台12の間に電力を加える。(本明細書では、この領域は、「反応領域」と呼ばれる。)プラズマの構成成分が反応して、台12に支えられた半導体ウェハの表面に所望の膜を堆積する。RF電源44は、真空チャンバ15に導入された反応性化学種の分解を促進するために、通常、13.56MHzの高RF周波数(RF1)及び360kHzの低RF周波数(RF2)で電力を供給する混合周波数RF電源である。熱プロセスでは、RF電源44は利用されないと想定され、プロセスガス混合物は、熱的に反応して、台12(これが、反応のための熱エネルギーを供給するために、抵抗加熱される)に支えられた半導体ウェハの表面に所望の膜を堆積する。
[0058]プラズマ促進堆積プロセスの間、プラズマは、排出通路23及び遮断バルブ24を囲むチャンバ本体の壁15aを含めて、処理チャンバ10の全体を加熱する。プラズマが存在しない時、又は熱堆積プロセスの間、高温の液体が、処理チャンバ15の壁15aを通して循環して、チャンバを高い温度に保つ。チャンバ壁15aの残りの部分における経路は、示されていない。チャンバ壁15aを加熱するために用いられる流体には、通常の種類の流体、すなわち、水系エチレングリコール、又はオイル系熱伝達流体が含まれる。この加熱(「熱交換」による加熱と呼ばれる)は、有益なことに、望ましくない反応生成物の凝縮を少なくする若しくは防ぎ、また、プロセスガスからの揮発性生成物、並びに、もし、冷たい真空経路の壁に凝縮し、ガスの流れがない時に処理チャンバに移動して戻るとしたらプロセスを汚染し得る他の汚染物質の除去を促進する。
[0059]層として堆積しない、混合ガスの残りの部分は、反応の副生成物を含めて、真空ポンプ(示されていない)によってチャンバ15から排出される。詳細には、ガスは、反応領域を囲む環状の、スロット状オリフィス16を通して、環状の排出プレナム(plenum)17へ排出される。環状スロット16及びプレナム17は、チャンバの円柱状側壁15a(壁の上方の誘電体ライニング19を含む)の最上部と、円形のチャンバ蓋20の底との間のギャップによって画定される。スロットオリフィス16及びプレナム17の360度の円形対称及び均一性は、ウェハに均一な膜を堆積させるように、ウェハ上でプロセスガスの均一な流れを実現することにとって、重要である。
[0060]排出プレナム17から、ガスは、排出プレナム17の横方向延長部21の下を流れ、ビューイングポート(示されていない)を過ぎ、下向きに延びたガス通路23を通り、真空遮断バルブ24(この本体は、下方チャンバ壁15aと一体化している)を過ぎ、前方ライン(foreline)(やはり示されていない)を通して外部真空ポンプ(示されていない)に接続する排出口25へと流れる。
[0061]台12のウェハ支持プラッタ(platter)(好ましくは、アルミニウム、セラミック、又はこれらの組合せ)は、平行な同心円状に2回フルターンするように設計された、埋め込まれた単一ループ埋め込みヒーター素子を用いて抵抗加熱される。ヒーター素子の外側部分は、支持プラッタの外辺部近くを通り、他方、内側部分は、より小さい半径を有する同心円経路上を通る。ヒーター素子への配線は、台12の心棒(stem)の中を通る。
[0062]通常、チャンバのライニング、ガス入口マニホールド表面板、及び様々な他の反応器ハードウェアのいずれか又は全ては、アルミニウム、陽極酸化アルミニウム、又はセラミックなどの材料で製造される。このようなCVD装置の例は、本出願人に譲渡された米国特許第5558717号(Zhao他に発行、名称は「CVD Processing chamber」)に記載されており、この特許は、その全体が、参照によって本明細書に組み込まれる。
[0063]リフト機構及びモータ32(図4A)は、ウェハが、チャンバ10の側面の挿入/取出し開口部26を通してロボットブレード(示されていない)によってチャンバ15の本体へ出し入れされる時に、ヒーター台アセンブリ12及びそのウェハリフトピン12bを上下させる。モータ32は、処理位置14と下方のウェハ装填位置との間で、台12を上下させる。モータ、供給ライン8に連結したバルブ又はフローコントローラ、ガス配送システム、スロットルバルブ、RF電源44、並びにチャンバ及び基板加熱システムは、コントロールライン36を介してシステムコントローラによって全て制御され、これらの中で、いくつかだけが示されている。コントローラ34は、コントローラ34の制御下の適切なモータによって動かされるスロットルバルブ及びサセプターのような可動性機械アセンブリの位置を、光学センサからのフィードバックに頼って特定する。
[0064]例示的実施形態において、システムコントローラは、ハードディスクドライブ(メモリ38)、フロッピーディスクドライブ及びプロセッサ37を含む。プロセッサは、シングルボードコンピュータ(SBC)、アナログ及びデジタルインプット/アウトプットボード、インターフェイスボード、並びにステッパモータコントローラボードを含む。CVDシステム10の様々なパーツは、ボード、カードケージ、及びコネクタの寸法及び型式を定めるVersa Modular European (VME)規格に適合する。VME規格はまた、16ビットデータバス及び24ビットアドレスバスを有するように、バス構造を定める。
[0065]システムコントローラ34は、CVD装置の活動の全てを制御する。システムコントローラは、システム制御ソフトウェアを実行し、これは、メモリ38のような、コンピュータで読み取り可能な媒体に記憶されたコンピュータプログラムである。好ましくは、メモリ38はハードディスクドライブであるが、メモリ38はまた、他の種類のメモリでもあり得る。コンピュータプログラムは、タイミング、ガスの混合、チャンバ圧力、チャンバ温度、RF電力レベル、サセプター位置、及び特定のプロセスの他のパラメータを規定する命令セットを含む。例えばフロッピーディスク又は他の別の適切なドライブを含めて、他のメモリデバイスに保存された他のコンピュータプログラムも、また、コントローラ34を動かすために用いられ得る。
[0066]基板に膜を堆積させるためのプロセス又はチャンバ15をきれいにするためのプロセスが、コントローラ34によって実行されるコンピュータプログラム製品を用いて実施され得る。コンピュータプログラムコードは、コンピュータで読み取り可能などのような通常のプログラム言語(例えば、68000アセンブリ言語、C、C++、パスカル、フォートラン又はその他)で書かれていてもよい。適切なプログラムコードは、通常のテキストエディタを用い、1つのファイル、又は複数のファイルに入れられ、コンピュータで使用可能な媒体(例えば、コンピュータのメモリシステム)に保存又は記録される。入力されたコードテキストが高級言語によるものである場合、そのコードはコンパイルされ、得られるコンパイラーコードが、次いで、プリコンパイルされたMicrosoft Windiows(登録商標)ライブラリルーチンのオブジェクトコードとリンクされる。コンパイルされ、リンクされたオブジェクトコードを実行するために、システムユーザーは、オブジェクトコードを呼び出し、コンピュータシステムにコードをメモリに取り込ませる。次に、CPUがコードを読み取り、実行して、プログラムに書かれたタスクを行う。
[0067]ユーザーとコントローラ34の間のインターフェイスは、図4Bに示される、CRTモニタ50a及びライトペン50bを介しており、図4Bは、基板処理システム(これは、1つ又は複数のチャンバを含み得る)におけるシステムモニタ及びCVDシステム10の簡略化された図である。好ましい実施形態において、2台のモニタ50aが使用され、1台はオペレータ用で、クリーンルームの壁に設置され、他方は、サービス専門技術者用で、壁の向こう側に設置される。複数のモニタ50aは、同じ情報を同時に表示するが、1つのライトペン50bだけが作動している。ライトペン50bの先端の光センサがCRTディスプレイによって発せられる光を検出する。特定のスクリーン又は機能を選択するために、オペレータは、ディスプレイスクリーンの指定された領域に触れ、ペン50bのボタンを押す。触れられた領域は、そのハイライトされた色を変える、又は、新たなメニュ又はスクリーンが表示され、ライトペンとディスプレイスクリーンの間のコミュニケーションが確認される。ユーザーがコントローラ34とコミュニケーションすることを許すために、他のデバイス、例えば、キーボード、マウス、又は別のポインティング若しくはコミュニケーションデバイスが、ライトペン50bの代わりに、又はそれに加えて用いられてもよい。
[0068]図4Aは、ガス配給表面板13a及びガス配給マニホールド11を含む、処理チャンバ15の蓋アセンブリ15b上に載せられた遠隔プラズマ発生装置60を示す。図4Aで最もよく分かるように、載荷アダプタ64は、遠隔プラズマ発生装置60を蓋アセンブリ15b上に載せる。アダプタ64は、通常、金属製である。混合デバイス70は、ガス配給マニホールド11の上流側に連結している(図4A)。混合デバイス70は、プロセスガスを混合するための混合ブロックのスロット74の内側に置かれた混合用インサート72を含む。セラミックアイソレータ66が、載荷アダプタ64と混合デバイス70の間に置かれる(図6A)。セラミックアイソレータ66は、Al2O3(純度99%)のようなセラミック材料、Teflon(登録商標)などからなり得る。装着される時、混合デバイス70及びセラミックアイソレータ66は、蓋アセンブリ15bの一部を成し得る。アイソレータ66は、下でより詳細に記載されるように、2次プラズマが蓋アセンブリ15bに生成する可能性をできるだけ少なくするために、金属アダプタ64を、混合デバイス70及びガス配給マニホールド11から分離する。3方バルブ77は、処理チャンバ15への、直接か、又は遠隔プラズマ発生装置60を通してかのいずれかのプロセスガスの流れを制御する。
[0069]望ましくは、遠隔プラズマ発生装置60は、蓋アセンブリ15bに都合よく載せることができ、費用と時間のかかる改修を行わずに既存のチャンバ上に容易に組み込むことができる、自給式の(self−contained)コンパクトなユニットである。1つの適切なユニットは、Applied Science and Technology, Inc.(Woburn、マサチューセッツ州)から入手可能なASTRON(登録商標)発生装置である。ASTRON(登録商標)発生装置は、プロセスガスを解離させるのに、低場トロイダルプラズマを用いる。一例として、プラズマは、処理チャンバ15内の膜堆積物をきれいにするために使用される遊離フッ素を生成させるために、NF3のようなフッ素含有ガスを含むプロセスガス、及びアルゴンのようなキャリアガスを解離させる。
[0070]いくつかの実施形態を説明したので、様々な修正、代わりとなる構成、及び等価物が、本発明の精神から逸脱することなく使用され得ることが、当業者によって理解されるであろう。さらに、かなりの数のよく知られたプロセス及び要素が、本発明を不必要に分かりにくくすることを避けるために、記述されなかった。したがって、上の説明は、本発明の範囲を限定すると見なされるべきではない。
[0071]数値の範囲が与えられている場合、記述の前後関係から明らかにそうでないことが指図されているのでなければ、下限の量の10分の1までの、その範囲の上限と下限の間にある各数値もまた、明確に開示されていると理解されている。挙げられている任意の数値又は挙げられている範囲内にある任意の数値と、別の挙げられている任意の数値又はその範囲内にある任意の数値との間の、より狭いそれぞれの範囲も包含される。挙げられている範囲において明確に除外されるいずれかの限界値を前提として、これらのより狭い範囲の上限及び下限は、独立に、その範囲に含められる、又は除外され得る、また、より狭い範囲に、限界値のいずれかが含まれる、限界値のいずれも含まれない、又は両方の限界値が含まれる場合のそれぞれの範囲もまた、本発明に包含される。挙げられている範囲が限界値の一方又は両方を含む場合、含まれるこれらの限界値のいずれか又は両方を除外する範囲もまた含まれる。
[0072]本明細書及び添付の特許請求に範囲において用いられる場合、単数形「1つの」、及び「この」、「その」は、記述の前後関係から明らかにそうでないことが指図されているのでなければ、複数形の指示対象を含む。このため、例えば、「1つの方法」は、複数のこのような方法を含み、「この前駆体」への言及は、1つ又は複数の前駆体、及び当業者に知られているそれらの等価物への言及を含む、などである。
[0073]また、本明細書において、また後の特許請求の範囲において用いられる場合、用語「含む」、「含んでいる」、「備える」、及び「備えている」は、挙げられている特徴、整数、コンポーネント、又はステップの存在を条件とすることが意図されているが、それらの用語は、1つ又は複数の別の特徴、整数、コンポーネント、ステップ、行為、又は群(group)の存在又は付加を排除しない。

Claims (38)

  1. 基板上に酸化ケイ素層を堆積させる方法であって、
    堆積チャンバに基板を提供するステップと、
    酸化ケイ素層を形成するために、第1ケイ素含有前駆体、第2ケイ素含有前駆体及びNH3プラズマを反応させるステップであって、前記第1ケイ素含有前駆体は、Si−H結合及びSi−Si結合の少なくとも1つを含み、前記第2ケイ素含有前駆体は、少なくとも1つのSi−N結合を含む、ステップと、
    前記堆積された酸化ケイ素層をアニールするステップと、
    を含む方法。
  2. 前記第1ケイ素含有前駆体が、TMDSO、トリメトキシシロキサン(TRIMOS)、ヘキサクロロジシロキサン(HCDS)、DMTMDS、SAM24、TMCTS及びBTBASからなる群から選択される、請求項1に記載の方法。
  3. 前記第1ケイ素含有前駆体がTMDSOである、請求項1に記載の方法。
  4. 前記第1ケイ素前駆体が、約8以下のC:Si原子比を有する、請求項1に記載の方法。
  5. 前記第2ケイ素含有前駆体がトリシリルアミン(TSA)を含む、請求項1に記載の方法。
  6. 前記TSAのSi−H結合の少なくとも1つが、Si−CH3結合により置き換えられている、請求項5に記載の方法。
  7. 前記第2ケイ素含有前駆体が、約400sccmと約430sccmの間の流量を有するTSAである、請求項1に記載の方法。
  8. 前記第1ケイ素含有前駆体、前記第2ケイ素含有前駆体及び前記NH3プラズマを反応させるステップが、約10℃と約25℃の間の処理温度を有する、請求項7に記載の方法。
  9. 前記第1ケイ素含有前駆体を反応させる時に、前記第1ケイ素含有前駆体が、約1,000mgmの流量を有するTMDSOである、請求項7に記載の方法。
  10. 前記NH3プラズマが、約1,200sccmと約1,250sccmの間の流量を有する前駆体から生成される、請求項7に記載の方法。
  11. 前記堆積された酸化ケイ素層をアニールするステップが、水蒸気アニール、熱アニール、誘導結合プラズマ(ICP)アニール、紫外線アニール、e−線アニール、酸蒸気触媒作用アニール、塩基蒸気触媒作用アニール及びマイクロ波アニールの少なくとも1つを含む、請求項7に記載の方法。
  12. 基板上に酸化ケイ素層を堆積させる方法であって、
    堆積チャンバに基板を提供するステップと、
    ハロゲンガスを含むガス混合物からプラズマを生成させるステップと、
    原子状酸素前駆体を生成させるために、前記プラズマによってオゾン含有前駆体をイオン化し、前記原子状酸素前駆体を前記チャンバに導入するステップと、
    前記堆積チャンバにケイ素前駆体を導入するステップであって、前記ケイ素前駆体は、約8以下のC:Si原子比を有し、前記ケイ素前駆体及び前記原子状酸素前駆体は前記チャンバ内で初めて混合される、ステップと、
    前記基板上に前記酸化ケイ素層を形成するために、前記ケイ素前駆体及び前記原子状酸素前駆体を反応させるステップと、
    前記堆積された酸化ケイ素層をアニールするステップと、
    を含む方法。
  13. 前記オゾン含有前駆体が、オゾン及び酸素の混合ガスであり、前記オゾンが約6%と約20%の間の重量パーセントを有する、請求項12に記載の方法。
  14. 前記オゾンが酸素から生成される、請求項13に記載の方法。
  15. 前記酸素の流量が、約3標準リットル/分(slm)と約20slmの間である、請求項14に記載の方法。
  16. 前記ケイ素前駆体と前記原子状酸素前駆体とのモル比が、約1:10と約100:1の間である、請求項12に記載の方法。
  17. 前記ケイ素前駆体及び前記原子状酸素前駆体を反応させるステップが、約100Torrと約760Torrの間の処理圧力を有する、請求項12に記載の方法。
  18. 前記ケイ素前駆体及び前記原子状酸素前駆体を反応させるステップが、約−10℃と約200℃の間の処理温度を有する、請求項12に記載の方法。
  19. 前記ケイ素前駆体が、約3以上のO:Si比を有する、請求項12に記載の方法。
  20. 前記ケイ素前駆体が、シロキサン、シラゾキサン及びハロゲン化シロキサンの少なくとも1種を含む、請求項12に記載の方法。
  21. 前記シロキサンが、トリエトキシシロキサン、テトラメトキシシロキサン、トリメトキシシロキサン、ヘキサメトキシジシロキサン、オクタメトキシトリシロキサン、オクタメトキシドデカシロキサン及びオクタメトキシドデカシロキサンからなる群から選択される、請求項20に記載の方法。
  22. 前記シラゾキサンが、ヘキサメトキシジシラゾキサン、メチルヘキサメトキシジシラゾキサン、クロロヘキサメトキシジシラゾキサン、ヘキサエトキシジシラゾキサン、オクタメトキシ環状シラゾキサン及びノナメトキシトリシラゾキサンからなる群から選択される、請求項20に記載の方法。
  23. 前記ハロゲン化シロキサンが、テトラクロロシラン、ジクロロジエトキシシロキサン、クロロトリエトキシシロキサン、ヘキサクロロジシロキサン及びオクタクロロトリシロキサンからなる群から選択される、請求項20に記載の方法。
  24. 前記ケイ素前駆体が、アミノシラン、アルキルジシラン、アルコキシジシラン、アルコキシ−アルキルジシラン、アルコキシ−アセトキシジシラン又はシクロシランを含む、請求項12に記載の方法。
  25. 前記アミノシランが、トリシリルアミン(TSA)、ヘキサメチルジシラザン(HMDS)、シラトラン、テトラキス(ジメチルアミノ)シラン、ビス(ジエチルアミノ)シラン、ビス−tert−ブチルアミノシラン、ビス−ジメチルアミノシラン(BDMAS)、トリス(ジメチルアミノ)クロロシラン及びメチルシラトランからなる群から選択される、請求項24に記載の方法。
  26. 前記堆積された酸化ケイ素層をアニールするステップが、水蒸気アニール、熱アニール、誘導結合プラズマ(ICP)アニール、紫外線アニール、e−線アニール、酸蒸気触媒作用アニール、塩基蒸気触媒作用アニール及びマイクロ波アニールの少なくとも1つを含む、請求項12に記載の方法。
  27. 基板上に酸化ケイ素層を堆積させる方法であって、
    堆積チャンバに基板を提供するステップと、
    ハロゲンガスを含むガス混合物からプラズマを生成させるステップと、
    原子状酸素前駆体を生成させるために、オゾン及び酸素のガス混合物を含む前駆体を前記プラズマによってイオン化するステップと、
    前記堆積チャンバの外部でオゾン含有前駆体をプラズマ化することによって原子状酸素前駆体を生成させ、前記原子状酸素前駆体を前記チャンバに導入するステップと、
    前記堆積チャンバにケイ素前駆体を導入するステップであって、前記ケイ素前駆体は、約8以下のC:Si原子比を有し、前記ケイ素前駆体及び前記原子状酸素前駆体は前記チャンバ内で初めて混合される、ステップと、
    前記基板上に前記酸化ケイ素層を形成するために、前記ケイ素前駆体及び前記原子状酸素前駆体を、約100Torrと約760Torrの間の処理圧力で反応させるステップと、
    前記堆積された酸化ケイ素層をアニールするステップと、
    を含む方法。
  28. 前記オゾンが約6%と約20%の間の重量パーセントを有する、請求項27に記載の方法。
  29. 前記酸素の流量が、約3標準リットル/分(slm)と約20slmの間である、請求項28に記載の方法。
  30. 前記ケイ素前駆体と前記原子状酸素前駆体とのモル比が、約1:10と約100:1の間である、請求項27に記載の方法。
  31. 前記ケイ素前駆体及び前記原子状酸素前駆体を反応させるステップが、約−10℃と約200℃の間の処理温度を有する、請求項27に記載の方法。
  32. 前記ケイ素前駆体が、シロキサン、シラゾキサン及びハロゲン化シロキサンの少なくとも1種を含む、請求項27に記載の方法。
  33. 前記シロキサンが、トリエトキシシロキサン、テトラメトキシシロキサン、トリメトキシシロキサン、ヘキサメトキシジシロキサン、オクタメトキシトリシロキサン、オクタメトキシドデカシロキサン及びオクタメトキシドデカシロキサンからなる群から選択される、請求項32に記載の方法。
  34. 前記シラゾキサンが、ヘキサメトキシジシラゾキサン、メチルヘキサメトキシジシラゾキサン、クロロヘキサメトキシジシラゾキサン、ヘキサエトキシジシラゾキサン、オクタメトキシ環状シラゾキサン及びノナメトキシトリシラゾキサンからなる群から選択される、請求項32に記載の方法。
  35. 前記ハロゲン化シロキサンが、テトラクロロシラン、ジクロロジエトキシシロキサン、クロロトリエトキシシロキサン、ヘキサクロロジシロキサン及びオクタクロロトリシロキサンからなる群から選択される、請求項32に記載の方法。
  36. 前記ケイ素前駆体が、アミノシラン、アルキルジシラン、アルコキシジシラン、アルコキシ−アルキルジシラン、アルコキシ−アセトキシジシラン又はシクロシランを含む、請求項27に記載の方法。
  37. 前記アミノシランが、トリシリルアミン(TSA)、ヘキサメチルジシラザン(HMDS)、シラトラン、テトラキス(ジメチルアミノ)シラン、ビス(ジエチルアミノ)シラン、ビス−tert−ブチルアミノシラン、ビス−ジメチルアミノシラン(BDMAS)、トリス(ジメチルアミノ)クロロシラン及びメチルシラトランからなる群から選択される、請求項27に記載の方法。
  38. 前記堆積された酸化ケイ素層をアニールするステップが、水蒸気アニール、熱アニール、誘導結合プラズマ(ICP)アニール、紫外線アニール、e−線アニール、酸蒸気触媒作用アニール、塩基蒸気触媒作用アニール及びマイクロ波アニールの少なくとも1つを含む、請求項27に記載の方法。
JP2013138042A 2007-10-22 2013-07-01 基板上に酸化ケイ素層を形成する方法 Pending JP2013243375A (ja)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US11/876,664 US7943531B2 (en) 2007-10-22 2007-10-22 Methods for forming a silicon oxide layer over a substrate
US11/876,664 2007-10-22

Related Parent Applications (1)

Application Number Title Priority Date Filing Date
JP2010530178A Division JP2011504651A (ja) 2007-10-22 2008-10-20 基板上に酸化ケイ素層を形成する方法

Related Child Applications (1)

Application Number Title Priority Date Filing Date
JP2013160385A Division JP2014013905A (ja) 2007-10-22 2013-08-01 基板上に酸化ケイ素層を形成する方法

Publications (1)

Publication Number Publication Date
JP2013243375A true JP2013243375A (ja) 2013-12-05

Family

ID=40563910

Family Applications (3)

Application Number Title Priority Date Filing Date
JP2010530178A Pending JP2011504651A (ja) 2007-10-22 2008-10-20 基板上に酸化ケイ素層を形成する方法
JP2013138042A Pending JP2013243375A (ja) 2007-10-22 2013-07-01 基板上に酸化ケイ素層を形成する方法
JP2013160385A Pending JP2014013905A (ja) 2007-10-22 2013-08-01 基板上に酸化ケイ素層を形成する方法

Family Applications Before (1)

Application Number Title Priority Date Filing Date
JP2010530178A Pending JP2011504651A (ja) 2007-10-22 2008-10-20 基板上に酸化ケイ素層を形成する方法

Family Applications After (1)

Application Number Title Priority Date Filing Date
JP2013160385A Pending JP2014013905A (ja) 2007-10-22 2013-08-01 基板上に酸化ケイ素層を形成する方法

Country Status (7)

Country Link
US (1) US7943531B2 (ja)
EP (1) EP2208222A4 (ja)
JP (3) JP2011504651A (ja)
KR (1) KR101161074B1 (ja)
CN (1) CN101802984B (ja)
TW (1) TWI373074B (ja)
WO (1) WO2009055340A1 (ja)

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2018131661A (ja) * 2017-02-16 2018-08-23 レール・リキード−ソシエテ・アノニム・プール・レテュード・エ・レクスプロワタシオン・デ・プロセデ・ジョルジュ・クロード Si含有膜の成膜方法
JP2020047729A (ja) * 2018-09-18 2020-03-26 トヨタ自動車株式会社 半導体装置の製造方法

Families Citing this family (105)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7825038B2 (en) * 2006-05-30 2010-11-02 Applied Materials, Inc. Chemical vapor deposition of high quality flow-like silicon dioxide using a silicon containing precursor and atomic oxygen
US8232176B2 (en) * 2006-06-22 2012-07-31 Applied Materials, Inc. Dielectric deposition and etch back processes for bottom up gapfill
KR100748559B1 (ko) * 2006-08-09 2007-08-10 삼성전자주식회사 플래시 메모리 장치 및 그 제조 방법
US7867923B2 (en) * 2007-10-22 2011-01-11 Applied Materials, Inc. High quality silicon oxide films by remote plasma CVD from disilane precursors
US8357435B2 (en) 2008-05-09 2013-01-22 Applied Materials, Inc. Flowable dielectric equipment and processes
KR101096449B1 (ko) 2009-06-30 2011-12-20 주식회사 하이닉스반도체 반도체 소자의 제조방법
US8980382B2 (en) * 2009-12-02 2015-03-17 Applied Materials, Inc. Oxygen-doping for non-carbon radical-component CVD films
US8741788B2 (en) * 2009-08-06 2014-06-03 Applied Materials, Inc. Formation of silicon oxide using non-carbon flowable CVD processes
US7989365B2 (en) * 2009-08-18 2011-08-02 Applied Materials, Inc. Remote plasma source seasoning
US8329587B2 (en) * 2009-10-05 2012-12-11 Applied Materials, Inc. Post-planarization densification
US20110136347A1 (en) * 2009-10-21 2011-06-09 Applied Materials, Inc. Point-of-use silylamine generation
US8449942B2 (en) 2009-11-12 2013-05-28 Applied Materials, Inc. Methods of curing non-carbon flowable CVD films
US8528224B2 (en) * 2009-11-12 2013-09-10 Novellus Systems, Inc. Systems and methods for at least partially converting films to silicon oxide and/or improving film quality using ultraviolet curing in steam and densification of films using UV curing in ammonia
US20110151677A1 (en) * 2009-12-21 2011-06-23 Applied Materials, Inc. Wet oxidation process performed on a dielectric material formed from a flowable cvd process
US20110159213A1 (en) * 2009-12-30 2011-06-30 Applied Materials, Inc. Chemical vapor deposition improvements through radical-component modification
JP2013516763A (ja) * 2009-12-30 2013-05-13 アプライド マテリアルズ インコーポレイテッド フレキシブルな窒素/水素比を使用して生成されるラジカルを用いる誘電体膜成長
US8329262B2 (en) * 2010-01-05 2012-12-11 Applied Materials, Inc. Dielectric film formation using inert gas excitation
CN102754193A (zh) * 2010-01-06 2012-10-24 应用材料公司 使用氧化物衬垫的可流动电介质
SG182333A1 (en) 2010-01-07 2012-08-30 Applied Materials Inc In-situ ozone cure for radical-component cvd
US8101522B2 (en) * 2010-02-25 2012-01-24 National Taiwan University Silicon substrate having nanostructures and method for producing the same and application thereof
JP2013521650A (ja) 2010-03-05 2013-06-10 アプライド マテリアルズ インコーポレイテッド ラジカル成分cvdによる共形層
US8236708B2 (en) * 2010-03-09 2012-08-07 Applied Materials, Inc. Reduced pattern loading using bis(diethylamino)silane (C8H22N2Si) as silicon precursor
JP2011199003A (ja) * 2010-03-19 2011-10-06 Tokyo Electron Ltd シリコン酸化膜の形成方法、及びプラズマ処理装置
US7994019B1 (en) 2010-04-01 2011-08-09 Applied Materials, Inc. Silicon-ozone CVD with reduced pattern loading using incubation period deposition
US9018104B2 (en) * 2010-04-09 2015-04-28 Hitachi Kokusai Electric Inc. Method for manufacturing semiconductor device, method for processing substrate and substrate processing apparatus
US8476142B2 (en) 2010-04-12 2013-07-02 Applied Materials, Inc. Preferential dielectric gapfill
US8524004B2 (en) 2010-06-16 2013-09-03 Applied Materials, Inc. Loadlock batch ozone cure
US20120024223A1 (en) * 2010-07-02 2012-02-02 Matheson Tri-Gas, Inc. Thin films and methods of making them using cyclohexasilane
US8318584B2 (en) 2010-07-30 2012-11-27 Applied Materials, Inc. Oxide-rich liner layer for flowable CVD gapfill
US9285168B2 (en) 2010-10-05 2016-03-15 Applied Materials, Inc. Module for ozone cure and post-cure moisture treatment
US8664127B2 (en) 2010-10-15 2014-03-04 Applied Materials, Inc. Two silicon-containing precursors for gapfill enhancing dielectric liner
JP5588856B2 (ja) * 2010-12-27 2014-09-10 東京エレクトロン株式会社 カーボン膜上への酸化物膜の成膜方法及び成膜装置
US20120177846A1 (en) * 2011-01-07 2012-07-12 Applied Materials, Inc. Radical steam cvd
US10283321B2 (en) 2011-01-18 2019-05-07 Applied Materials, Inc. Semiconductor processing system and methods using capacitively coupled plasma
US8450191B2 (en) 2011-01-24 2013-05-28 Applied Materials, Inc. Polysilicon films by HDP-CVD
US8716154B2 (en) 2011-03-04 2014-05-06 Applied Materials, Inc. Reduced pattern loading using silicon oxide multi-layers
JP5514365B2 (ja) 2011-03-23 2014-06-04 株式会社日立国際電気 半導体装置の製造方法、基板処理方法および基板処理装置
US8445078B2 (en) * 2011-04-20 2013-05-21 Applied Materials, Inc. Low temperature silicon oxide conversion
US8466073B2 (en) 2011-06-03 2013-06-18 Applied Materials, Inc. Capping layer for reduced outgassing
US9404178B2 (en) 2011-07-15 2016-08-02 Applied Materials, Inc. Surface treatment and deposition for reduced outgassing
JP5977002B2 (ja) * 2011-08-25 2016-08-24 東京エレクトロン株式会社 トレンチの埋め込み方法および半導体集積回路装置の製造方法
US8617989B2 (en) 2011-09-26 2013-12-31 Applied Materials, Inc. Liner property improvement
US8993072B2 (en) 2011-09-27 2015-03-31 Air Products And Chemicals, Inc. Halogenated organoaminosilane precursors and methods for depositing films comprising same
US8551891B2 (en) 2011-10-04 2013-10-08 Applied Materials, Inc. Remote plasma burn-in
US9200167B2 (en) 2012-01-27 2015-12-01 Air Products And Chemicals, Inc. Alkoxyaminosilane compounds and applications thereof
US9677178B2 (en) 2012-01-27 2017-06-13 Versum Materials Us, Llc Alkoxyaminosilane compounds and applications thereof
TWI474392B (zh) * 2012-03-03 2015-02-21 Production method of silicon solar cell substrate
TWI496932B (zh) 2012-03-09 2015-08-21 Air Prod & Chem 用於顯示裝置的阻絕物材料
JP5981206B2 (ja) * 2012-04-20 2016-08-31 株式会社東芝 半導体装置の製造方法および半導体製造装置
TWI522490B (zh) 2012-05-10 2016-02-21 應用材料股份有限公司 利用微波電漿化學氣相沈積在基板上沈積膜的方法
KR101361454B1 (ko) 2012-08-23 2014-02-21 이근수 반도체 소자의 실리콘 산화막 형성 방법
US8889566B2 (en) 2012-09-11 2014-11-18 Applied Materials, Inc. Low cost flowable dielectric films
KR20140059107A (ko) 2012-11-07 2014-05-15 주식회사 유피케미칼 실리콘 질화물 박막 제조 방법
US8927388B2 (en) * 2012-11-15 2015-01-06 United Microelectronics Corp. Method of fabricating dielectric layer and shallow trench isolation
US10279959B2 (en) * 2012-12-11 2019-05-07 Versum Materials Us, Llc Alkoxysilylamine compounds and applications thereof
JP6415808B2 (ja) 2012-12-13 2018-10-31 株式会社Kokusai Electric 半導体装置の製造方法、基板処理装置およびプログラム
US9018108B2 (en) 2013-01-25 2015-04-28 Applied Materials, Inc. Low shrinkage dielectric films
US8986557B2 (en) 2013-02-19 2015-03-24 Applied Materials, Inc. HDD patterning using flowable CVD film
US9343293B2 (en) * 2013-04-04 2016-05-17 Applied Materials, Inc. Flowable silicon—carbon—oxygen layers for semiconductor processing
US20140302690A1 (en) * 2013-04-04 2014-10-09 Applied Materials, Inc. Chemical linkers to impart improved mechanical strength to flowable films
US9012336B2 (en) * 2013-04-08 2015-04-21 Applied Materials, Inc. Method for conformal treatment of dielectric films using inductively coupled plasma
US20140329027A1 (en) * 2013-05-02 2014-11-06 Applied Materials, Inc. Low temperature flowable curing for stress accommodation
CN104425343B (zh) * 2013-08-28 2018-12-21 中芯国际集成电路制造(上海)有限公司 浅沟槽隔离结构的形成方法
CN104637766B (zh) * 2013-11-14 2017-02-08 中微半导体设备(上海)有限公司 反应腔结构及半导体等离子处理系统
US10804094B2 (en) 2016-05-06 2020-10-13 Applied Materials, Inc. Methods of depositing SiCON with C, O and N compositional control
US11549181B2 (en) 2013-11-22 2023-01-10 Applied Materials, Inc. Methods for atomic layer deposition of SiCO(N) using halogenated silylamides
US9412581B2 (en) 2014-07-16 2016-08-09 Applied Materials, Inc. Low-K dielectric gapfill by flowable deposition
US10407771B2 (en) * 2014-10-06 2019-09-10 Applied Materials, Inc. Atomic layer deposition chamber with thermal lid
US9896326B2 (en) * 2014-12-22 2018-02-20 Applied Materials, Inc. FCVD line bending resolution by deposition modulation
US20160225652A1 (en) 2015-02-03 2016-08-04 Applied Materials, Inc. Low temperature chuck for plasma processing systems
US10421766B2 (en) 2015-02-13 2019-09-24 Versum Materials Us, Llc Bisaminoalkoxysilane compounds and methods for using same to deposit silicon-containing films
US10566187B2 (en) 2015-03-20 2020-02-18 Lam Research Corporation Ultrathin atomic layer deposition film accuracy thickness control
US9871100B2 (en) * 2015-07-29 2018-01-16 Taiwan Semiconductor Manufacturing Co., Ltd. Trench structure of semiconductor device having uneven nitrogen distribution liner
US20170125241A1 (en) * 2015-10-30 2017-05-04 Applied Materials, Inc. Low temp single precursor arc hard mask for multilayer patterning application
US9786492B2 (en) 2015-11-12 2017-10-10 Asm Ip Holding B.V. Formation of SiOCN thin films
US9786491B2 (en) * 2015-11-12 2017-10-10 Asm Ip Holding B.V. Formation of SiOCN thin films
WO2017100630A1 (en) * 2015-12-10 2017-06-15 Applied Materials, Inc. In-situ film annealing with spatial atomic layer deposition
SG11201805289WA (en) * 2015-12-21 2018-07-30 Versum Materials Us Llc Compositions and methods using same for deposition of silicon-containing film
WO2017111815A1 (en) * 2015-12-26 2017-06-29 Intel Corporation Transmitter with improved substrate thicknesses and interfaces
KR102482618B1 (ko) * 2016-02-26 2022-12-28 버슘머트리얼즈 유에스, 엘엘씨 규소 함유 막의 증착을 위한 조성물, 및 이를 이용한 방법
CN106908990A (zh) * 2016-03-16 2017-06-30 宁波长阳科技股份有限公司 一种量子点膜及其制备方法
KR102378021B1 (ko) 2016-05-06 2022-03-23 에이에스엠 아이피 홀딩 비.브이. SiOC 박막의 형성
US10858727B2 (en) 2016-08-19 2020-12-08 Applied Materials, Inc. High density, low stress amorphous carbon film, and process and equipment for its deposition
US11017998B2 (en) 2016-08-30 2021-05-25 Versum Materials Us, Llc Precursors and flowable CVD methods for making low-K films to fill surface features
US10468244B2 (en) 2016-08-30 2019-11-05 Versum Materials Us, Llc Precursors and flowable CVD methods for making low-K films to fill surface features
CN106433454A (zh) * 2016-09-12 2017-02-22 上海至纯洁净系统科技股份有限公司 在物体表面形成保护层的方法及表面形成有保护层的产品
WO2018052476A1 (en) 2016-09-14 2018-03-22 Applied Materials, Inc. Steam oxidation initiation for high aspect ratio conformal radical oxidation
US9882051B1 (en) * 2016-09-15 2018-01-30 Qualcomm Incorporated Fin field effect transistors (FETs) (FinFETs) employing dielectric material layers to apply stress to channel regions
US10847529B2 (en) 2017-04-13 2020-11-24 Asm Ip Holding B.V. Substrate processing method and device manufactured by the same
US10504901B2 (en) 2017-04-26 2019-12-10 Asm Ip Holding B.V. Substrate processing method and device manufactured using the same
CN110546302B (zh) 2017-05-05 2022-05-27 Asm Ip 控股有限公司 用于受控形成含氧薄膜的等离子体增强沉积方法
US10872762B2 (en) * 2017-11-08 2020-12-22 Taiwan Semiconductor Manufacturing Company, Ltd. Methods of forming silicon oxide layer and semiconductor structure
KR20190065962A (ko) 2017-12-04 2019-06-12 에이에스엠 아이피 홀딩 비.브이. 유전체와 금속 표면 상에 SiOC의 균일한 증착
US20200003937A1 (en) * 2018-06-29 2020-01-02 Applied Materials, Inc. Using flowable cvd to gap fill micro/nano structures for optical components
US20200090980A1 (en) * 2018-09-13 2020-03-19 Nanya Technology Corporation Method for preparing semiconductor structures
CN110970287B (zh) * 2018-09-28 2022-12-02 长鑫存储技术有限公司 制备非晶硅薄膜的方法
US11211243B2 (en) * 2018-11-21 2021-12-28 Taiwan Semiconductor Manufacturing Company, Ltd. Method of filling gaps with carbon and nitrogen doped film
KR102364476B1 (ko) * 2020-05-08 2022-02-18 주식회사 한솔케미칼 실리콘 전구체 및 이를 이용한 실리콘 함유 박막의 제조방법
US11447865B2 (en) 2020-11-17 2022-09-20 Applied Materials, Inc. Deposition of low-κ films
JP2022099123A (ja) * 2020-12-22 2022-07-04 東京エレクトロン株式会社 絶縁膜の形成方法及び処理装置
JP2022111764A (ja) * 2021-01-20 2022-08-01 東京エレクトロン株式会社 シリコン含有膜の形成方法及び処理装置
US11955333B2 (en) * 2021-03-22 2024-04-09 Applied Materials, Inc. Methods and apparatus for processing a substrate
TWI768801B (zh) * 2021-03-31 2022-06-21 世界先進積體電路股份有限公司 半導體結構及其製作方法
US11970769B2 (en) * 2021-06-24 2024-04-30 Asm Ip Holding B.V. Cyclical deposition methods
WO2024006092A1 (en) * 2022-06-27 2024-01-04 Applied Materials, Inc. Method for formation of conformal alp sio2 films

Citations (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH06104181A (ja) * 1992-09-22 1994-04-15 Sony Corp 光cvd法利用絶縁膜の製造方法と平坦化絶縁膜の製造方法
JPH06338497A (ja) * 1993-05-28 1994-12-06 Nec Corp 化学気相成長法
JP2005019980A (ja) * 2003-05-29 2005-01-20 Air Products & Chemicals Inc 低誘電率有機ケイ酸塩ガラス膜の作製方法
WO2007002040A2 (en) * 2005-06-21 2007-01-04 Applied Materials, Inc. Method for forming silicon-containing materials during a photoexcitation deposition process
JP2007273994A (ja) * 1999-01-08 2007-10-18 Toshiba Corp 半導体装置
JP2008306182A (ja) * 2007-06-06 2008-12-18 Asm Japan Kk 無機シラザンベース絶縁膜を形成する方法

Family Cites Families (168)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4147571A (en) 1977-07-11 1979-04-03 Hewlett-Packard Company Method for vapor epitaxial deposition of III/V materials utilizing organometallic compounds and a halogen or halide in a hot wall system
FR2598520B1 (fr) 1986-01-21 1994-01-28 Seiko Epson Corp Pellicule protectrice minerale
JP2633551B2 (ja) * 1987-03-18 1997-07-23 株式会社東芝 薄膜形成方法
US4818326A (en) 1987-07-16 1989-04-04 Texas Instruments Incorporated Processing apparatus
US4816098A (en) 1987-07-16 1989-03-28 Texas Instruments Incorporated Apparatus for transferring workpieces
US4931354A (en) 1987-11-02 1990-06-05 Murata Manufacturing Co., Ltd. Multilayer printed circuit board
US4916091A (en) * 1987-11-05 1990-04-10 Texas Instruments Incorporated Plasma and plasma UV deposition of SiO2
JP2763100B2 (ja) * 1988-02-03 1998-06-11 株式会社東芝 薄膜形成方法
JPH0793298B2 (ja) * 1988-10-11 1995-10-09 日本電気株式会社 半導体装置の形成方法
JPH03257182A (ja) 1990-03-07 1991-11-15 Hitachi Ltd 表面加工装置
US5016332A (en) 1990-04-13 1991-05-21 Branson International Plasma Corporation Plasma reactor and process with wafer temperature control
US5436172A (en) 1991-05-20 1995-07-25 Texas Instruments Incorporated Real-time multi-zone semiconductor wafer temperature and process uniformity control system
US5426076A (en) 1991-07-16 1995-06-20 Intel Corporation Dielectric deposition and cleaning process for improved gap filling and device planarization
US5393708A (en) 1992-10-08 1995-02-28 Industrial Technology Research Institute Inter-metal-dielectric planarization process
JP3103241B2 (ja) * 1993-03-26 2000-10-30 川崎製鉄株式会社 半導体装置の製造方法
JP2641385B2 (ja) * 1993-09-24 1997-08-13 アプライド マテリアルズ インコーポレイテッド 膜形成方法
JP3631269B2 (ja) * 1993-09-27 2005-03-23 株式会社東芝 励起酸素の供給方法
US5587014A (en) 1993-12-22 1996-12-24 Sumitomo Chemical Company, Limited Method for manufacturing group III-V compound semiconductor crystals
US5679152A (en) 1994-01-27 1997-10-21 Advanced Technology Materials, Inc. Method of making a single crystals Ga*N article
JPH07335643A (ja) * 1994-06-06 1995-12-22 Hitachi Electron Eng Co Ltd 成膜方法
JP3396791B2 (ja) * 1994-08-30 2003-04-14 富士通株式会社 絶縁膜の形成方法
US5576071A (en) 1994-11-08 1996-11-19 Micron Technology, Inc. Method of reducing carbon incorporation into films produced by chemical vapor deposition involving organic precursor compounds
US5558717A (en) 1994-11-30 1996-09-24 Applied Materials CVD Processing chamber
US5786263A (en) 1995-04-04 1998-07-28 Motorola, Inc. Method for forming a trench isolation structure in an integrated circuit
JP2820070B2 (ja) * 1995-08-11 1998-11-05 日本電気株式会社 プラズマ化学気相成長法とその装置
JPH0982696A (ja) * 1995-09-18 1997-03-28 Toshiba Corp 半導体装置の製造方法および半導体製造装置
JPH09237785A (ja) 1995-12-28 1997-09-09 Toshiba Corp 半導体装置およびその製造方法
CN1049764C (zh) * 1996-02-14 2000-02-23 台湾茂矽电子股份有限公司 集成电路中介电层的制造方法
JPH09260369A (ja) * 1996-03-25 1997-10-03 Toshiba Corp 絶縁膜の形成方法
US6070551A (en) 1996-05-13 2000-06-06 Applied Materials, Inc. Deposition chamber and method for depositing low dielectric constant films
US5827783A (en) 1996-08-23 1998-10-27 Mosel Vitelic, Inc. Stacked capacitor having improved charge storage capacity
US5873781A (en) 1996-11-14 1999-02-23 Bally Gaming International, Inc. Gaming machine having truly random results
FR2759362B1 (fr) 1997-02-10 1999-03-12 Saint Gobain Vitrage Substrat transparent muni d'au moins une couche mince a base de nitrure ou d'oxynitrure de silicium et son procede d'obtention
US6090723A (en) 1997-02-10 2000-07-18 Micron Technology, Inc. Conditioning of dielectric materials
US5937308A (en) 1997-03-26 1999-08-10 Advanced Micro Devices, Inc. Semiconductor trench isolation structure formed substantially within a single chamber
US5937323A (en) 1997-06-03 1999-08-10 Applied Materials, Inc. Sequencing of the recipe steps for the optimal low-k HDP-CVD processing
US6207587B1 (en) 1997-06-24 2001-03-27 Micron Technology, Inc. Method for forming a dielectric
TW416100B (en) 1997-07-02 2000-12-21 Applied Materials Inc Control of oxygen to silane ratio in a seasoning process to improve particle performance in an HDP-CVD system
US6024044A (en) 1997-10-09 2000-02-15 Applied Komatsu Technology, Inc. Dual frequency excitation of plasma for film deposition
US6087243A (en) 1997-10-21 2000-07-11 Advanced Micro Devices, Inc. Method of forming trench isolation with high integrity, ultra thin gate oxide
US6009830A (en) 1997-11-21 2000-01-04 Applied Materials Inc. Independent gas feeds in a plasma reactor
KR100253079B1 (ko) 1997-12-01 2000-04-15 윤종용 반도체 장치의 트렌치 격리 형성 방법
US6627532B1 (en) * 1998-02-11 2003-09-30 Applied Materials, Inc. Method of decreasing the K value in SiOC layer deposited by chemical vapor deposition
US6068884A (en) 1998-04-28 2000-05-30 Silcon Valley Group Thermal Systems, Llc Method of making low κ dielectric inorganic/organic hybrid films
US6165834A (en) 1998-05-07 2000-12-26 Micron Technology, Inc. Method of forming capacitors, method of processing dielectric layers, method of forming a DRAM cell
US6509283B1 (en) 1998-05-13 2003-01-21 National Semiconductor Corporation Thermal oxidation method utilizing atomic oxygen to reduce dangling bonds in silicon dioxide grown on silicon
US6146970A (en) 1998-05-26 2000-11-14 Motorola Inc. Capped shallow trench isolation and method of formation
US6302964B1 (en) 1998-06-16 2001-10-16 Applied Materials, Inc. One-piece dual gas faceplate for a showerhead in a semiconductor wafer processing system
US6406677B1 (en) 1998-07-22 2002-06-18 Eltron Research, Inc. Methods for low and ambient temperature preparation of precursors of compounds of group III metals and group V elements
US6197658B1 (en) 1998-10-30 2001-03-06 Taiwan Semiconductor Manufacturing Company Sub-atmospheric pressure thermal chemical vapor deposition (SACVD) trench isolation method with attenuated surface sensitivity
US6245690B1 (en) * 1998-11-04 2001-06-12 Applied Materials, Inc. Method of improving moisture resistance of low dielectric constant films
US6290774B1 (en) 1999-05-07 2001-09-18 Cbl Technology, Inc. Sequential hydride vapor phase epitaxy
US6180490B1 (en) 1999-05-25 2001-01-30 Chartered Semiconductor Manufacturing Ltd. Method of filling shallow trenches
US6204201B1 (en) 1999-06-11 2001-03-20 Electron Vision Corporation Method of processing films prior to chemical vapor deposition using electron beam processing
US6524931B1 (en) 1999-07-20 2003-02-25 Motorola, Inc. Method for forming a trench isolation structure in an integrated circuit
US6383954B1 (en) 1999-07-27 2002-05-07 Applied Materials, Inc. Process gas distribution for forming stable fluorine-doped silicate glass and other films
JP2001144325A (ja) 1999-11-12 2001-05-25 Sony Corp 窒化物系iii−v族化合物半導体の製造方法および半導体素子の製造方法
FI118804B (fi) 1999-12-03 2008-03-31 Asm Int Menetelmä oksidikalvojen kasvattamiseksi
US6348420B1 (en) 1999-12-23 2002-02-19 Asm America, Inc. Situ dielectric stacks
US6541367B1 (en) 2000-01-18 2003-04-01 Applied Materials, Inc. Very low dielectric constant plasma-enhanced CVD films
US6461980B1 (en) 2000-01-28 2002-10-08 Applied Materials, Inc. Apparatus and process for controlling the temperature of a substrate in a plasma reactor chamber
NL1014274C2 (nl) * 2000-02-03 2001-08-16 Tele Atlas Bv Stelsel voor het beveiligen van op een datadrager aanwezige data.
EP1124252A2 (en) 2000-02-10 2001-08-16 Applied Materials, Inc. Apparatus and process for processing substrates
EP1130633A1 (en) 2000-02-29 2001-09-05 STMicroelectronics S.r.l. A method of depositing silicon oxynitride polimer layers
US7335584B2 (en) * 2000-02-29 2008-02-26 Stmicroelectronics S.R.L. Method of using SACVD deposition and corresponding deposition reactor
US7419903B2 (en) 2000-03-07 2008-09-02 Asm International N.V. Thin films
US6558755B2 (en) 2000-03-20 2003-05-06 Dow Corning Corporation Plasma curing process for porous silica thin film
TWI250968B (en) 2000-04-04 2006-03-11 Asahi Kasei Corp Coating composition for use in producing a silica insulating thin film and method for producing a silica insulating thin film
US6387207B1 (en) 2000-04-28 2002-05-14 Applied Materials, Inc. Integration of remote plasma generator with semiconductor processing chamber
US6630413B2 (en) 2000-04-28 2003-10-07 Asm Japan K.K. CVD syntheses of silicon nitride materials
US6559026B1 (en) 2000-05-25 2003-05-06 Applied Materials, Inc Trench fill with HDP-CVD process including coupled high power density plasma deposition
JP4371543B2 (ja) 2000-06-29 2009-11-25 日本電気株式会社 リモートプラズマcvd装置及び膜形成方法
US6614181B1 (en) 2000-08-23 2003-09-02 Applied Materials, Inc. UV radiation source for densification of CVD carbon-doped silicon oxide films
US6566278B1 (en) 2000-08-24 2003-05-20 Applied Materials Inc. Method for densification of CVD carbon-doped silicon oxide films through UV irradiation
JP4232330B2 (ja) 2000-09-22 2009-03-04 東京エレクトロン株式会社 励起ガス形成装置、処理装置及び処理方法
JP3712356B2 (ja) 2000-10-23 2005-11-02 アプライド マテリアルズ インコーポレイテッド 成膜方法および半導体装置の製造方法
US20020060322A1 (en) 2000-11-20 2002-05-23 Hiroshi Tanabe Thin film transistor having high mobility and high on-current and method for manufacturing the same
DE10063688A1 (de) * 2000-12-20 2002-07-18 Infineon Technologies Ag Schaltungsanordnung zur Ansteuerung einer programmierbaren Verbindung
US20020081817A1 (en) 2000-12-22 2002-06-27 Jayendra Bhakta Void reduction and increased throughput in trench fill processes
US6660662B2 (en) 2001-01-26 2003-12-09 Applied Materials, Inc. Method of reducing plasma charge damage for plasma processes
US6447651B1 (en) 2001-03-07 2002-09-10 Applied Materials, Inc. High-permeability magnetic shield for improved process uniformity in nonmagnetized plasma process chambers
WO2002077320A1 (en) 2001-03-23 2002-10-03 Dow Corning Corporation Method for producing hydrogenated silicon oxycarbide films
US6596576B2 (en) 2001-04-10 2003-07-22 Applied Materials, Inc. Limiting hydrogen ion diffusion using multiple layers of SiO2 and Si3N4
US6528332B2 (en) 2001-04-27 2003-03-04 Advanced Micro Devices, Inc. Method and system for reducing polymer build up during plasma etch of an intermetal dielectric
US6780499B2 (en) 2001-05-03 2004-08-24 International Business Machines Corporation Ordered two-phase dielectric film, and semiconductor device containing the same
US6596653B2 (en) 2001-05-11 2003-07-22 Applied Materials, Inc. Hydrogen assisted undoped silicon oxide deposition process for HDP-CVD
US6716770B2 (en) * 2001-05-23 2004-04-06 Air Products And Chemicals, Inc. Low dielectric constant material and method of processing by CVD
KR100421046B1 (ko) 2001-07-13 2004-03-04 삼성전자주식회사 반도체 장치 및 그 제조방법
US6548416B2 (en) 2001-07-24 2003-04-15 Axcelis Technolgoies, Inc. Plasma ashing process
US6596654B1 (en) 2001-08-24 2003-07-22 Novellus Systems, Inc. Gap fill for high aspect ratio structures
US20030064154A1 (en) 2001-08-06 2003-04-03 Laxman Ravi K. Low-K dielectric thin films and chemical vapor deposition method of making same
US6756085B2 (en) 2001-09-14 2004-06-29 Axcelis Technologies, Inc. Ultraviolet curing processes for advanced low-k materials
US6770521B2 (en) 2001-11-30 2004-08-03 Texas Instruments Incorporated Method of making multiple work function gates by implanting metals with metallic alloying additives
US6794290B1 (en) 2001-12-03 2004-09-21 Novellus Systems, Inc. Method of chemical modification of structure topography
JP3891267B2 (ja) * 2001-12-25 2007-03-14 キヤノンアネルバ株式会社 シリコン酸化膜作製方法
US20030124873A1 (en) 2001-12-28 2003-07-03 Guangcai Xing Method of annealing an oxide film
US7175713B2 (en) 2002-01-25 2007-02-13 Applied Materials, Inc. Apparatus for cyclical deposition of thin films
US6911391B2 (en) 2002-01-26 2005-06-28 Applied Materials, Inc. Integration of titanium and titanium nitride layers
TW536775B (en) 2002-04-18 2003-06-11 Nanya Technology Corp Manufacturing method of shallow trench isolation structure
WO2003090268A1 (fr) 2002-04-19 2003-10-30 Tokyo Electron Limited Procede de traitement de substrat et procede de production de dispositifs a semi-conducteurs
US6936551B2 (en) * 2002-05-08 2005-08-30 Applied Materials Inc. Methods and apparatus for E-beam treatment used to fabricate integrated circuit devices
US7307273B2 (en) 2002-06-07 2007-12-11 Amberwave Systems Corporation Control of strain in device layers by selective relaxation
TWI283899B (en) 2002-07-09 2007-07-11 Applied Materials Inc Capacitively coupled plasma reactor with magnetic plasma control
US6900881B2 (en) 2002-07-11 2005-05-31 Molecular Imprints, Inc. Step and repeat imprint lithography systems
US7456116B2 (en) 2002-09-19 2008-11-25 Applied Materials, Inc. Gap-fill depositions in the formation of silicon containing dielectric materials
US7335609B2 (en) 2004-08-27 2008-02-26 Applied Materials, Inc. Gap-fill depositions introducing hydroxyl-containing precursors in the formation of silicon containing dielectric materials
US6828211B2 (en) 2002-10-01 2004-12-07 Taiwan Semiconductor Manufacturing Co., Ltd. Shallow trench filled with two or more dielectrics for isolation and coupling or for stress control
US6833322B2 (en) * 2002-10-17 2004-12-21 Applied Materials, Inc. Apparatuses and methods for depositing an oxide film
US7080528B2 (en) 2002-10-23 2006-07-25 Applied Materials, Inc. Method of forming a phosphorus doped optical core using a PECVD process
US6900067B2 (en) 2002-12-11 2005-05-31 Lumileds Lighting U.S., Llc Growth of III-nitride films on mismatched substrates without conventional low temperature nucleation layers
US6808748B2 (en) 2003-01-23 2004-10-26 Applied Materials, Inc. Hydrogen assisted HDP-CVD deposition process for aggressive gap-fill technology
US7205248B2 (en) 2003-02-04 2007-04-17 Micron Technology, Inc. Method of eliminating residual carbon from flowable oxide fill
US6884685B2 (en) 2003-02-14 2005-04-26 Freescale Semiconductors, Inc. Radical oxidation and/or nitridation during metal oxide layer deposition process
US7084076B2 (en) * 2003-02-27 2006-08-01 Samsung Electronics, Co., Ltd. Method for forming silicon dioxide film using siloxane
US7098149B2 (en) 2003-03-04 2006-08-29 Air Products And Chemicals, Inc. Mechanical enhancement of dense and porous organosilicate materials by UV exposure
US7429540B2 (en) 2003-03-07 2008-09-30 Applied Materials, Inc. Silicon oxynitride gate dielectric formation using multiple annealing steps
US6867086B1 (en) 2003-03-13 2005-03-15 Novellus Systems, Inc. Multi-step deposition and etch back gap fill process
JP2004283065A (ja) * 2003-03-20 2004-10-14 Ushio Inc 化学走性機能制御膜の製造方法および人工材料並びに人工材料の製造方法
JP3976703B2 (ja) 2003-04-30 2007-09-19 エルピーダメモリ株式会社 半導体装置の製造方法
US6830624B2 (en) 2003-05-02 2004-12-14 Applied Materials, Inc. Blocker plate by-pass for remote plasma clean
US6958112B2 (en) 2003-05-27 2005-10-25 Applied Materials, Inc. Methods and systems for high-aspect-ratio gapfill using atomic-oxygen generation
US7399388B2 (en) 2003-07-25 2008-07-15 Applied Materials, Inc. Sequential gas flow oxide deposition technique
US7192891B2 (en) 2003-08-01 2007-03-20 Samsung Electronics, Co., Ltd. Method for forming a silicon oxide layer using spin-on glass
US6818517B1 (en) 2003-08-29 2004-11-16 Asm International N.V. Methods of depositing two or more layers on a substrate in situ
US7361991B2 (en) 2003-09-19 2008-04-22 International Business Machines Corporation Closed air gap interconnect structure
JP4285184B2 (ja) 2003-10-14 2009-06-24 東京エレクトロン株式会社 成膜方法及び成膜装置
JP2007528640A (ja) 2003-12-17 2007-10-11 セドラエウス インコーポレーテッド ランダムベースの意志決定プロセスを使用する方法
US7030468B2 (en) 2004-01-16 2006-04-18 International Business Machines Corporation Low k and ultra low k SiCOH dielectric films and methods to form the same
US7488693B2 (en) 2004-02-17 2009-02-10 Toagosei Co., Ltd. Method for producing silicon oxide film
US7067438B2 (en) 2004-02-19 2006-06-27 Micron Technology, Inc. Atomic layer deposition method of forming an oxide comprising layer on a substrate
US7524735B1 (en) 2004-03-25 2009-04-28 Novellus Systems, Inc Flowable film dielectric gap fill process
US7115508B2 (en) * 2004-04-02 2006-10-03 Applied-Materials, Inc. Oxide-like seasoning for dielectric low k films
US7109114B2 (en) 2004-05-07 2006-09-19 Applied Materials, Inc. HDP-CVD seasoning process for high power HDP-CVD gapfil to improve particle performance
WO2005121397A2 (en) * 2004-06-04 2005-12-22 Applied Microstructures, Inc. Controlled vapor deposition of multilayered coatings adhered by an oxide layer
US7297608B1 (en) 2004-06-22 2007-11-20 Novellus Systems, Inc. Method for controlling properties of conformal silica nanolaminates formed by rapid vapor deposition
US7129187B2 (en) * 2004-07-14 2006-10-31 Tokyo Electron Limited Low-temperature plasma-enhanced chemical vapor deposition of silicon-nitrogen-containing films
US7642171B2 (en) 2004-08-04 2010-01-05 Applied Materials, Inc. Multi-step anneal of thin films for film densification and improved gap-fill
US7629270B2 (en) 2004-08-27 2009-12-08 Asm America, Inc. Remote plasma activated nitridation
KR100550351B1 (ko) 2004-09-07 2006-02-08 삼성전자주식회사 반도체 장치의 막 형성방법 및 이를 수행하기 위한 반도체장치의 막 형성 장치
US20060084283A1 (en) * 2004-10-20 2006-04-20 Paranjpe Ajit P Low temperature sin deposition methods
US7148155B1 (en) 2004-10-26 2006-12-12 Novellus Systems, Inc. Sequential deposition/anneal film densification method
KR100782369B1 (ko) 2004-11-11 2007-12-07 삼성전자주식회사 반도체 제조장치
US20060162661A1 (en) 2005-01-22 2006-07-27 Applied Materials, Inc. Mixing energized and non-energized gases for silicon nitride deposition
US20060228903A1 (en) 2005-03-30 2006-10-12 Mcswiney Michael L Precursors for the deposition of carbon-doped silicon nitride or silicon oxynitride films
US7972441B2 (en) 2005-04-05 2011-07-05 Applied Materials, Inc. Thermal oxidation of silicon using ozone
US7651955B2 (en) * 2005-06-21 2010-01-26 Applied Materials, Inc. Method for forming silicon-containing materials during a photoexcitation deposition process
JP4860953B2 (ja) 2005-07-08 2012-01-25 富士通株式会社 シリカ系被膜形成用材料、シリカ系被膜及びその製造方法、多層配線及びその製造方法、並びに、半導体装置及びその製造方法
US7427570B2 (en) 2005-09-01 2008-09-23 Micron Technology, Inc. Porous organosilicate layers, and vapor deposition systems and methods for preparing same
US7901743B2 (en) 2005-09-30 2011-03-08 Tokyo Electron Limited Plasma-assisted vapor phase treatment of low dielectric constant films using a batch processing system
US7498270B2 (en) 2005-09-30 2009-03-03 Tokyo Electron Limited Method of forming a silicon oxynitride film with tensile stress
JP5154009B2 (ja) 2005-10-21 2013-02-27 株式会社ジャパンディスプレイイースト 有機シロキサン系絶縁膜の製造方法、及び、この製造方法で製造した有機シロキサン系絶縁膜を層間絶縁として用いた液晶表示装置の製造方法
TWI332532B (en) 2005-11-04 2010-11-01 Applied Materials Inc Apparatus and process for plasma-enhanced atomic layer deposition
US7972954B2 (en) * 2006-01-24 2011-07-05 Infineon Technologies Ag Porous silicon dielectric
US7435661B2 (en) 2006-01-27 2008-10-14 Atmel Corporation Polish stop and sealing layer for manufacture of semiconductor devices with deep trench isolation
JP4984558B2 (ja) 2006-02-08 2012-07-25 富士通セミコンダクター株式会社 半導体装置の製造方法
US7780865B2 (en) 2006-03-31 2010-08-24 Applied Materials, Inc. Method to improve the step coverage and pattern loading for dielectric films
US7601651B2 (en) 2006-03-31 2009-10-13 Applied Materials, Inc. Method to improve the step coverage and pattern loading for dielectric films
US7825038B2 (en) 2006-05-30 2010-11-02 Applied Materials, Inc. Chemical vapor deposition of high quality flow-like silicon dioxide using a silicon containing precursor and atomic oxygen
US7790634B2 (en) 2006-05-30 2010-09-07 Applied Materials, Inc Method for depositing and curing low-k films for gapfill and conformal film applications
US7498273B2 (en) * 2006-05-30 2009-03-03 Applied Materials, Inc. Formation of high quality dielectric films of silicon dioxide for STI: usage of different siloxane-based precursors for harp II—remote plasma enhanced deposition processes
US7629273B2 (en) 2006-09-19 2009-12-08 Taiwan Semiconductor Manufacturing Company, Ltd. Method for modulating stresses of a contact etch stop layer
US20080102223A1 (en) * 2006-11-01 2008-05-01 Sigurd Wagner Hybrid layers for use in coatings on electronic devices or other articles
US7745352B2 (en) 2007-08-27 2010-06-29 Applied Materials, Inc. Curing methods for silicon dioxide thin films deposited from alkoxysilane precursor with harp II process
WO2009054232A1 (ja) * 2007-10-22 2009-04-30 Nanomaterial Laboratory Co., Ltd. 半導体製造装置、半導体製造方法及び電子機器
US7541297B2 (en) 2007-10-22 2009-06-02 Applied Materials, Inc. Method and system for improving dielectric film quality for void free gap fill
US7803722B2 (en) 2007-10-22 2010-09-28 Applied Materials, Inc Methods for forming a dielectric layer within trenches
US7867923B2 (en) 2007-10-22 2011-01-11 Applied Materials, Inc. High quality silicon oxide films by remote plasma CVD from disilane precursors
US20090325391A1 (en) 2008-06-30 2009-12-31 Asm International Nv Ozone and teos process for silicon oxide deposition

Patent Citations (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH06104181A (ja) * 1992-09-22 1994-04-15 Sony Corp 光cvd法利用絶縁膜の製造方法と平坦化絶縁膜の製造方法
JPH06338497A (ja) * 1993-05-28 1994-12-06 Nec Corp 化学気相成長法
JP2007273994A (ja) * 1999-01-08 2007-10-18 Toshiba Corp 半導体装置
JP2005019980A (ja) * 2003-05-29 2005-01-20 Air Products & Chemicals Inc 低誘電率有機ケイ酸塩ガラス膜の作製方法
WO2007002040A2 (en) * 2005-06-21 2007-01-04 Applied Materials, Inc. Method for forming silicon-containing materials during a photoexcitation deposition process
JP2009516906A (ja) * 2005-06-21 2009-04-23 アプライド マテリアルズ インコーポレイテッド 光励起堆積プロセス中にシリコン含有材料を形成する方法
JP2008306182A (ja) * 2007-06-06 2008-12-18 Asm Japan Kk 無機シラザンベース絶縁膜を形成する方法

Cited By (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2018131661A (ja) * 2017-02-16 2018-08-23 レール・リキード−ソシエテ・アノニム・プール・レテュード・エ・レクスプロワタシオン・デ・プロセデ・ジョルジュ・クロード Si含有膜の成膜方法
JP7061432B2 (ja) 2017-02-16 2022-04-28 レール・リキード-ソシエテ・アノニム・プール・レテュード・エ・レクスプロワタシオン・デ・プロセデ・ジョルジュ・クロード Si含有膜の成膜方法
JP2020047729A (ja) * 2018-09-18 2020-03-26 トヨタ自動車株式会社 半導体装置の製造方法

Also Published As

Publication number Publication date
WO2009055340A1 (en) 2009-04-30
US20090104791A1 (en) 2009-04-23
EP2208222A1 (en) 2010-07-21
JP2014013905A (ja) 2014-01-23
CN101802984B (zh) 2014-03-12
KR101161074B1 (ko) 2012-07-13
TW200941578A (en) 2009-10-01
US7943531B2 (en) 2011-05-17
CN101802984A (zh) 2010-08-11
KR20100085993A (ko) 2010-07-29
EP2208222A4 (en) 2013-01-30
JP2011504651A (ja) 2011-02-10
TWI373074B (en) 2012-09-21

Similar Documents

Publication Publication Date Title
KR101161074B1 (ko) 기판상에 실리콘 옥사이드 층을 형성시키는 방법
KR101329285B1 (ko) 에스티아이를 위한 실리콘 디옥사이드의 고품질 유전 필름의 제조: 하프 ⅱ― 원격 플라즈마 향상된 증착 공정을 위한 상이한 실록산―기재 전구체의 용도
US7825038B2 (en) Chemical vapor deposition of high quality flow-like silicon dioxide using a silicon containing precursor and atomic oxygen
US7902080B2 (en) Deposition-plasma cure cycle process to enhance film quality of silicon dioxide
KR101115750B1 (ko) 실리콘 이산화물의 막 품질을 강화시키는 신규한 증착-플라즈마 경화 사이클 프로세스
US7825044B2 (en) Curing methods for silicon dioxide multi-layers
KR101853802B1 (ko) 라디칼­성분 cvd에 의한 컨포멀 층들
US9404178B2 (en) Surface treatment and deposition for reduced outgassing
EP2024532A2 (en) Chemical vapor deposition of high quality flow-like silicon dioxide using a silicon containing precursor and atomic oxygen
US20110151676A1 (en) Methods of thin film process
KR20140066220A (ko) 반도체 공정용 유동성 실리콘-탄소-질소 층

Legal Events

Date Code Title Description
A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20140417

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20140430

A02 Decision of refusal

Free format text: JAPANESE INTERMEDIATE CODE: A02

Effective date: 20141209