KR101115750B1 - 실리콘 이산화물의 막 품질을 강화시키는 신규한 증착-플라즈마 경화 사이클 프로세스 - Google Patents

실리콘 이산화물의 막 품질을 강화시키는 신규한 증착-플라즈마 경화 사이클 프로세스 Download PDF

Info

Publication number
KR101115750B1
KR101115750B1 KR1020087031774A KR20087031774A KR101115750B1 KR 101115750 B1 KR101115750 B1 KR 101115750B1 KR 1020087031774 A KR1020087031774 A KR 1020087031774A KR 20087031774 A KR20087031774 A KR 20087031774A KR 101115750 B1 KR101115750 B1 KR 101115750B1
Authority
KR
South Korea
Prior art keywords
silicon oxide
substrate
gap
oxide layer
filling
Prior art date
Application number
KR1020087031774A
Other languages
English (en)
Other versions
KR20090019865A (ko
Inventor
시아오린 첸
스리니바스 디. 네마니
샨카르 벤카타라만
Original Assignee
어플라이드 머티어리얼스, 인코포레이티드
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Priority claimed from US11/753,968 external-priority patent/US7902080B2/en
Application filed by 어플라이드 머티어리얼스, 인코포레이티드 filed Critical 어플라이드 머티어리얼스, 인코포레이티드
Publication of KR20090019865A publication Critical patent/KR20090019865A/ko
Application granted granted Critical
Publication of KR101115750B1 publication Critical patent/KR101115750B1/ko

Links

Images

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/56After-treatment
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/04Coating on selected surface areas, e.g. using masks
    • C23C16/045Coating cavities or hollow spaces, e.g. interior of tubes; Infiltration of porous substrates
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/40Oxides
    • C23C16/401Oxides containing silicon
    • C23C16/402Silicon dioxide
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/02277Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition the reactions being activated by other means than plasma or thermal, e.g. photo-CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02318Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment
    • H01L21/02321Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment introduction of substances into an already existing insulating layer
    • H01L21/02323Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment introduction of substances into an already existing insulating layer introduction of oxygen
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02318Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment
    • H01L21/02337Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment treatment by exposure to a gas or vapour
    • H01L21/0234Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment treatment by exposure to a gas or vapour treatment by exposure to a plasma
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02318Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment
    • H01L21/02359Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment treatment to change the surface groups of the insulating layer
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02612Formation types
    • H01L21/02617Deposition types
    • H01L21/0262Reduction or decomposition of gaseous compounds, e.g. CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/76Making of isolation regions between components
    • H01L21/762Dielectric regions, e.g. EPIC dielectric isolation, LOCOS; Trench refilling techniques, SOI technology, use of channel stoppers
    • H01L21/76224Dielectric regions, e.g. EPIC dielectric isolation, LOCOS; Trench refilling techniques, SOI technology, use of channel stoppers using trench refilling with dielectric materials
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L22/00Testing or measuring during manufacture or treatment; Reliability measurements, i.e. testing of parts without further processing to modify the parts as such; Structural arrangements therefor
    • H01L22/10Measuring as part of the manufacturing process
    • H01L22/12Measuring as part of the manufacturing process for structural parameters, e.g. thickness, line width, refractive index, temperature, warp, bond strength, defects, optical inspection, electrical measurement of structural dimensions, metallurgic measurement of diffusions
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/0001Technical content checked by a classifier
    • H01L2924/0002Not covered by any one of groups H01L24/00, H01L24/00 and H01L2224/00

Abstract

실리콘 산화물로 기판상의 갭을 충진하는 방법이 개시된다. 상기 방법은 증착 챔버에 유기-실리콘 전구체 및 산소 전구체를 주입하는 단계, 기판상의 갭에 제 1 실리콘 산화물층이 형성되도록 전구체들을 반응시키는 단계, 및 층내의 탄소 함량을 감소시키기 위해 제 1 실리콘 산화물층을 에칭하는 단계를 포함한다. 실리콘 산화물층들은 갭이 충진된 후 어닐링된다.

Description

실리콘 이산화물의 막 품질을 강화시키는 신규한 증착-플라즈마 경화 사이클 프로세스{A NOVEL DEPOSITION-PLASMA CURE CYCLE PROCESS TO ENHANCE FILM QUALITY OF SILICON DIOXIDE}
본 출원은 2006년 5월 30일자로 출원된 US 가출원 No. 60/803,481호의 장점을 청구한다. 또한, 본 출원은 2006년 5월 30일자로 “ 실리콘 함유 전구체 및 원자형 산소를 이용한 고품질 흐름형 실리콘 이산화물의 화학적 증착”이란 명칭으로 인그레(Ingle) 등에 의해 출원된 공동-양도된 미국 가출원 No. 60/803,493호와 관련된다. 또한, 본 출원은 2006년 5월 30일자로 “갭충진 및 컨포멀한 막 애플리케이션을 위한 로우-k 막들을 증착 및 경화시키는 방법”이란 명칭으로 네마니(Nemani) 등에 의해 출원된 미국 가출원 No. 60/803,489호와 관련된다. 또한, 본 출원은 2006년 5월 30일자로 “유전체 갭충진을 위한 프로세스 챔버”란 명칭으로 루보미르스키(Lubomirsky)에 의해 출원된 미국 가출원 No. 60/803,499호와 관련된다. 우선권 미국 가특허 출원 및 관련된 출원들의 전체 내용은 본 발명에 참조된다.
집적회로들에 대한 소자 밀도가 지속적으로 증가됨에 따라, 소자 구조물들 간의 크기 및 간격은 지속적으로 감소된다. 구조물들 간의 트렌치들 및 구조물들 의 갭들의 폭들이 좁을수록 이들의 형성시 높이 대 폭의 비율(즉, 종횡비)는 증가한다. 다른 말로, 집적회로 부재들의 지속된 소형화로 인해 이들 부재들 내부 및 이들 부재들 간의 수평 폭은 이들의 수직 높이보다 빠르게 축소된다.
종횡비가 증가함에 따라 소자 구조물 제조 능력은 반도체 칩 기판의 동일한 표면 영역상에 보다 많은 구조물들(예를 들어, 트랜지스터들, 캐패시터들, 다이오드들 등)이 패키징되게 하였지만, 이는 제조 문제들을 발생시킨다. 이러한 문제들은 충진(filling) 프로세스 동안 보이드 또는 시임(seam)을 생성하지 않고 이들 구조물들의 갭들 및 트렌치들을 완벽하게 충진하기 어렵게 한다는 것이다. 갭들 및 트렌치들을 유전체 물질형 실리콘 산화물로 충진하는 것은 소자 구조물들 부근을 서로 전기적으로 절연시키기 위해 필요하다. 갭들이 비어있는 채로 유지될 경우, 소자들에 대해 너무 많은 잡음 및 누설 전류가 발생되어 소자들이 적절히(또는 전혀) 동작할 수 없게 된다.
갭 폭들이 클 때(종횡비들이 작을 때), 갭들은 유전체 물질의 신속한 증착으로 비교적 쉽게 충진된다. 증착 물질은 갭의 측면들 및 바닥부를 덮어 틈(crevice) 또는 트렌치가 완전히 충진될 때까지 바닥부에서 상부로의 충진을 지속할 것이다. 그러나, 종횡비가 증가함에 따라, 충진 용량(volume)에 보이드 또는 시임을 개시하는 방해물(blockage) 없이 깊고, 좁은 트렌치를 충진하는 것은 보다 어려워지고 있다.
유전체층에서의 보이드들 및 시임들은 반도체 소자를 제조하는 동안 및 완성된 소자들 모두에서 문제점 발생을 야기시킬 수 있다. 보이드들 및 시임들은 유전 체층에 랜덤하게 형성되며 예상치못한 크기, 형상, 위치 및 분포 밀도들을 갖는다. 이는 평탄한 에칭(even etching), 연마, 어닐링 등과 같이 층의 예상치 못한 일관성없는 후-증착(post-deposition) 프로세싱을 야기시킨다. 또한, 완성된 소자들에서의 보이드들 및 시임들은 소자 구조물들에서 갭들 및 트렌치들의 유전체 품질의 변화를 야기시킨다. 이는 소자 부재들 내부 및 소자 부재들 사이에서 전기적 혼선, 전하 누설 및 심지어 단락으로 인해 불균일하고 열악한 소자 성능을 야기시킬 수 있다.
고종횡비 구조물들상에 유전체 물질들을 증착하는 동안 보이드들 및 시임들의 형성을 최소화시키기 위한 기술들이 개발되었다. 여기에는 트렌치의 측벽들 및 바닥부에 대해 보다 컨포멀하게 유지되도록 유전체 물질의 증착 속도를 늦추는 단계가 포함된다. 보다 컨포멀한 증착은 트렌치의 상부 또는 중심부에 증착된 물질이 축적되어 결국 보이드의 상부로부터 밀봉되는 정도로 감소될 수 있다. 그러나, 불행히도 증착 속도를 늦춘다는 것은 증착 시간 증가를 의미하며, 이는 프로세싱 효율성 및 제조 속도를 감소시킨다.
보이드 형성을 제어하는 또 다른 기술은 증착된 유전체 물질의 유동력(flowability)을 증가시키는 것이다. 보다 나은 유동력을 갖는 물질은 보이드 또는 시임을 보다 빠르게 충진시킬 수 있어 충진 용량에서의 영구적 결함이 되는 것을 방지할 수 있다. 때로 실리콘 이산화물 유전체 물질의 유동력 증가는 산화물층을 형성하기 위해 사용되는 전구체들의 혼합물에 증기(water vapor) 또는 과산화 물(예를 들어, H2O2)을 첨가하는 단계를 수반한다. 증기는 증착된 막에 보다 많은 Si-OH 결합들을 생성하여, 막에 대해 증가된 유동성을 부여한다. 그러나 불행히도, 실리콘 산화물을 증착하는 동안 수분 레벨의 증가는, 밀도(즉, 증가된 습식 에칭 속도 비율(WERR)) 및 유전체 성능(예를 들어, 증가된 k-값)을 포함하는 증착된 막의 성능에 악영항을 미칠 수 있다.
따라서, 고종횡비를 갖는 갭들, 트렌치들, 및 다른 소자 구조물들에 보이드가 없는, 시임이 없는, 유전체 막들을 증착할 수 있는 유전체 증착 시스템 및 프로세스들이 요구된다. 또한, 완성된 충진물의 품질에 악영향을 미치지 않는 유동력 특성들 및 높은 증착 속도들로 유전체 물질들을 증착할 수 있는 시스템 및 프로세스들이 여전히 요구된다. 유전체 막 증착에 대한 이러한 면들 및 다른 면들은 본 발명에 의해 해결된다.
본 발명의 실시예들은 기판상의 갭을 실리콘 산화물로 충진하는 방법들을 포함한다. 상기 방법들은 증착 챔버에 유기-실리콘 전구체 및 산소 전구체를 주입하는 단계, 기판상의 갭에 제 1 실리콘 산화물층을 형성하기 위해 전구체들을 반응시키는 단계, 및 층내의 탄소 함량을 감소시키기 위해 제 1 실리콘 산화물층을 에칭하는 단계를 포함할 수 있다. 또한, 상기 방법들은 제 1층상에 제 2 실리콘 산화물층을 형성하는 단계, 및 층내의 탄소 함량을 감소시키기 위해 제 2층을 에칭하는 단계를 포함할 수 있다. 실리콘 산화물층들은 갭이 충진된 이후 어닐링될 수 있다.
또한, 본 발명의 실시예들은 기판 상에 다층 실리콘 산화물막을 형성하는 방법들을 포함한다. 방법들은 기판상에 다수의 실리콘 산화물층들을 형성하는 단계들을 포함할 수 있으며, 각각의 실리콘 산화물층은 약 100Å 내지 약 20Å의 두께를 갖는다. 층들은 (i) 반응 챔버에 유기-실리콘 전구체 및 원자형 산소 전구체를 주입하는 단계; (ii) 기판상에 층을 형성하기 위해 전구체들을 반응시키는 단계; 및 (iii) 층내의 불순물들을 감소시키기 위해 층을 에칭하는 단계에 의해 형성될 수 있다. 다음 다수의 층들은 어닐링될 수 있다.
또한, 본 발명의 실시예들은 웨이퍼 기판들 상의 갭들을 실리콘 산화물로 상향식(bottom-up) 갭충진하는 다중주기를 수행하는 시스템들을 더 포함한다. 시스템들은 갭 함유 구조물이 유지되는 증착 챔버, 및 증착 챔버와 결합되는 원격 플라즈마 발생 시스템을 포함할 수 있으며, 플라즈마 발생 시스템은 원자형 산소 전구체를 발생시키는데 이용된다. 또한, 시스템들은 증착 챔버에 유기-실리콘 전구체를 공급하는데 이용되는 유기-실리콘 전구체 소스, 및 증착 챔버에 원자형 산소 전구체 및 실리콘 전구체의 흐름을 지향시키는데 사용되는 전구체 처리 시스템을 포함할 수 있다. 전구체 처리 시스템은 원자형 산소 및 실리콘 전구체들이 증착 챔버에 진입하기 이전에 혼합되는 것을 방지한다. 또한, 시스템은 다중-주기 갭 충진의 각각의 주기 동안 증착되는 개별 실리콘 산화물층들을 에칭하기 위한 에칭 시스템을 포함한다.
추가의 실시예들 및 특징들은 하기 설명에 개시되며, 이러한 설명은 명세서를 검토함으로써 당업자들에게 명확해질 것이며, 또는 본 발명을 실행에 의해 인지될 수 있을 것이다. 본 발명의 특징 및 장점들은 명세서에 개시되는 수단들, 조합들 및 방법들에 의해 구현 및 달성될 수 있다.
본 발명의 특성 및 장점들에 대한 추가적 이해는 명세서의 나머지 부분들 및 도면들을 참조로 인지될 수 있으며, 동일한 참조 부호들은 유사한 부품들로 참조되도록 몇 개 도면들에서 사용된다. 이를 테면, 서브라벨(sublabel)은 참조 부호와 관련되며 다수의 유사한 부품들중 하나를 표시하도록 하이픈이 따른다. 존재하는 서브라벨에 대한 설명없이 참조 번호에 대한 참조가 이루어질 경우, 이는 이러한 다수의 유사한 부품들 모두를 참조하는 것이다.
도 1은 본 발명의 실시예들에 따른 다중-주기 실리콘 산화물층 증착의 간략적 개요를 나타내는 흐름도이다;
도 2는 본 발명의 실시예들에 따른 다중층 실리콘 산화물막을 제조하는 방법들을 나타는 흐름도이다;
도 3은 본 발명의 실시예들에 따라 다중층 실리콘 산화물막을 제조하는 방법들에서 2-스테이지 에칭 단계를 강조하는 흐름도이다;
도 4는 본 발명의 실시예들에 따른 다중층 실리콘 산화물막을 제조하는 방법들을 나타내는 또 다른 흐름도이다;
도 5A-F는 본 발명의 실시예들에 따른 다중층 실리콘 막으로 점진적으로 충진되는 갭 구조물을 갖는 구조물을 나타내는 도면이다;
도 6A는 본 발명의 실시예들에 따라 실리콘 산화물층들을 형성하는데 사용될 수 있는 기판 프로세싱 시스템의 수직 단면도를 나타낸다; 및
도 6B는 본 발명의 실시예들에 따른 기판 프로세싱 시스템의 시스템 모니터/제어기 부품의 간략화된 다이어그램이다.
웨이퍼 기판의 갭들 내에 그리고 표면들 상에 실리콘 산화물의 다중층, 다중주기 증착들을 위한 시스템들 및 방법들이 개시된다. 각각의 산화물층은 막의 품질 및 유전체 성질에 악영향을 미칠 수 있는 유기기 및 수산기와 같은 불순물들을 분해 및 제거하기 위한 에칭 프로세스가 허용되도록 충분히 얇다(예를 들어, 약 50Å 내지 약 300Å). 다수의 산화물층들이 증착되고 에칭될 때, 고-품질, 로우-K 실리콘 산화물막으로 층들을 형성하기 위한 어닐링이 수행될 수 있다.
실리콘 산화물은 OMCATS와 같이 고도로 반응성인 원자형 산소 및 유기-실리콘 전구체의 반응으로부터 형성될 수 있다. 원자형 산소는 먼저 증착이 이루어지는 챔버 외부에서 발생될 수 있으며, 유기-실리콘 전구체가 챔버에서 혼합될 때까지 유기-실리콘 전구체로부터 격리된 채 유지된다. 형성되는 실리콘 산화물은 탄소가 풍부하며 유동성이 높아, 폭이좁은 갭들 및 트렌치들의 바닥부들로 쉽게 흐를 수 있는 증착 막을 제공한다. 에칭 프로세스가 증착된 막에서 큰 탄소기들 및 수산기들중 적어도 일부를 제거한 후, 차후 산화물 증착물이 제 1 층 위로 흘러 다음 산화물층에서 에칭될 수 있다. 예를 들어, 갭 또는 트렌치가 다수의 실리콘 산화물층들에 의해 바닥부로부터 충진될 때까지 주기는 여러번 반복될 수 있다. 이러 한 다중주기 프로세스는 상향식(bottom-up) 갭충진으로 간주된다. 본 발명의 방법들, 제품들 및 시스템들에 대한 보다 상세한 설명이 개시된다.
예시적인 산화물층 형성 프로세스들
도 1은 본 발명의 실시예들에 따른 다중-주기 실리콘-산화물층 증착의 간략화된 개요에 대한 흐름도이다. 도시된 방법(100)은 증착 챔버(102)에 갭을 포함하는 구조물을 제공하는 단계를 포함한다. 기판 상에는 약 5:1 이상, 7:1 이상, 10:1 이상, 13:1 이상, 15:1 이상의 높이 대 폭 종횡비들을 갖는, 갭들, 트렌치들 등을 포함하는 구조물들이 형성될 수 있다.
다음 다수의 실리콘 산화물층들이 기판(104)의 갭들(및 다른 표면들)에 형성된다. 실리콘 산화물은 반응 챔버에서 산소 함유 전구체 및 유기-실리콘 전구체의 반응에 의해 증착될 수 있다. 산소 함유 전구체는 증착 챔버 외부에서 원격적으로 발생되는 원자형 산소를 포함할 수 있다. 원자형 산소는 분자형 산소(O2), 오존(O3), 질소-산소 화합물(예를 들어, NO, NO2, N2O, 등), 수소-산소 화합물(예를 들어, H2O, H2O2, 등), 탄소-산소 화합물(예를 들어, CO, CO2 등) 및 다른 산소 함유 전구체들 및 전구체들의 조합과 같이, 전구체의 분해에 의해 생성될 수 있다.
원자형 산소를 발생시키기 위한 전구체 분해는 다른 방법들 중에서도 열적 분해, 자외선광 분해, 및/또는 플라즈마 분해에 의해 수행될 수 있다. 플라즈마 분해는 원격 플라즈마 발생 챔버에 헬륨, 아르곤 등으로부터의 플라즈마를 가격하 는 단계 및 원자형 산소 전구체를 발생시키기 위해 플라즈마에 산소를 주입하는 단계를 수반한다.
원자형 산소는 챔버에서 유기-실리콘 전구체에 먼저 주입될 수 있다. 유기-실리콘 전구체는 직접적인 Si-C 결합을 갖는 화합물들 및/또는 Si-O-C 결합을 갖는 화합물들을 포함할 수 있다. 유기실란 실리콘 전구체들에 대한 예들로는 다른 것들 중에서도 특히, 디메틸실란, 트리메틸실란, 테트라메틸실란, 디에틸실란, 테트라메틸오르쏘실리케이트(TMOS), 테트라에틸오르쏘실리케이트(TEOS), 옥타메틸트리실록산(OMTS), 옥타메틸시클로테트라실록산(OMCTS), 테트라메틸시클로테트라실록산(TOMCATS), DMDMOS, DEMS, 메틸 트리에톡시실란(triethoxysilane) (MTES), 페닐디메틸실란(phenyldimethylsilane), 및 페닐실란(phenylsilane) 이 포함될 수 있다.
유기-실리콘 전구체는 증착 챔버에 주입되기 이전에 또는 주입되는 동안에 캐리어 가스와 혼합될 수 있다. 캐리어 가스는 기판상에 산화물막의 형성을 부적절하게 간섭하지 않는 비활성 가스일 수 있다. 캐리어 가스들의 예들로는 다른 가스들중에서도 특히 헬륨, 네온, 아르곤 및 수소(H2)가 포함된다.
방법(100)의 실시예들에서, 원자형 산소 및 유기-실리콘 전구체는 증착 챔버에 주입되기 이전에는 혼합되지 않는다. 전구체들은 반응 챔버 부근에 배치된 공간적으로 이격된 개별 전구체 입구들을 통해 챔버에 진입될 수 있다. 예를 들어, 원자형 산소 전구체는 챔버의 상부에서 입구(또는 입구들)로부터 진입되며 기판 바로 위에 위치될 수 있다. 입구는 기판 증착 표면과 수직인 방향으로 산소 전구체 의 흐름을 지향시킨다. 한편, 실리콘 전구체는 증착 챔버의 측면들 부근의 하나 이상의 입구들로부터 진입될 수 있다. 입구들은 증착 표면과 거의 평행한 방향으로 실리콘 전구체의 흐름을 지향시킬 수 있다.
추가의 실시예들은 멀티-포트 샤워헤드의 개별 포트들을 통해 원자형 산소 및 실리콘 전구체들을 전송하는 단계를 포함한다. 예를 들어, 기판 위에 위치되는 샤워헤드는 전구체들이 증착 챔버로 진입하도록 전구체에 대한 개구 패턴을 포함한다. 개구들의 제 1 서브세트는 원자형 산소 전구체에 의해 공급될 수 있는 반면, 개구들의 제 2 서브세트는 실리콘 전구체에 의해 공급된다. 상이한 세트들의 개구를 통해 이동하는 전구체들은 증착 챔버에서 배출될 때까지 서로 유체적으로 격리될 수 있다. 전구체 처리 장비에 대한 형태 및 설계의 보다 상세한 설명은 “유전체 갭충진을 위한 프로세스 챔버”란 명칭으로, 본 출원과 동일자로 출원된 대리인 도켓 넘버 AOl 1162/T72700를 가지는 루보미르스키(Lubomirsky)에 의한 공동-양도된 U.S. 가특허 출원에 개시되어 있어 있으며, 이는 본 발명에서 참조된다.
증착 챔버에서 원자형 산소 및 실리콘 전구체들이 반응함에 따라, 기판 증착 표면상에는 실리콘 산화물층이 형성된다. 초기 산화물층은 뛰어난 유동력을 가져 기판 표면 상의 구조물들에 있는 갭들의 바닥부로 신속하게 이동할 수 있다.
각각의 산화물층이 증착된 이후, 불순물들을 제거하기 위하여 층에서 에칭 단계가 수행될 수 있다. 이는 큰 유기기들을 작은 탄소 함유 분자들로 분해하는 단계, 및 물 및 실리콘 산화물을 형성하기 위해 적어도 일부 Si-OH 결합들을 분해시키는 단계를 포함할 수 있다.
다수의 실리콘 산화물층들의 증착 및 에칭에 이어, 수분을 제거하고 층들을 조밀한, 고품질 산화물막으로 변형시키기 위한 어닐링이 수행될 수 있다. 실시예들은 실리콘 산화물 각각의 층들이 증착되고 에칭된 후에 어니링을 수행하는 단계를 포함한다. 추가의 실시예들은 하나 이상의 층들이 형성된 이후, 그러나 모든 층들이 최종 어닐링되기 이전에 중간 어닐링을 포함할 수 있다. 예를 들어, 중간 어닐링들은 2개, 3개, 4개, 5개 등의 층들이 증착된 후 마다 수행되고, 모든 층들의 최종 어닐링이 이어질 수 있다.
도 2를 참조로, 본 발명의 실시예들에 따른 다중층 실리콘 산화물막을 제조하는 방법(200)을 나타내는 흐름도가 도시된다. 방법(200)은 기판(202)을 포함하는 증착 챔버에 전구체들을 주입하는 단계를 포함할 수 있다. 앞서 주목한 바와 같이, 전구체들은 원자형 산소 전구체 및 유기-실리콘 전구체를 포함할 수 있다. 원자형 산소는 예를 들어, 약 600 내지 약 1200sccm으로 흐르는 분자형 산소(O2)와 예를 들어,약 900 내지 1800sccm으로 흐르는 아르곤 가스의 조합된 가스 스트림에 400 내지 6000 Watts(예를 들어, 5500Watts)의 RF 전력을 공급하는 원격 고밀도 플라즈마 발생기에서 생성될 수 있다.
유기-실리콘 전구체는 헬륨 또는 분자형 산소(H2)와 같은 캐리어 가스와 유기-실리콘 화합물(가스 또는 액체)을 혼합함으로써 증착 챔버에 주입될 수 있다. 예를 들어, 헬륨은 약 800 내지 약 1600mgm의 속도로 챔버에 OMCTS의 흐름을 제공하기 위해 옥타메틸시클로테트라실록산(OMCTS)과 같은 실온 유기-실리콘 전구체를 통해 약 600 내지 약 2400sccm의 유량으로 버블링될 수 있다(bubbled).
전구체들은 기판(204) 상에 제 1 층을 형성하기 위해 챔버에서 서로 반응한다. 산화물층을 증착하는 동안 챔버에서의 전체 압력은, 예를 들어, 약 0.5 Torr 내지 약 6 Torr이다. 보다 높은 전체 압력(예를 들어, 1.3 Torr)은 보다 유동형인(more flow-like) 품질을 갖는 산화물막을 증착하는 반면, 낮은 압력(예를 들어, 0.5 Torr)은 보다 컨포멀한 산화물층을 증착한다. 원자형 산소는 반응성이 높기 때문에, 반응 챔버에서의 증착 온도는 상대적으로 낮다(예를 들어, 약 100℃ 이하). 산화물 증착 속도 범위는 약 125 Å/min 내지 약 2 ㎛/min(예를 들어, 약 500 Å/min 내지 약 3000 Å/min; 약 1500Å/min, 등)일 수 있다. 층의 두께는 약 5Å 내지 약 500Å(예를 들어, 약 100Å 내지 약 200Å)일 수 있다.
제 1 산화물층이 형성된 후에, 챔버 속으로의 전구체들의 흐름이 중단되고, 제 1 산화물층이 에칭될 수 있다(206). 에칭 단계는 층내에서의 불순물들을 분해시켜 제거하고, 층을 평탄화시키는데 이용될 수 있다. 도 3을 참조로 하기에 개시되는 것처럼, 에칭 프로세스는 단일 에칭 단계, 또는 다중 에칭 단계들을 포함할 수 있다.
제 1 층의 에칭에 이어, 전구체들은 증착 챔버로 주입되고(208), 기판 상에서 제 2 산화물층이 형성되도록 반응한다(210). 제 2 산화물층은 제 1 층과 동일한 반응 조건들하에서 형성되거나, 또는 상이한 조건들(예를 들어, 챔버 압력, 온도, 유기-실리콘 전구체 등)하에서 형성될 수 있다.
제 2 층이 형성된 후에, 불순물 레벨들의 감소 및/또는 층의 평탄화를 위해 에칭될 수 있다(212). 제 2 층은 제 1 층을 에칭하는데 이용되는 것과 동일한 프로세스를 이용하여 에칭되거나 또는, 상이한 프로세스(예를 들어, 상이한 에칭 단계들의 수, 상이한 에칭 전구체들, 상이한 전력 레벨 등)를 이용하여 에칭될 수 있다.
제 2 실리콘 산화물층(및 임의의 추가 산화물층들)의 형성 및 에칭에 이어, 균일한 고품질 실리콘 산화물 갭충진물을 형성하기 위해, 산화물층들은 어닐링 될 수 있다(214). 최종 갭충진물은 4.0 미만(예를 들어, 3.5 미만, 약 3.0 미만 등)의 유전 상수(즉, k-값) 및 2:1 미만(예를 들어, 약 1.8:1 내지 약 1.4:1)의 습식-에칭 속도 비율(WERR)을 가질 수 있다. 갭충진물은 충진 용량 전반에 균일하며, 임의의 경우, 약간의 보이드들 또는 시임들을 포함할 수 있다.
도 3은 본 발명의 실시예들에 따른 다중층 실리콘 산화물막을 제조하는 방법(300)의 2-스테이지 에칭 단계를 강조하는 흐름도를 나타낸다. 방법(300)은 반응 챔버에 기판을 제공하는 단계(302), 반응 챔버에 전구체들(예를 들어, 산소 및 실리콘 전구체들)을 주입하는 단계(304)를 포함한다. 다음 전구체들은 기판상에 제 2 실리콘 산화물층이 형성되도록 반응하여(306), 2-스테이지 에칭을 거친다.
2-스테이지 에칭은 산화물층 상에서 제 1 에칭을 수행함으로써 시작된다(308). 이러한 제 1 에칭은 큰 유기 분자들을 분해하고 층에서 탄소의 적어도 일부를 제거하기 위해 저밀도 플라즈마를 이용하는 단계를 포함한다. 이러한 저밀도 플라즈마 에칭은 산화물층을 에칭하는 Ar/O2 플라즈마를 발생시키기 위해 RPS를 이용하는 단계를 포함할 수 있다. 에칭 조건들은, 예를 들어, 약 5500Watt의 전력에서 1600sccm의 O2 및 400sccm의 아르곤 흐름으로부터 플라즈마를 가격하는 단계 및 이를 약 760mTorr의 압력에서 증착 챔버에 주입하는 단계를 포함한다. 이러한 플라즈마 에칭은 큰 탄소기들을 분해시키고 산화물층으로부터 탄소 불순물들을 제거할 수 있다.
제 1 에칭에 이어, 층에서 수산기들의 적어도 일부를 제거하기 위해 높은 플라즈마 밀도에서 산화물층의 제 2 에칭이 수행된다(310). 이러한 높은 밀도 플라즈마 에칭은 고전력 RF 필드(예를 들어, 6000 Watts)를 이용하여 분자형 산소(예를 들어, 600sccm)의 흐름 분해로부터 형성된 플라즈마에 층을 노출시키는 단계를 포함한다. 산소 플라즈마는 예를 들어, 8mTorr의 압력에서 증착 챔버에 주입되고 실리콘 이산화물 및 물을 형성하도록 산화물층의 -OH기들과 반응할 수 있다.
증착 및 에칭 주기들은 이전의 층의 상부에 형성되는 다음 산화물층(312)과 반복될 수 있다. 증착 및 에칭된 층들은 예정된 개수의 층들 및/또는 막 두께가 달성될 때까지 누적되며, 다수의 층들은 어닐링된다(314). 어닐링은 단일 단계 또는 다수의 단계들로 수행될 수 있다. 단일 단계 어닐링은 예를 들어, 실질적으로 건조 대기(atmosphere)(예를 들어, 건식 질소, 헬륨, 아르곤 등)에서 약 300℃ 내지 약 1000℃(예를 들어, 약 600℃ 내지 약 900℃)로 다수의 층들을 가열함으로써 수행될 수 있다. 어닐링은 증착된 층으로부터 수분을 제거하고 Si-OH기들을 실리콘 산화물로 전환시킨다.
다단계 어닐링들은 스팀 존재하에, 예를 들어 약 700℃로 층을 가열하는 것과 같이, 층들이 먼저 습식 어닐링 스테이지를 거치는 2-단계 어닐링을 포함할 수 있다. 이는 건식 어닐링 스테이지로 이어질 수 있으며, 층들은 실질적으로 수분이 없는 대기(예를 들어, 건식 N2)에서 (예를 들어, 약 900℃의) 높은 온도로 가열될 수 있다. 제 1 습식 어닐링은 추가의 Si-C 결합들과 Si-OH 결합들의 가수 분해를 돕는 반면, 건식 어닐링은 Si-OH를 실리콘 산화물 결합으로 번환시켜 층들로부터 수분을 제거한다.
습식 및 건식 열적 어닐링 이외에, 다른 어닐링 기술들(단독으로 또는 조합되어)이 다수의 산화물층들을 어닐링하는데 이용될 수 있다. 여기에는 특히, 스팀 어닐링, 플라즈마 어닐링, 플라즈마 어닐링, 자외선광 어닐링, e-빔 어닐링 및/또는 마이크로파 어닐링이 포함된다.
도 4를 참조로, 본 발명의 실시예들에 따른 다중층 실리콘 산화물막을 제조하는 방법(400)을 나타내는 또 다른 흐름도가 도시된다. 방법(400)은 증착 챔버(402)에 기판을 제공하는 단계(402) 및 챔버에 전구체들(예를 들어, 원자형 산소 및 유기-실리콘 전구체들)을 주입하는 단계를 포함한다. 전구체들은 기판(406)상에 실리콘 산화물층이 형성되도록 반응하고, 다음 산화물층은 에칭된다(408).
이 때, 증착된 산화물층들의 누적 두께가 예정된(preset) 포인트에 도달되었는지를 결정하는(410) 검사가 이루어질 수 있다. 전체 산화물막의 예정된 두께 레벨이 도달되면, 증착 및 에칭 주기가 종료되고, 막이 어닐링될 수 있다(412). 그 러나, 두께 레벨이 충족되지 않는다면, 산화물막에 적어도 하나 이상의 추가 층을 부가시키기 위해 다른 산화물 증착 및 에칭 주기가 이루어질 수 있다.
산화물막이 예정된 두께에 도달되었는지 여부에 대한 결정은 증착 및 에칭된 층들의 두께 측정에 의해 이루어지거나, 또는 원하는 막 두께에 도달하기 위해 요구되는 층들의 개수를 계산함으로써 이루어질 수 있다. 예를 들어, 각각의 증착 및 에칭된 막 두께가 100Å이고, 원하는 막 두께가 1.2㎛이면, 막을 형성하기 위해 12번의 증착 및 에칭 주기가 수행될 수 있다. 각각의 증착된 층의 두께는 다른 파라미터들 중에서도 특히, 반응성 전구체들의 형태 및 유량들, 증착 챔버의 전체 압력 및 온도와 같이, 산화물 증착 속도에 영향을 미치지는 파라미터들을 제어함으로써 설정될 수 있다. 앞서 주목한 바와 같이, 산화물층들에 대해 전형적인 증착 속도는 약 500Å/min 내지 약 3000Å/min (예를 들어, 약 1500Å/min)이다.
도 5A-F는 다중주기의 증착-에칭 산화물층 형성 프로세스의 실시예들을 사용하는 다중층 실리콘 산화물막으로 점진적으로 충진되는 갭 구조물을 가지는 기판을 나타낸다. 도 5A는 갭(504)이 형성된 기판(502)을 나타낸다. 도 5A-F에 도시된 갭(504)은 산화물 충진 층들의 진행을 보다 상세히 나타내기 위해 비교적 낮은 종횡비를 갖는 것으로 도시된 것임이 이해될 것이다. 본 발명의 갭충진 방법들의 실시예들은 5:1, 6:1, 7:1, 8:1, 9:1, 10:1, 11:1, 12:1, 13:1, 14:1, 및 15:1 이상의 종횡비들을 갖는 갭들에 보이드 및 시임이 없는 증착을 포함할 수 있다.
도 5B는 갭(504)에 증착된 제 1 산화물층(506a)을 나타낸다. 형성된 층이 양호한 유동력 품질을 갖는 실리콘 산화물은 막이 갭(504)의 바닥부로 신속하게 이 동하게 한다. 따라서, 갭(504)의 바닥부에서 증착된 산화물의 두께는 갭의 측벽들을 따른 산화물 두께 보다 클 수 있다.
도 5C 및 도 5D는 이전에 갭(504)에 증착 및 에칭된 층들 상에 증착되는 추가의 산화물층들(506b, 506c, 등)을 나타낸다. 이들 추가의 층들은 원하는 산화물 막 두께 레벨이 도달될 때까지(예를 들어, 갭(504)의 상부), 갭(504)에 상향식 형성될 수 있다.
일단 다수의 산화물층들중 마지막 산화물층이 증착되고 에칭되면, 도 5E에 도시된 것처럼, 층들을 균일한 막(508)으로 형성하기 위한 어닐링이 수행될 수 있다. 막은 갭(504)의 상부 위에 형성된 증착 물질들을 제거하기 위해 예를 들어, 플라즈마 에칭 또는 CMP에 의해 평탄화될 수 있다. 도 5F는 임의의 경우 약간의 보이드들 또는 시임들을 가지며, 높은 막 품질 및 유전체 특성을 가지는 남아있는 실리콘 산화물 갭충진물(510)을 나타낸다.
예시적인 기판 프로세싱 시스템
본 발명의 실시예들을 구현할 수 있는 증착 시스템들은 다른 형태의 시스템들중에서도 특히, 고밀도 플라즈마 화학적 기상 증착(HDP-CVD) 시스템들, 플라즈마 강화 화학적 기상 증착(PECVD) 시스템들, 대기압이하 화학적 기상 증착(SACVD) 시스템들, 및 열적 화학적 기상 증착 시스템들을 포함할 수 있다. 본 발명의 실시예들을 구현할 수 있는 CVD 시스템들에 대한 특정 예들로는 캘리포니아, 산타클라라 의 어플라이드 머티리얼스사로부터 입수가능한 CENTURA ULTIMATM HDP-CVD 챔버들/시스템들 및 PRODUCERTM PECVD 챔버들/시스템들이 있다.
본 발명에 따른 실시예들을 이용하도록 변형될 수 있는 적절한 기판 프로세싱 시스템중 하나는 참조를 위해 본 발명에 참조되는, 공동-양도된 미국 특허 출원 번호 6,387,207호 및 6,830,624호에 도시되고 개시된다. 도 6A는 챔버 벽(15a) 및 챔버 리드 어셈블리(15b)를 포함하는 진공 또는 프로세싱 챔버(15)를 갖는, CVD 시스템(10)의 수직 단면도이다.
CVD 시스템(10)은 프로세스 챔버(15A) 내에서 중심설정되는 가열 페데스탈(12) 상에 위치되는 기판(미도시)에 프로세스 가스들을 분산시키기 위한 가스 분산 매니폴드(11)를 포함한다. 가스 분산 매니폴드(11)는 용량성 플라즈마를 형성하기 위한 전극으로서의 역할을 하도록 전기적으로 전도성이 물질로부터 형성될 수 있다. 프로세싱 동안, 기판(예를 들어, 반도체 웨이퍼)은 페데스탈(12)의 평탄(또는 약간 볼록한) 표면(12a) 상에 위치된다. 페데스탈(12)은 하부 로딩/오프-로딩 위치(도 6A에 도시됨)와 매니폴드(11)와 인접해 있는 상부 프로세싱 위치(도 6A에 점선(14)으로 도시됨) 사이에서 제어가능하게 이동할 수 있다. 센터보드(미도시)는 웨이퍼들의 위치에 대한 정보를 제공하는 센서들을 포함한다.
증착 및 캐리어 가스들은 종래의 평탄한, 원형의 가스 분산 페이스플레이트(faceplate)(13a)를 통해 챔버(15)에 주입된다. 특히, 증착 프로세스 가스들은 종래의 천공된 블록커 플레이트(42) 및 다음 가스 분산 페이스플레이트(13a)의 홀 들(13b)을 통해 챔버로 흐른다.
매니폴드(11)에 도달하기 이전에, 증착 및 캐리어 가스들은 가스 공급 라인들(8)을 통해 가스 소스(7)로부터 혼합 시스템(9)에 입력되어 조합되어 매니폴드(11)로 전송된다. 일반적으로, 각각의 프로세스 가스에 대한 공급 라인은 (i) 자동으로 또는 수동으로 챔버로의 프로세스 가스 흐름을 차단시키는데 이용되는 몇 개의 안전 차단(shut-off) 밸브들(미도시), 및 (ii) 공급 라인을 통해 가스의 흐름을 측정하는 질량 흐름 제어기(미도시)를 포함한다. 프로세스에서 유독성 가스들이 사용될 때, 몇 개의 안전 차단 밸브들은 종래의 구성들에서 각각의 가스 공급 라인에 위치된다.
CVD 시스템(10)에서 수행되는 증착 프로세스는 열적 프로세스 또는 플라즈마-강화 프로세스일 수 있다. 플라즈마 강화 프로세스에서, RF 전력원(44)은 페이스플레이트(13a)와 페데스탈(12) 사이의 실린더형 영역 내에 플라즈마를 생성하기 위해 프로세스 가스 혼합물이 여기되도록 가스 분산 페이스플레이트(13a)와 페데스탈(12) 사이에 전력을 인가한다. (이 영역은 본 발명에서 "반응 영역(reaction region)"으로 간주된다). 플라즈마의 성분들은 페데스탈(12) 상에서 지지되는 반도체 웨이퍼의 표면상에 원하는 막을 증착시키기 위해 반응한다. RF 전력원(44)은 진공 챔버(15)로 주입되는 반응성 종들의 분해를 강화시키기 위해, 13.56MHz의 높은 RF 주파수(RFl)와 낮은 RF 주파수(RF2)에서 통상적으로 전력이 공급되는 혼합 주파수 RF 전력원이다. 열적 프로세스에서, RF 전력원(44)은 이용되지 않으며, 프로세스 가스 혼합물은 반응을 위한 열적 에너지를 제공하도록 저항성 가열되는 페 데스탈(12) 상에서 지지되는 반도체 웨이퍼의 표면상에 원하는 막을 증착하도록 열적으로 반응한다.
플라즈마-강화 증착 프로세스 동안, 플라즈마는 배기 통로(23) 및 차단 밸브(24)를 둘러싸는 챔버 바디(15a)의 벽들을 포함하는 프로세스 챔버(10) 전체를 가열한다. 플라즈마가 열적 증착 프로세스에서 또는 열적 증착프로세스 동안 조정(turn)되지 않는다면, 상승된 온도로 챔버를 유지하기 위해 프로세스 챔버(15)의 벽들(15a)을 통해 뜨거운(hot) 액체가 순환된다. 챔버 벽들(15a)의 나머지 부분에서의 통로들은 도시되지 않는다. 챔버 벽들(15a)을 가열하기 위해 사용되는 유체들로는 전형적인 형태의 유체, 즉, 물-기반(water-based) 에틸렌 글리콜 또는 오일-기반(oil-based) 열전달 유체들이 포함된다. 이러한 가열("가열 교환기"에 의해 가열되는 것으로 간주됨)은 원치 않는 반응 부산물의 응축을 바람직하게 감소 또는 소거시키고 가스 흐름이 없는 기간들 동안 냉각 진공 통로의 벽들 상에 응축되어 프로세싱 챔버로 다시 이동하는 경우 프로세스를 오염시킬 수 있는 다른 오염물들 및 프로세스 가스들의 휘발성 제품들의 소거를 개선시킨다.
반응 부산물들을 포함하는 층에 증착되지 않는 가스 혼합물의 나머지들은 진공 펌프(미도시)에 의해 챔버(15)로부터 배기된다. 특히, 가스들은 반응 영역을 둘러싸는 환형의 슬롯형상 오리피스(16)를 통해 환형의 배출 플래넘(17)으로 배기된다. 환형의 슬롯(16) 및 플래넘(17)은 챔버의 실린더형 측면 벽(15a)의 상부(벽 상의 상부 유전체 라이닝(19) 포함)와 원형의 챔버 리드(20) 간의 갭에 의해 한정된다. 슬롯 오리피스(16) 및 플레넘(17)의 360도 원형 대칭 및 균일성은 웨이퍼상 에 균일한 막을 증착하기 위해 웨이퍼 위로 프로세스 가스들의 균일한 흐름을 달성하는데 있어 중요하다.
배출 플레넘(17)으로부터, 가스들은 배출 플레넘(17)의 측방 연장부(21) 아래로 흘러, 하향-연장 가스 통로(23)를 통해 관찰 포트(미도시)를 지나, 진공 차단 밸브(24)(밸브의 몸체는 하부 챔버 벽(15a)과 통합됨)를 지나 포어라인(미도시)을 통해 외부 진공 펌프(미도시)와 접속되는 배출 출구(25)로 흐른다.
페데스탈(12)(바람직하게, 알루미늄, 세라믹 또는 이들의 조합)의 웨이퍼 지지 플랫터(platter)는 평행한 동심의 원들로 2개의 완전한 권선들(two full turns)을 만들도록 구성된 내장형 단일-루프 내장 히터 부재를 이용하여 저항성 가열된다. 히터 부재의 외부 부분은 지지 플랫터의 주변부 부근에서 연장되는 반면, 내부 부분은 작은 반경들을 가지는 동심원의 경로로 연장된다. 히터 부재에 대한 와이어링(wiring)은 페데스탈(12)의 스템을 통과한다.
통상적으로, 임의의 또는 모든 챔버 라이닝, 가스 입구 매니폴드 페이스플레이트 및 다른 반응기 하드웨어는 알루미늄, 양극산화된 알루미늄, 또는 세라믹과 같은 물질로 구성된다. 이러한 CVD 장치에 대한 예는 본 발명에서 참조되면 지하오(Zhao) 등에 의해 “CVD 프로세싱 챔버”란 명칭으로 허여된 공동-양도된 U.S 특허 5,558.717호에 개시된다.
웨이퍼들이 챔버(10)의 측면에서 삽입/제거 개구(26)를 통해 로봇 블레이드(미도시)에 의해 챔버(15)의 바디 안팎으로 이송함에 따라, 프트 메커니즘 및 모터(32)(도 6A)는 히터 페데스탈 어셈블리(12) 및 이들의 웨이퍼 리프트 핀들(12b) 을 상승 및 하강시킨다. 모터(32)는 프로세싱 위치(14)와 하부 웨이퍼-로딩 위치 사이에서 페데스탈(12)을 상승 및 하강시킨다. 모터, 공급 라인들(8)과 접속된 흐름 제어기들의 밸브들, 가스 전달 시스템, 트로틀 밸브, RF 전력원(44), 및 챔버 및 기판 가열 시스템들은 단지 일부만이 도시된 제어 라인들(36)에 대한 시스템 제어기에 의해 모두 제어된다. 제어기(34)는 제어기(34)의 제어하에 적절한 모터들에 의해 이동되는 서셉터 및 트로틀 밸브와 같은 이동식 기계적 어셈블리들의 위치를 결정하기 위해 광학 센서들로부터의 피드백을 참조한다(relies on).
예시적 실시예에서, 시스템 제어기는 하드 디스크 드라이브(메모리;38), 플로피 디스크 드라이브 및 프로세서(37)를 포함한다. 프로세서는 단일-보드 컴퓨터(SBC), 아날로그 및 디지털 입/출력 보드들, 인터페이스 보드들 및 스텝퍼 모터 제어기 보드들을 포함한다. CVD 시스템(10)의 다양한 부품들은 보드, 카드 케이지 및 커넥터 치수들 및 형태들을 한정하는 버사 모둘러 유럽피언(VME) 규격을 따른다. 또한 VME 규격은 16-비트 데이터 버스 및 24-비트 어드레스 버스를 갖는 버스 구조물을 한정한다.
시스템 제어기(34)는 CVD 기기의 모든 동작을 제어한다. 시스템 제어기는 메모리(38)와 같이 컴퓨터-판독가능 매체에 저장된 컴퓨터 프로그램인 시스템 제어 소프트웨어를 실행한다. 바람직하게, 메모리(38)는 하드 디스크 드라이브이나, 메모리(38)는 다른 형태의 메모리일 수도 있다. 컴퓨터 프로그램은 타이밍, 가스들의 혼합물, 챔버 압력, 챔버 온도, RF 전력 레벨들, 서셉터 위치, 및 특정 프로세스에 대한 다른 파라미터들을 나타내는 명령들의 세트들을 포함한다. 예를 들어, 플로피 디스크 또는 다른 추가의 적절한 드라이브를 포함하는 다른 메모리 소자들에 저장되는 다른 컴퓨터 프로그램들이 제어기(34)를 동작시키는데 이용될 수 있다.
챔버(15)를 세정하는 프로세스 또는 기판 상에 막을 증착하는 프로세스는 제어기(34)에 의해 실행되는 컴퓨터 프로그램 제품을 사용하여 구현될 수 있다. 컴퓨터 프로그램 코드는 예를 들어, 68000 어셈블리 언어, C, C++, 파스칼, 포트란 또는 다른 것들과 같은 임의의 종래의 컴퓨터 판독가능 프로그램 언어에 기록될 수 있다. 적절한 프로그램 코드는 종래의 텍스트 에디터를 이용하여 단일 파일, 또는 다중 파일들로 기입되고 컴퓨터의 메모리 시스템과 같은 컴퓨터 이용가능 매체에 저장 또는 내장된다. 기입된 코드 텍스트가 하이 레벨 언어인 경우, 코드는 컴파일되고, 형성 컴파일 코드는 프리컴파일된 Microsoft Windows
Figure 112008089838110-pct00001
루틴들의 목적 코드(object code)와 링크된다. 링크되고 컴파일된 목적 코드를 실행하기 위해, 시스템 사용자는 목적 코드를 호출하여, 컴퓨터 시스템이 메모리내의 코드에 로딩되게 한다. 다음 CPU는 프로그램에서 식별된 업무들을 수행하도록 코드를 판독 및 실행한다.
사용자와 제어기(34) 간의 인터페이스는 도 6B에 도시된 CRT 모니터(50a) 및 광펜(50b)을 경유하며, 도 6B는 하나 이상의 챔버들을 포함할 수 있는 기판 프로세싱 시스템에서 시스템 모니터 및 CVD 시스템(10)의 간략화된 다이어그램이다. 바람직한 실시예에서, 2개의 모니터들(50a)이 사용되며, 하나는 작업자를 위해 클린 룸 벽에 장착되고 다른 하나는 서비스 기술자를 위해 벽 뒤에 장착된다. 모니터 들(50a)은 동일한 정보를 동시적으로 디스플레이할 수 있으나, 단지 하나의 광펜(50b)만이 이용될 수 있다. 광펜(50b)의 팁에서 광 센서는 CRT 디스플레이에 의해 방출되는 광을 검출한다. 특정 스크린 또는 기능을 선택하기 위해, 작업자는 디스플레이 스크린의 지정된 영역을 터치하고 펜(50b)상의 버튼을 누른다. 터치된 영역들은 광펜과 디스플레이 스크린간의 통신을 확인하기 위해 강조된 색상으로 변하거나, 새로운 메뉴 또는 스크린을 디스플레이 한다. 키보드, 마우스, 또는 다른 포인팅 또는 통신 소자들과 같은 다른 소자들이 제어기(34)와 사용자의 통신이 허용되도록 광펜(50b) 대신 또는 부가되어 사용될 수 있다.
도 6A는 가스 분산 페이스플레이트(13a) 및 가스 분산 매니폴드(11)를 포함하는 프로세스 챔버(15)의 리드 어셈블리(15b)에 장착된 원격 플라즈마 발생기(60)를 나타낸다. 장착 어댑터(64)는 도 6A에서 가장 잘 볼 수 있듯이, 리드 어셈블리(15b) 상에 원격 플라즈마 발생기(60)에 장착된다. 통상적으로, 어댑터(64)는 금속으로 이루어진다. 혼합 장치(70)는 가스 분산 매니폴드(11)의 상류측과 결합된다(도 6A). 혼합 장치(70)는 프로세스 가스들을 혼합시키기 위한 혼합 블록의 슬롯(74) 내부에 배치되는 혼합 삽입물(72)을 포함한다. 세라믹 절연체(66)는 장착 어댑터(64)와 혼합 장치(70) 사이에 배치된다(도 6A). 세라믹 절연체(66)는 Al2O3(99% 순도), 테프론
Figure 112008089838110-pct00002
등과 같은 세라믹 물질로 구성될 수 있다. 설치될 때, 혼합 장치(70) 및 세라믹 절연체(66)는 리드 어셈블리(15b)의 일부를 형성할 수 있다. 절연체(66)는 혼합 장치(70) 및 가스 분산 매니폴드(11)로부터 금속 어뎁 터(64)를 절연시켜 하기 보다 상세히 설명되는 바와 같이 리드 어셈블리(15b)에 형성되는 제 2차 플라즈마에 대한 전위를 감소시킨다. 3-웨이 밸브(77)는 원격 플라즈마 발생기(60)를 통해 또는 직접적으로 프로세스 챔버(15)로의 프로세스 가스들의 흐름을 제어한다.
원격 플라즈마 발생기(60)는 리드 어셈블리(15b) 상에 편의상 장착되고 비용 및 시간 소모적인 변형 없이 현재 챔버들상에서 쉽게 교정될 수 있는 바람직하게 콤팩트한, 자체-제한 유니트이다. 하나의 적절한 유니트로는 매사추세츠, 워본의 어플라이드 사이언스 앤드 테크놀로지 인크.로부터 상업적으로 입수가능한 ASTRON
Figure 112010082650297-pct00003
발생기가 있다. ASTRON
Figure 112010082650297-pct00004
발생기는 프로세스 가스를 분해시키기 위해 낮은-필드의 토로이달 플라즈마를 이용한다. 일 실시예에서, 플라즈마는 프로세스 챔버(15)에서의 막 증착물들을 세정하는데 이용될 수 있는 유리 불소(free fluorine)을 생성하기 위해 아르곤과 같은 캐리어 가스 및 NF3와 같은 불소-함유 가스를 포함하는 프로세스 가스를 분해시킨다.
개시된 몇 개의 실시예들로, 본 발명의 범주를 벗어나지 않고 다양한 변형, 선택적 구성, 및 등가물들이 이용될 수 있다는 것을 당업자들은 인식할 것이다. 부가적으로, 공지된 프로세스들 및 부재들의 수는 본 발명이 불필요하게 불명료해지는 것을 방지하기 위해 개시되지 않았다. 따라서, 상기 설명은 본 발명의 버머주를 제한하는 것으로 선택되어서는 안된다.
값들이 범위가 제공될 때, 별다른 명확한 지시가 없다면, 이들 범위의 상한 치 및 하한치 사이에서 각각 하한치 유니트의 10 정도의 중간 값이 특정하게 개시된다는 것이 이해될 것이다. 임의의 언급된 값 또는 언급된 범위에서의 중간 값과 임의의 다른 언급된 또는 언급된 범위에서의 중간 값 간에 각각의 작은 범위가 포함된다. 이들 작은 범위들의 상한치 및 하한치들은 상기 범위에 독립적으로 포함되거나 배제될 수 있으며, 작은 범위내에 제한치들중 하나 또는 둘다가 포함되거나 또는 둘다가 포함되지 않는 경우 각각의 범위는 본 발명의 범주내에 포함되며, 언급된 범위에서 임의의 특정하게 배제된 제한치로 처리된다. 언급된 범위가 제한치들중 하나 또는 둘다를 포함하는 경우, 이들 포함된 제한치들중 하나 또는 둘다를 배제하는 범위가 포함된다.
본 발명 및 첨부되는 청구항들에서 사용되는 바와 같이, 단수 형태의 "a" "an" 및 "상기(the)"는 문맥상 별다른 언급이 없다면 다수의 참조물들을 포함한다. 따라서, 예를 들어, "프로세스(a process)"라는 참조물은 다수의 이러한 프로세스들을 포함하며, "상기 전구체(the precursor)"란 참조물은 당업자에게 공지되고 알려진 하나 이상의 전구체들 및 등가물들에 대한 참조물을 포함한다.
또한, 본 명세서 및 하기 청구항들에서 사용되는 포함하는("comprise," "comprising," "include," "including," 및 "includes")은 언급된 피쳐들, 정수들, 부품들 또는 단계들의 존재를 특정하기 위한 것으로, 하나 이상의 다른 피쳐들, 정수들, 부품들, 단계들, 동작들 또는 그룹들의 존재 또는 부가를 배제하는 것은 아니다.

Claims (36)

  1. 실리콘 산화물로 기판 상의 갭을 충진하는 방법으로서,
    유기-실리콘 전구체 및 산소 전구체를 증착 챔버에 주입하는 단계;
    상기 기판 상의 갭에 제 1 실리콘 산화물층을 형성하기 위해 상기 전구체들을 반응시키는 단계;
    상기 제 1 실리콘 산화물층에서 탄소 함량을 감소시키기 위해 상기 제 1 실리콘 산화물층을 에칭하는 단계;
    상기 제 1 실리콘 산화물층 상에 제 2 실리콘 산화물층을 형성하고, 상기 제 2 실리콘 산화물층에서 탄소 함량을 감소시키기 위해 상기 제 2 실리콘 산화물층을 에칭하는 단계; 및
    상기 갭이 충진된 후에 상기 실리콘 산화물층들을 어닐링하는 단계
    를 포함하고, 상기 제 1 실리콘 산화물층을 에칭하는 단계는,
    (1) 유기 분자들을 분해(dissociate)하고 상기 제 1 실리콘 산화물층에서 탄소의 일부를 제거하기 위해 제 1 플라즈마 밀도를 갖는 제 1 플라즈마에 상기 제 1 실리콘 산화물층을 노출시키는 단계; 및
    (2) 상기 제 1 실리콘 산화물층에서 수산기들의 일부를 제거하기 위해 제 2 플라즈마 밀도를 갖는 제 2 산소 플라즈마에 상기 제 1 실리콘 산화물층을 노출시키는 단계 ? 상기 제 2 플라즈마 밀도는 상기 제 1 플라즈마 밀도보다 더 높음 ?
    를 포함하는, 실리콘 산화물로 기판 상의 갭을 충진하는 방법.
  2. 제 1 항에 있어서,
    상기 산소 전구체는 상기 반응 챔버의 외부에서 생성되는 원자형 산소를 포함하는,
    실리콘 산화물로 기판 상의 갭을 충진하는 방법.
  3. 제 2 항에 있어서,
    상기 원자형 산소는,
    아르곤을 포함하는 가스 혼합물로부터 플라즈마를 형성하는 단계; 및
    상기 플라즈마에 산소 전구체를 주입하는 단계 ? 상기 산소 전구체는 상기 원자형 산소를 형성하도록 분해됨 ?
    에 의해 형성되는, 실리콘 산화물로 기판 상의 갭을 충진하는 방법.
  4. 제 3 항에 있어서,
    상기 산소 전구체는 분자형 산소, 오존 및 질소 이산화물로 이루어진 그룹에서 선택되는,
    실리콘 산화물로 기판 상의 갭을 충진하는 방법.
  5. 제 2 항에 있어서,
    상기 원자형 산소는,
    광분해(photodissociation) 챔버 내에 산소 전구체를 주입하는 단계; 및
    상기 산소 전구체를 자외선 광에 노출시키는 단계 ? 상기 자외선 광은 원자형 산소를 형성하기 위해 상기 산소 전구체를 분해시킴 ?
    에 의해 형성되는, 실리콘 산화물로 기판 상의 갭을 충진하는 방법.
  6. 제 2 항에 있어서,
    상기 유기-실리콘 전구체 및 상기 원자형 산소는 상기 반응 챔버 내로 주입된 이후까지 혼합되지 않는,
    실리콘 산화물로 기판 상의 갭을 충진하는 방법.
  7. 제 1 항에 있어서,
    상기 유기-실리콘 전구체는 디메틸실란, 트리메틸실란, 테트라메틸실란, 디에틸실란, 테트라메틸오르쏘실리케이트(TMOS), 테트라에틸오르쏘실리케이트(TEOS), 옥타메틸트리실록산(OMTS), 옥타메틸시클로테트라실록산(OMCTS), 테트라메틸시클로테트라실록산(TOMCATS), DMDMOS, DEMS, 메틸 트리에톡시실란(triethoxysilane) (MTES), 페닐디메틸실란(phenyldimethylsilane), 또는 페닐실란(phenylsilane)을 포함하는,
    실리콘 산화물로 기판 상의 갭을 충진하는 방법.
  8. 제 1 항에 있어서,
    상기 제 1 및 제 2 실리콘 산화물층은 각각 100Å 내지 200Å의 두께를 갖는,
    실리콘 산화물로 기판 상의 갭을 충진하는 방법.
  9. 제 1 항에 있어서,
    상기 제 2 실리콘 산화물층을 에칭하는 단계는,
    상기 제 1 플라즈마 밀도를 갖는 상기 제 1 플라즈마에 상기 제 2 실리콘 산화물층을 노출시키는 단계 ? 상기 제 1 플라즈마는 상기 제 2 실리콘 산화물층 내의 탄소 분자들을 분해시킴 ?; 및
    상기 제 2 플라즈마 밀도를 갖는 상기 제 2 산소 플라즈마에 상기 제 2 실리콘 산화물층을 노출시키는 단계 ? 상기 제 2 산소 플라즈마는 상기 제 2 실리콘 산화물층 내의 실리콘-수산화물 결합들을 분해시킴 ?
    를 포함하는, 실리콘 산화물로 기판 상의 갭을 충진하는 방법.
  10. 제 1 항에 있어서,
    상기 실리콘 산화물층들을 어닐링하는 단계는 800℃ 이상의 온도에서 건식 비-반응성 가스로 어닐링하는 것을 포함하는,
    실리콘 산화물로 기판 상의 갭을 충진하는 방법.
  11. 제 10 항에 있어서,
    상기 비-반응성 가스는 질소(N2)이며 상기 온도는 900℃인,
    실리콘 산화물로 기판 상의 갭을 충진하는 방법.
  12. 제 1 항에 있어서,
    상기 방법은 상기 제 1 및 제 2 실리콘 산화물층 상에 부가적인 실리콘 산화물층들을 형성하는 단계를 포함하며, 각각의 부가적인 실리콘 산화물층은 50Å 내지 500Å의 두께를 갖는,
    실리콘 산화물로 기판 상의 갭을 충진하는 방법.
  13. 제 12 항에 있어서,
    상기 부가적인 실리콘 산화물층들은 상기 제 1 및 제 2 실리콘 산화물층과 동일한 방식으로 에칭되는,
    실리콘 산화물로 기판 상의 갭을 충진하는 방법.
  14. 제 12 항에 있어서,
    상기 실리콘 산화물층들의 전체 두께는 500Å 내지 10,000Å인,
    실리콘 산화물로 기판 상의 갭을 충진하는 방법.
  15. 제 1 항에 있어서,
    상기 어닐링된 실리콘 산화물층들은 2:1 이하의 습식 에칭 속도 비율(WERR)을 갖는,
    실리콘 산화물로 기판 상의 갭을 충진하는 방법.
  16. 제 1 항에 있어서,
    상기 어닐링된 실리콘 산화물층들은 1.8:1 내지 1.4:1의 습식 에칭 속도 비율(WERR)을 갖는,
    실리콘 산화물로 기판 상의 갭을 충진하는 방법.
  17. 제 1 항에 있어서,
    상기 어닐링된 실리콘 산화물층들은 4.0 이하의 k-값을 갖는,
    실리콘 산화물로 기판 상의 갭을 충진하는 방법.
  18. 제 1 항에 있어서,
    상기 방법은 상기 전구체들을 상기 반응 챔버에 주입하기 이전에 고밀도 플라즈마로 상기 기판을 예비처리하는 단계를 더 포함하는,
    실리콘 산화물로 기판 상의 갭을 충진하는 방법.
  19. 제 1 항에 있어서,
    상기 갭은 5:1 이상의 높이 대 폭 종횡비를 갖는,
    실리콘 산화물로 기판 상의 갭을 충진하는 방법.
  20. 제 1 항에 있어서,
    상기 갭은 13:1 이상의 높이 대 폭 종횡비를 갖는,
    실리콘 산화물로 기판 상의 갭을 충진하는 방법.
  21. 기판 상에 다중층 실리콘 산화물막을 형성하는 방법으로서,
    상기 기판 상에 다수의 실리콘 산화물층들을 형성하는 단계; 및
    상기 다수의 실리콘 산화물층들을 어닐링하는 단계
    를 포함하고, 각각의 실리콘 산화물층은 100Å 내지 200Å의 두께를 가지며, 각각의 실리콘 산화물층은,
    (i) 유기-실리콘 전구체와 원자형 산소 전구체를 반응 챔버에 주입하는 단계,
    (ii) 상기 기판 상에 상기 실리콘 산화물층을 형성하기 위해 상기 전구체들을 반응시키는 단계, 및
    (iii) 상기 실리콘 산화물층 내에서 불순물들을 감소시키기 위해 상기 실리콘 산화물층을 에칭하는 단계에 의해 형성되고, 상기 실리콘 산화물층을 에칭하는 단계는,
    (1) 유기 분자들을 분해하고 상기 실리콘 산화물층에서 탄소의 일부를 제거하기 위해 제 1 플라즈마 밀도를 갖는 제 1 플라즈마에 상기 실리콘 산화물층을 노출시키는 단계; 및
    (2) 상기 실리콘 산화물층에서 수산기들의 일부를 제거하기 위해 제 2 플라즈마 밀도를 갖는 제 2 산소 플라즈마에 상기 실리콘 산화물층을 노출시키는 단계 ? 상기 제 2 플라즈마 밀도는 상기 제 1 플라즈마 밀도보다 더 높음 ?
    를 포함하는, 기판 상에 다중층 실리콘 산화물막을 형성하는 방법.
  22. 제 21 항에 있어서,
    상기 원자형 산소 전구체는 상기 반응 챔버 외부에서 생성되며, 상기 유기-실리콘 및 원자형 산소 전구체들은 상기 반응 챔버 내에 주입된 이후까지 혼합되지 않는,
    기판 상에 다중층 실리콘 산화물막을 형성하는 방법.
  23. 삭제
  24. 제 21 항에 있어서,
    상기 다수의 실리콘 산화물층들을 어닐링하는 단계는 열적 어닐링, 스팀 어닐링, 플라즈마 어닐링, 자외선광 어닐링, e-빔 어닐링 또는 마이크로파 어닐링을 포함하는,
    기판 상에 다중층 실리콘 산화물막을 형성하는 방법.
  25. 제 21 항에 있어서,
    상기 다수의 실리콘 산화물층들을 어닐링하는 단계는,
    스팀의 존재하에 제 1 어닐링 온도로 상기 기판을 가열하는 단계; 및
    건식 질소에서 제 2 어닐링 온도로 상기 기판을 가열하는 단계를 포함하는,
    기판 상에 다중층 실리콘 산화물막을 형성하는 방법.
  26. 제 25 항에 있어서,
    상기 제 1 어닐링 온도는 650℃이고 상기 제 2 어닐링 온도는 900℃인,
    기판 상에 다중층 실리콘 산화물막을 형성하는 방법.
  27. 제 21 항에 있어서,
    상기 다수의 실리콘 산화물층들 각각은 125Å/min 내지 2㎛/min의 속도(rate)로 형성되는,
    기판 상에 다중층 실리콘 산화물막을 형성하는 방법.
  28. 제 21 항에 있어서,
    상기 다수의 실리콘 산화물층들 각각은 3분 이하로 에칭되는,
    기판 상에 다중층 실리콘 산화물막을 형성하는 방법.
  29. 제 21 항에 있어서,
    상기 다수의 실리콘 산화물층들은 30분 이하로 어닐링되는,
    기판 상에 다중층 실리콘 산화물막을 형성하는 방법.
  30. 제 21 항에 있어서,
    상기 다수의 실리콘 산화물층들은 1.8:1 내지 1.4:1의 습식 에칭 속도 비율(WERR)을 갖는,
    기판 상에 다중층 실리콘 산화물막을 형성하는 방법.
  31. 제 21 항에 있어서,
    상기 다수의 실리콘 산화물층들은 4.0 이하의 k-값을 갖는,
    기판 상에 다중층 실리콘 산화물막을 형성하는 방법.
  32. 제 21 항에 있어서,
    상기 다중층 실리콘 산화물막은 1000Å 내지 3000Å의 두께를 갖는,
    기판 상에 다중층 실리콘 산화물막을 형성하는 방법.
  33. 삭제
  34. 삭제
  35. 삭제
  36. 삭제
KR1020087031774A 2006-05-30 2007-05-29 실리콘 이산화물의 막 품질을 강화시키는 신규한 증착-플라즈마 경화 사이클 프로세스 KR101115750B1 (ko)

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
US80348106P 2006-05-30 2006-05-30
US60/803,481 2006-05-30
US11/753,968 US7902080B2 (en) 2006-05-30 2007-05-25 Deposition-plasma cure cycle process to enhance film quality of silicon dioxide
US11/753,968 2007-05-25

Publications (2)

Publication Number Publication Date
KR20090019865A KR20090019865A (ko) 2009-02-25
KR101115750B1 true KR101115750B1 (ko) 2012-03-07

Family

ID=38779413

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020087031774A KR101115750B1 (ko) 2006-05-30 2007-05-29 실리콘 이산화물의 막 품질을 강화시키는 신규한 증착-플라즈마 경화 사이클 프로세스

Country Status (6)

Country Link
EP (1) EP2036120A4 (ko)
JP (1) JP5225268B2 (ko)
KR (1) KR101115750B1 (ko)
CN (1) CN101454877B (ko)
TW (1) TWI366876B (ko)
WO (1) WO2007140377A2 (ko)

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20160061129A (ko) * 2014-11-21 2016-05-31 주식회사 원익아이피에스 적층막 제조방법
KR20210016804A (ko) 2019-08-05 2021-02-17 한양대학교 산학협력단 탄소 함유 박막의 증착방법

Families Citing this family (30)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7498273B2 (en) * 2006-05-30 2009-03-03 Applied Materials, Inc. Formation of high quality dielectric films of silicon dioxide for STI: usage of different siloxane-based precursors for harp II—remote plasma enhanced deposition processes
WO2009114617A1 (en) * 2008-03-14 2009-09-17 Applied Materials, Inc. Methods for oxidation of a semiconductor device
US8329587B2 (en) * 2009-10-05 2012-12-11 Applied Materials, Inc. Post-planarization densification
CN102054734B (zh) * 2009-11-10 2013-01-30 中芯国际集成电路制造(上海)有限公司 提高晶圆沟道填充能力的方法
US8528224B2 (en) * 2009-11-12 2013-09-10 Novellus Systems, Inc. Systems and methods for at least partially converting films to silicon oxide and/or improving film quality using ultraviolet curing in steam and densification of films using UV curing in ammonia
US8512809B2 (en) 2010-03-31 2013-08-20 General Electric Company Method of processing multilayer film
US8318584B2 (en) * 2010-07-30 2012-11-27 Applied Materials, Inc. Oxide-rich liner layer for flowable CVD gapfill
US10325773B2 (en) 2012-06-12 2019-06-18 Novellus Systems, Inc. Conformal deposition of silicon carbide films
US9234276B2 (en) 2013-05-31 2016-01-12 Novellus Systems, Inc. Method to obtain SiC class of films of desired composition and film properties
US8889566B2 (en) 2012-09-11 2014-11-18 Applied Materials, Inc. Low cost flowable dielectric films
US9384982B2 (en) 2012-12-31 2016-07-05 Fei Company Depositing material into high aspect ratio structures
US9018108B2 (en) 2013-01-25 2015-04-28 Applied Materials, Inc. Low shrinkage dielectric films
CN103972146B (zh) * 2013-01-30 2016-12-28 中芯国际集成电路制造(上海)有限公司 沟槽隔离结构的形成方法
US9354508B2 (en) 2013-03-12 2016-05-31 Applied Materials, Inc. Planarized extreme ultraviolet lithography blank, and manufacturing and lithography systems therefor
WO2014149336A1 (en) 2013-03-15 2014-09-25 Applied Materials, Inc. Apparatus and methods for pulsed photo-excited deposition and etch
JP5943888B2 (ja) * 2013-08-28 2016-07-05 株式会社東芝 半導体装置の製造方法
US20150340274A1 (en) * 2014-05-23 2015-11-26 GlobalFoundries, Inc. Methods for producing integrated circuits with an insultating layer
US9412581B2 (en) 2014-07-16 2016-08-09 Applied Materials, Inc. Low-K dielectric gapfill by flowable deposition
KR102655396B1 (ko) * 2015-02-23 2024-04-04 어플라이드 머티어리얼스, 인코포레이티드 고품질 얇은 필름들을 형성하기 위한 사이클식 순차 프로세스들
US11028477B2 (en) * 2015-10-23 2021-06-08 Applied Materials, Inc. Bottom-up gap-fill by surface poisoning treatment
US9935005B2 (en) * 2015-11-13 2018-04-03 Applied Materials, Inc. Techniques for filling a structure using selective surface modification
US10115601B2 (en) * 2016-02-03 2018-10-30 Tokyo Electron Limited Selective film formation for raised and recessed features using deposition and etching processes
US9768034B1 (en) * 2016-11-11 2017-09-19 Applied Materials, Inc. Removal methods for high aspect ratio structures
CN106783535A (zh) * 2016-11-28 2017-05-31 武汉新芯集成电路制造有限公司 一种改善peteos薄膜缺陷的方法和半导体结构
WO2018191484A1 (en) * 2017-04-13 2018-10-18 Applied Materials, Inc. Method and apparatus for deposition of low-k films
CN109166787B (zh) * 2018-08-26 2019-06-28 合肥安德科铭半导体科技有限公司 一种氧化硅薄膜的可流动化学气相沉积方法
US20200090980A1 (en) * 2018-09-13 2020-03-19 Nanya Technology Corporation Method for preparing semiconductor structures
CN113195786A (zh) 2018-10-19 2021-07-30 朗姆研究公司 用于间隙填充的远程氢等离子体暴露以及掺杂或未掺杂硅碳化物沉积
JP7227122B2 (ja) 2019-12-27 2023-02-21 株式会社Kokusai Electric 基板処理方法、半導体装置の製造方法、基板処理装置、およびプログラム
JP7072012B2 (ja) 2020-02-27 2022-05-19 株式会社Kokusai Electric 基板処理方法、半導体装置の製造方法、基板処理装置、及びプログラム

Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5393708A (en) 1992-10-08 1995-02-28 Industrial Technology Research Institute Inter-metal-dielectric planarization process
US20010021595A1 (en) 1998-10-30 2001-09-13 Taiwan Semiconductor Manufacturing Company Sub-atmospheric pressure thermal chemical vapor deposition (SACVD) trench isolation method with attenuated surface sensitivity
US20040241342A1 (en) * 2003-05-27 2004-12-02 Applied Materials, Inc. Methods and systems for high-aspect-ratio gapfill using atomic-oxygen generation

Family Cites Families (18)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH0616505B2 (ja) * 1987-08-18 1994-03-02 株式会社半導体エネルギ−研究所 絶縁膜形成方法
JP2980340B2 (ja) * 1990-04-28 1999-11-22 科学技術振興事業団 Cvd方法
JP2684942B2 (ja) * 1992-11-30 1997-12-03 日本電気株式会社 化学気相成長法と化学気相成長装置および多層配線の製造方法
US5576071A (en) * 1994-11-08 1996-11-19 Micron Technology, Inc. Method of reducing carbon incorporation into films produced by chemical vapor deposition involving organic precursor compounds
US5558717A (en) 1994-11-30 1996-09-24 Applied Materials CVD Processing chamber
JPH0982696A (ja) * 1995-09-18 1997-03-28 Toshiba Corp 半導体装置の製造方法および半導体製造装置
JP3522917B2 (ja) * 1995-10-03 2004-04-26 株式会社東芝 半導体装置の製造方法および半導体製造装置
JPH11145131A (ja) * 1997-03-18 1999-05-28 Toshiba Corp 半導体装置の製造方法及び半導体製造装置、及び半導体装置
US6413583B1 (en) * 1998-02-11 2002-07-02 Applied Materials, Inc. Formation of a liquid-like silica layer by reaction of an organosilicon compound and a hydroxyl forming compound
US6387207B1 (en) 2000-04-28 2002-05-14 Applied Materials, Inc. Integration of remote plasma generator with semiconductor processing chamber
JP4698813B2 (ja) * 2000-10-19 2011-06-08 ルネサスエレクトロニクス株式会社 半導体装置およびその製造方法
US6531413B2 (en) * 2000-12-05 2003-03-11 United Microelectronics Corp. Method for depositing an undoped silicate glass layer
US6770521B2 (en) * 2001-11-30 2004-08-03 Texas Instruments Incorporated Method of making multiple work function gates by implanting metals with metallic alloying additives
US7723242B2 (en) * 2004-03-15 2010-05-25 Sharp Laboratories Of America, Inc. Enhanced thin-film oxidation process
US7205248B2 (en) * 2003-02-04 2007-04-17 Micron Technology, Inc. Method of eliminating residual carbon from flowable oxide fill
US6867086B1 (en) * 2003-03-13 2005-03-15 Novellus Systems, Inc. Multi-step deposition and etch back gap fill process
US6830624B2 (en) 2003-05-02 2004-12-14 Applied Materials, Inc. Blocker plate by-pass for remote plasma clean
KR100538882B1 (ko) * 2003-06-30 2005-12-23 주식회사 하이닉스반도체 반도체 소자의 제조 방법

Patent Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5393708A (en) 1992-10-08 1995-02-28 Industrial Technology Research Institute Inter-metal-dielectric planarization process
US20010021595A1 (en) 1998-10-30 2001-09-13 Taiwan Semiconductor Manufacturing Company Sub-atmospheric pressure thermal chemical vapor deposition (SACVD) trench isolation method with attenuated surface sensitivity
US20040241342A1 (en) * 2003-05-27 2004-12-02 Applied Materials, Inc. Methods and systems for high-aspect-ratio gapfill using atomic-oxygen generation

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20160061129A (ko) * 2014-11-21 2016-05-31 주식회사 원익아이피에스 적층막 제조방법
KR20210016804A (ko) 2019-08-05 2021-02-17 한양대학교 산학협력단 탄소 함유 박막의 증착방법

Also Published As

Publication number Publication date
WO2007140377A2 (en) 2007-12-06
TW200807558A (en) 2008-02-01
EP2036120A4 (en) 2012-02-08
TWI366876B (en) 2012-06-21
KR20090019865A (ko) 2009-02-25
EP2036120A2 (en) 2009-03-18
WO2007140377A3 (en) 2008-08-28
CN101454877B (zh) 2012-07-04
JP5225268B2 (ja) 2013-07-03
WO2007140377A9 (en) 2008-10-16
CN101454877A (zh) 2009-06-10
JP2009539266A (ja) 2009-11-12

Similar Documents

Publication Publication Date Title
KR101115750B1 (ko) 실리콘 이산화물의 막 품질을 강화시키는 신규한 증착-플라즈마 경화 사이클 프로세스
US7902080B2 (en) Deposition-plasma cure cycle process to enhance film quality of silicon dioxide
KR101215033B1 (ko) 실리콘 함유 전구체 및 원자 산소를 이용하는 고품질플로우-형 실리콘 이산화물의 화학적 기상 증착
US7498273B2 (en) Formation of high quality dielectric films of silicon dioxide for STI: usage of different siloxane-based precursors for harp II—remote plasma enhanced deposition processes
KR101161074B1 (ko) 기판상에 실리콘 옥사이드 층을 형성시키는 방법
TWI395269B (zh) 以harpii 處理固化烷氧矽烷前驅物沉積之二氧化矽薄膜的方法
JP2009539268A (ja) シリコン含有前駆物質と原子酸素を用いた高品質流動状二酸化シリコンの化学気相堆積
US20110151676A1 (en) Methods of thin film process
US7674684B2 (en) Deposition methods for releasing stress buildup

Legal Events

Date Code Title Description
A201 Request for examination
E902 Notification of reason for refusal
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right
GRNT Written decision to grant
FPAY Annual fee payment

Payment date: 20150129

Year of fee payment: 4

FPAY Annual fee payment

Payment date: 20151230

Year of fee payment: 5

FPAY Annual fee payment

Payment date: 20161229

Year of fee payment: 6