CN101454877B - 提高二氧化硅膜品质的新颖沉积-等离子硬化循环方法 - Google Patents

提高二氧化硅膜品质的新颖沉积-等离子硬化循环方法 Download PDF

Info

Publication number
CN101454877B
CN101454877B CN2007800200523A CN200780020052A CN101454877B CN 101454877 B CN101454877 B CN 101454877B CN 2007800200523 A CN2007800200523 A CN 2007800200523A CN 200780020052 A CN200780020052 A CN 200780020052A CN 101454877 B CN101454877 B CN 101454877B
Authority
CN
China
Prior art keywords
silicon dioxide
dioxide layer
precursor
layer
annealing
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Active
Application number
CN2007800200523A
Other languages
English (en)
Other versions
CN101454877A (zh
Inventor
X·陈
S·D·耐马尼
S·文卡特拉马
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Applied Materials Inc
Original Assignee
Applied Materials Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Priority claimed from US11/753,968 external-priority patent/US7902080B2/en
Application filed by Applied Materials Inc filed Critical Applied Materials Inc
Publication of CN101454877A publication Critical patent/CN101454877A/zh
Application granted granted Critical
Publication of CN101454877B publication Critical patent/CN101454877B/zh
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/56After-treatment
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/04Coating on selected surface areas, e.g. using masks
    • C23C16/045Coating cavities or hollow spaces, e.g. interior of tubes; Infiltration of porous substrates
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/40Oxides
    • C23C16/401Oxides containing silicon
    • C23C16/402Silicon dioxide
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/02277Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition the reactions being activated by other means than plasma or thermal, e.g. photo-CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02318Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment
    • H01L21/02321Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment introduction of substances into an already existing insulating layer
    • H01L21/02323Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment introduction of substances into an already existing insulating layer introduction of oxygen
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02318Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment
    • H01L21/02337Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment treatment by exposure to a gas or vapour
    • H01L21/0234Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment treatment by exposure to a gas or vapour treatment by exposure to a plasma
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02318Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment
    • H01L21/02359Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment treatment to change the surface groups of the insulating layer
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02612Formation types
    • H01L21/02617Deposition types
    • H01L21/0262Reduction or decomposition of gaseous compounds, e.g. CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/76Making of isolation regions between components
    • H01L21/762Dielectric regions, e.g. EPIC dielectric isolation, LOCOS; Trench refilling techniques, SOI technology, use of channel stoppers
    • H01L21/76224Dielectric regions, e.g. EPIC dielectric isolation, LOCOS; Trench refilling techniques, SOI technology, use of channel stoppers using trench refilling with dielectric materials
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L22/00Testing or measuring during manufacture or treatment; Reliability measurements, i.e. testing of parts without further processing to modify the parts as such; Structural arrangements therefor
    • H01L22/10Measuring as part of the manufacturing process
    • H01L22/12Measuring as part of the manufacturing process for structural parameters, e.g. thickness, line width, refractive index, temperature, warp, bond strength, defects, optical inspection, electrical measurement of structural dimensions, metallurgic measurement of diffusions
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02126Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing Si, O, and at least one of H, N, C, F, or other non-metal elements, e.g. SiOC, SiOC:H or SiONC
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02164Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon oxide, e.g. SiO2
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02205Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
    • H01L21/02208Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si
    • H01L21/02211Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound being a silane, e.g. disilane, methylsilane or chlorosilane
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02205Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
    • H01L21/02208Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si
    • H01L21/02214Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound comprising silicon and oxygen
    • H01L21/02216Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound comprising silicon and oxygen the compound being a molecule comprising at least one silicon-oxygen bond and the compound having hydrogen or an organic group attached to the silicon or oxygen, e.g. a siloxane
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/02274Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition in the presence of a plasma [PECVD]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/0228Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition deposition by cyclic CVD, e.g. ALD, ALE, pulsed CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/0001Technical content checked by a classifier
    • H01L2924/0002Not covered by any one of groups H01L24/00, H01L24/00 and H01L2224/00

Landscapes

  • Engineering & Computer Science (AREA)
  • Chemical & Material Sciences (AREA)
  • Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Computer Hardware Design (AREA)
  • General Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • Plasma & Fusion (AREA)
  • Inorganic Chemistry (AREA)
  • Formation Of Insulating Films (AREA)
  • Chemical Vapour Deposition (AREA)

Abstract

描述以二氧化硅充填基板上间隙的方法。该方法包括下列步骤:将有机硅前体与氧前体导入沉积腔,使前体开始反应以形成第一二氧化硅层于基板上的间隙内,然后蚀刻该第一二氧化硅层以减少该层中的碳含量。该方法亦包括形成第二二氧化硅层于该第一层上,然后蚀刻该第二层以减少该第二层中的碳含量。间隙被填满后,退火处理该些二氧化硅层。

Description

提高二氧化硅膜品质的新颖沉积-等离子硬化循环方法
相关申请的交叉引用
本申请要求2006年5月30日提交的美国临时专利申请号60/803,481的优先权。本申请还相关于共同转让的美国临时专利申请号60/803,493,该申请由Ingle等人于2006年5月30日提交并题为“使用含硅前体和氧原子的高质量流体状二氧化硅的化学汽相沉积”。本申请还相关于美国临时专利申请号60/803,489,该申请由Nemani等人于2006年5月30日提交并题为“用于沉积并固化低K值膜用于间隙填充和同形膜施加的方法”。此外,本申请相关于美国临时专利申请号60/803,499,该申请由Lubormirsky等人于2006年5月30日提交并题为“用于介电间隙填充的工艺腔”。优先权美国临时专利申请和相关申请的全部内容通过引用结合于此用于所用目的。
技术领域
本发明是关于一种提高二氧化硅膜品质的方法,更明确地,本发明是关于一种新颖沉积-等离子硬化循环处理方法。
背景技术
随着集成电路上的器件密度持续地增加,器件结构之间的尺寸与距离则持续减小。而结构的间隙宽度和结构之间的沟槽宽度变小则提高这些构造中高度对宽度的比值(即,深宽比(aspect ratio))。换言之,集成电路组件的持续微型化缩减这些组件之中与之间的水平宽度的速度快于缩减他们垂直高度的速度。
虽然制造持续增加深宽比的器件结构的能力让更多结构(例如晶体管、电容器、二极管等等)设置在半导体芯片基板的相同表面区域上,但这亦产生制造问题。这些问题的其中之一是难以在充填工艺过程中完全填满这些结构内的间隙与沟槽而不产生空隙或裂缝。以例如二氧化硅的介电材料来充填间隙与沟槽,使邻近的器件结构彼此绝缘是必须的。假若让间隙处于空缺状态,将会有太多的电噪声与电流渗漏让器件无法正常运转(或完全无法运转)。
当间隙宽度较大(也就是深宽比较小)时,相对容易以快速沉积的介电材料来充填间隙。沉积材料会覆盖间隙的侧边与底部,且由底部持续向上继续充填直到裂隙或沟槽被完全填满为止。然而随着深宽比的增加,变得更难以充填深窄型沟槽且不具有充填容积中出现空隙或裂缝的阻碍。
介电层中的空隙与裂缝导致半导体器件制造上与制成器件中均产生问题。空隙与裂缝随机形成于介电层中且具有无法预期的尺寸、形状、位置与整体密度。这导致该层的沉积后处理(诸如,均匀的蚀刻、研磨与退火等)的无法预期与不一致性。制成器件中的空隙与裂缝亦对器件结构中的间隙与沟槽等介电特性产生变化。由于器件元件之内与之间的电干扰、电荷渗漏(charge leakage)甚至短路(shorting),会导致不稳定且较差的器件效能。
技术已发展到可在沉积介电材料于高深宽比值结构时,减少空隙与裂缝的形成。这些技术包括减缓介电材料的沉积速率,因此介电材料保持更高程度的同形(conformal)于沟槽的侧壁与底部。更高程度的同形沉积可减少下述实情的发生,沉积材料在沟槽的顶部或中部向上增进最终封起空隙的顶部。然而不幸的是,减缓沉积速率意味着增加沉积时间,而这降低处理效率与生产速率。
另一抑制空隙形成的技术为提高沉积的介电材料的流动性。更具流动性的材料可更快地充填空隙与裂缝,且避免该材料变为充填容积中的永久缺陷。提高二氧化硅介电材料的流动性通常包括,加入水蒸气或过氧化物(例如过氧化氢(H2O2))至用来形成氧化物层的前体混合物中。水蒸气在沉积膜中产生更多的硅醇(Si-OH)键合,此键合给予该薄膜高流动性。然而不幸的是,在二氧化硅沉积时提高二氧化硅的潮湿度亦不利地影响沉积膜的特性,该特性包括沉积膜的密度(即,高湿式蚀刻速率比值(wet etch rate ratio,WERR))与介电特性(即,高k值)。
因此,仍需要可沉积无空隙与无裂缝的介电膜进入间隙、沟槽与其它具有高深宽比值的器件结构中的介电沉积系统与方法。同时仍需要可用高沉积速率与流动特性来沉积介电材料的系统与方法(不会不利地影响完成充填的品质)。通过本发明解决介电膜沉积的这些与其它方面。
发明内容
本发明的实施例包括以二氧化硅充填基板上间隙的方法。这些方法包括下列步骤:将有机硅前体与氧前体引进沉积腔;使这些前体反应以形成第一二氧化硅层于基板上的间隙中;然后蚀刻该第一二氧化硅层以减少该层中的碳含量。这些方法亦包括形成第二二氧化硅层于该第一层上,然后蚀刻该第二层以减少该层中的碳含量。间隙被填满后,退火处理该些二氧化硅层。
本发明的实施例亦包括形成多层式二氧化硅膜于基板上的方法。这些方法包括形成多个二氧化硅层于基板上的步骤,而各个二氧化硅层的厚度为约
Figure GSB00000647564100031
至约这些层由下列步骤形成:(i)将有机硅前体与氧原子前体导入反应腔,(ii)使该些前体反应以形成该层于基板上,以及(iii)蚀刻该层以减少该层中的杂质。接着以退火处理该多个层。
本发明的实施例又进一步包括执行多循环式以二氧化硅由底部向上充填晶片基板上的间隙的系统。这些系统包括沉积腔,该沉积腔中固持着含间隙的基板;以及耦接至该沉积腔的远程等离子产生系统,该等离子产生系统是用来产生氧原子前体。这些系统亦包括有机硅前体源,用来提供有机硅前体给该沉积腔;以及前体处理系统,用来引导氧原子前体流与硅前体流进入该沉积腔。前体处理系统保持氧原子与硅前体不混合直到它们进入沉积腔。该系统又进一步包括蚀刻系统,以蚀刻在多循环式间隙充填的每一循环中沉积的各个二氧化硅层。
附加的实施例与特征一部分在接下来的描述中提出,而一部分那些熟悉技术人士依靠说明书的检验可显而易见,或可通过本发明的实施得知。通过描述于说明书的构造、组合与方法可理解与获得本发明的特征与优点。
附图说明
可通过参照说明书剩余部分与图式来实现对本发明性质与优点的进一步了解,在图式内不同图中相同的组件符号代表类似的部件。某些实例中,子标签关联于组件符号且跟在连字号后以代表许多类似部件的其中之一。当引用组件编号而没有详述存在的子标签时,意指代表所有这类的多个类似组件。
图1是显示根据本发明实施例的多循环式二氧化硅层沉积方法的简要概述的流程图;
图2是描述根据本发明实施例制造多层式二氧化硅膜的方法的流程图;
图3是强调根据本发明实施例制造多层式二氧化硅膜的方法内两阶段式蚀刻步骤的流程图;
图4是描述根据本发明实施例制造多层式二氧化硅膜的方法的另一流程图;
图5A-F显示根据本发明实施例具有间隙结构的基板,该间隙结构逐渐以多层式二氧化硅膜充填;
图6A显示根据本发明实施例的基板处理系统的垂直剖面图,该系统可用来形成二氧化硅层;以及
图6B是根据本发明实施例的基板处理系统的系统监视器/控制器部件的简单图式。
具体实施方式
描述用于多层式、多循环式沉积二氧化硅于晶片基板的间隙内与表面上的系统与方法。各个氧化物层薄到(例如,约
Figure GSB00000647564100041
至约
Figure GSB00000647564100042
)足以让蚀刻工艺解离与移除诸如有机基团与氢氧基等杂质(这些杂质不利地影响该膜的品质与介电特性)。当已经沉积与蚀刻多个氧化物层后,执行退火处理以将该多个层形成高品质、低k值的二氧化硅膜。
由高度活化的氧原子与有机硅前体(例如,八甲基环四硅氢烷(OMCATS))的反应形成二氧化硅。首先在沉积发生的腔室外产生氧原子,且与有机硅前体保持分隔直到它们在腔室内混合。产生的二氧化硅富含碳且具高度流动性,从而提供容易流至狭窄间隙与沟槽底部的沉积膜。在蚀刻工艺至少移除沉积膜中某些较大的碳基团与氢氧基后,接着的氧化沉积物会流至该第一层上且被蚀刻成第二氧化物层。这个循环会反复许多次直到例如间隙或沟槽已通过多个二氧化硅层由底部向上填满。已经将这个多循环式工艺称为由底部向上的间隙充填。现在将描述关于本发明方法、产品与系统的额外详细内容。
示范性氧化物层形成工艺
图1显示根据本发明实施例的多循环式二氧化硅层沉积的简要概述的流程图。显示的方法100包括提供含间隙基板给沉积腔(步骤102)。该基板具有形成于该基板上的结构(包括间隙、沟槽等等),这些结构具有的深宽比(高度比上宽度)可能约5∶1或更高、7∶1或更高、10∶1或更高、13∶1或更高、15∶1或更高等等。
步骤104中,多个二氧化硅层接着形成于基板的间隙内(以及其它表面上)。通过在反应腔中使含氧前体与有机硅前体反应而沉积二氧化硅。含氧前体可包括沉积腔外远程产生的氧原子。氧原子可通过解离下列前体而产生:诸如氧气(O2)、臭氧(O3)、氮氧化合物(例如,一氧化氮(NO)、二氧化氮(NO2)、氧化亚氮(N2O)等等)、氢氧化合物(例如,水(H2O)、过氧化氢(H2O2)等等)、碳氧化合物(例如,一氧化碳(CO)、二氧化碳(CO2)等等)以及其它含氧前体与上述前体的组合物。
亦可由下列方式达到解离前体以产生氧原子:热解离、紫外光解离和/或等离子解离等方法。等离子解离包括在远程等离子产生腔内从氦、氩等击出等离子,且将该氧前体导引给等离子以产生氧原子前体。
首先在腔室内将氧原子导引给有机硅前体。有机硅前体可包括具有直接硅-碳键合的化合物与/或硅-氧-碳键合的化合物。有机硅烷类的硅前体的实例包括二甲基硅烷(dimethylsilane)、三甲基硅烷(trimethylsilane)、四甲基硅烷(tetramethylsilane)、二乙基硅烷(diethylsilane)、原硅酸四甲酯(tetramethylorthosilicate,TMOS)、原硅酸四乙酯(tetraethyl orthosilicate,TEOS)、八甲基三硅氧烷(octamethyl trisiloxane,OMTS)、八甲基环四硅氧烷(octamethylcyclotetrasiloxane,OMCTS)、四甲基环四硅氧烷(tetramethylcyclotetrasiloxane,TOMCATS)、二甲基二甲氧基硅烷(dimethyldimethoxysilane,DMDMOS)、二乙氧基甲基硅烷(diethoxymethylsilane,DEMS)、甲基三乙氧基硅烷(methyl triethoxysilane,MTES)、苯基二甲基硅烷(phenyldimethylsilane)、苯基硅烷(phenylsilane)等。
可将有机硅前体与载气在导入沉积腔前或导入沉积腔时混合。载气为非活性气体,该气体不过度干扰基板上氧化膜的形成。载气的实例包括氦、氖、氩与氢气(H2)等气体。
方法100的实施例中,氧原子与有机硅前体不混在一起直到被导入沉积腔。前体经由空间上分散的独立前体进入口(分散在反应腔周围)进入腔室。例如,氧原子前体由位于腔室顶部且位于基板正上方的一进入口(或进入口群)进入腔室。进入口将氧前体流指向垂直于基板沉积表面的方向。同时,硅前体由一个或多个环绕在沉积腔侧壁的进入口进入腔室。此类进入口将硅前体流指向大约平行于沉积表面的方向。
附加的实施例包括经由多端口式喷头的独立端口传递氧原子与硅前体。例如,位在基板上方的喷头可包括让前体进入沉积腔的开口的样式。以氧原子前体供应一部分开口,而以硅前体供应第二部分开口。经由不同部分开口传递的前体彼此之间是分离流动直到离开进入沉积腔。关于前体处理设备形式与设计的额外详细内容描述于共同转让的美国临时专利申请案(案号为A011162/T72700),由Lubomirsky在与本说明书相同日期提交且命名为“介电质间隙充填所用的处理腔”,该文献全文在此以参考资料的方式并入本文中。
当氧原子与硅前体反应于沉积腔内时,它们形成二氧化硅层于基板沉积表面上。初步的氧化物层具有出色的流动性,所以可快速地移动至基板表面上结构内的间隙底部。
各个氧化物层沉积后,将执行蚀刻步骤于该层上以移除杂质。这将包括将较大的有机基团解离成较小的含碳分子,以及至少解离某些Si-OH(硅醇)键合以形成水与二氧化硅。
沉积与蚀刻多个二氧化硅层后,将执行退火处理以进一步赶出水分而将该层转换成密集、高品质的氧化物膜。实施例包括在所有各个二氧化硅层已经经过沉积与蚀刻后执行退火处理。附加的实施例包括在一个或多个层形成后但在所有层的最终退火处理前的中间退火处理。例如,在沉积每2、3、4、5等层数后可执行中间退火处理,接着执行所有层的最终退火处理。
现在参照图2,显示描述根据本发明实施例制造多层式二氧化硅膜的方法200的流程图。方法200包括将前体导入包含基板的沉积腔(步骤202)。如同上述提到,前体包括氧原子前体与有机硅前体。在远程高密度等离子产生器中,供以4000至6000瓦特(例如,5500瓦特)的射频功率给组合气体流以产生氧原子,该组合气体流包括氩气(流速为例如约900至约1800sccm)与氧气(O2,流速为例如约600至约1200sccm)。
通过混合有机硅化合物(气体或液体)与载气(诸如,氦或氢气H2)将有机硅前体导入沉积腔。举例来说,以约600至约2400sccm的流速让氦通过室温液态有机硅前体(例如,八甲基环四硅氧烷「OMCTS」)而冒出,以提供流速约800至约1600mgm的八甲基环四硅氧烷流给腔室。
腔室中,前体彼此互相反应以形成第一氧化物层于基板上(步骤204)。氧化物层沉积期间腔室内的整体压力为例如约0.5Torr至约6Torr。较高的整体压力(例如,1.3Torr)将沉积更具流动特性的氧化物层,而较低的整体压力(例如,0.5Torr)将沉积更高程度的同形(conformal)氧化物层。由于氧原子为高度反应物质,所以反应腔内的沉积温度则相当地低(例如,约100℃或更低)。氧化物沉积速率在每分钟约
Figure GSB00000647564100071
至约2μm范围之间(例如,每分钟约
Figure GSB00000647564100072
至约
Figure GSB00000647564100073
之间;每分钟约
Figure GSB00000647564100074
等等)。该氧化物层的厚度约至约
Figure GSB00000647564100076
(例如,约
Figure GSB00000647564100077
至约)。
第一氧化物层形成后,停止前体流进入腔室,然后蚀刻第一氧化物层(步骤206)。蚀刻步骤可用来解离与移除该层内的杂质,且亦用来平面化该层。如同之后图3描述中所提到,蚀刻工艺可包括单一蚀刻步骤或多重蚀刻步骤。
蚀刻第一层后,接着重新将前体导入沉积腔(步骤208),然后进行反应以形成第二氧化物层于基板上(步骤210)。第二氧化物层可在与第一层相同的反应条件下形成,或在不同条件(诸如,腔室压力、温度、有机硅前体等等)下形成。
已经形成第二层后,第二层亦被蚀刻(步骤212)以减少杂质量和/或平面化该层。以蚀刻第一层所用的相同工艺来蚀刻第二层,或以不同工艺(诸如,不同的蚀刻步骤数、不同的蚀刻前体、不同的功率电平等等)来蚀刻第二层。
形成与蚀刻第二二氧化硅层(以及任何附加的氧化物层)后,接着退火处理氧化物层(步骤214)以形成均一、高品质的二氧化硅间隙充填物。最终的间隙充填物具有低于4.0(诸如,低于约3.5;低于约3.0等等)的介电常数(即,k值),以及低于2∶1(诸如,约1.8∶1至约1.4∶1)的湿式蚀刻速率比值(WERR)。整个充填容积内的间隙充填物为均一,且包含极少(如果有的话)的空隙或裂缝。
图3显示根据本发明实施例制造多层式二氧化硅膜的方法300的流程图(强调两阶段式蚀刻步骤)。方法300包括提供基板给反应腔(步骤302),然后将前体(诸如,氧与硅前体)导入反应腔(步骤304)。接着前体开始反应以形成二氧化硅层于基板上(步骤306),该层接着经历两阶段式蚀刻。
通过实施第一次蚀刻于氧化物层上(步骤308)开始两阶段式蚀刻。这第一次蚀刻包括利用较低密度的等离子来解离较大的有机分子且移除至少一部分该层中的碳。这较低密度的等离子蚀刻包括利用远程等离子供应(RPS)系统产生蚀刻氧化物层的氩/氧(Ar/O2)等离子。蚀刻环境包括诸如以约5500瓦特功率从流速1600sccm的氧气与400sccm的氩击出等离子,且将等离子导入压力约760mTorr的沉积腔。此种等离子蚀刻法可解离较大的碳基团且从该氧化物层移除碳杂质。
第一次蚀刻后,接着在较高的等离子密度下执行氧化物层的第二次蚀刻(步骤310),以移除该层中至少一部分的氢氧基。此种较高密度的等离子蚀刻法包括将该层暴露于以高功率射频场(例如,6000瓦特)解离氧分子流(例如,600sccm)形成的等离子。可将氧等离子导入压力为例如约8mTorr的沉积腔,然后与氧化物层中的氢氧基团(-OH group)反应以形成二氧化硅与水。
在形成于先前层上的下一氧化物层上重复沉积与蚀刻循环(步骤312)。接着增进沉积与蚀刻过的氧化物层直到达到预定的层数和/或薄膜厚度,之后退火处理该多个氧化物层(步骤314)。可用单一步骤或多个步骤执行退火处理。举例来说,可通过在实质上干燥的气氛(诸如,干燥的氮、氦、氩等等)中加热多个氧化物层到达约300℃至约1000℃之间的温度(例如,约600℃至约900℃之间)而执行单一步骤的退火处理。退火处理从沉积层中移除水分且进一步将硅醇(Si-OH)基团转换成二氧化硅。
多步式退火处理包括两步式退火处理,在两步式退火处理中该层初步经历湿式退火阶段,例如在蒸汽存在下加热该层到达例如约700℃。这之后可为干式退火阶段,该层在基本上没有水分的气氛(例如,干燥氮气N2)中被加热至更高的温度(例如,约900℃)。初步湿式退火处理可帮助水解附加硅碳(Si-C)键合成为硅醇(Si-OH)键合,而干式退火处理将硅醇键合(Si-OH)转换成二氧化硅键合以及从该层中赶出水分。
除了湿式与干式热退火处理外,可应用其它退火技术(单独或组合)来退火处理该多个氧化物层。这些退火技术包括蒸汽退火、等离子退火、紫外光退火、电子束退火和/或微波退火等。
现在参照图4,显示描述根据本发明实施例制造多层式二氧化硅膜的方法400的另一个流程图。方法400包括提供基板给沉积腔(步骤402),然后将前体(诸如,氧原子与有机硅前体)导入腔室内(步骤404)。使前体反应以形成二氧化硅层于基板上(步骤406),接着蚀刻该氧化物层(步骤408)。
在这个时刻,会执行检查来确定沉积的氧化物层的累积厚度是否已达到预先设定点(步骤410)。假若已经达到整体氧化物膜的预设厚度,那么将停止沉积与蚀刻循环,然后退火处理该膜(步骤412)。然而,假若尚未达到预定厚度,那么将发生另一次沉积与蚀刻循环以至少增加一或更多附加层给该氧化物膜。
确定该氧化物膜是否已经达到预定厚度可由下列方式来执行:测量沉积与蚀刻过的层的厚度;或计算达到所欲的薄膜厚度所需的层数。例如,假设每个沉积与蚀刻过的层的厚度为
Figure GSB00000647564100091
而所欲的薄膜厚度为1.2μm,那么需要执行12次沉积与蚀刻循环以形成该膜。各个沉积层的厚度可通过控制影响氧化物沉积速率的参数来设定,该参数包括诸如反应前体的类型与流速、沉积腔中的整体压力以及温度等参数。如上述提到,典型的氧化物层沉积速率是每分钟约
Figure GSB00000647564100101
至约
Figure GSB00000647564100102
(例如,约每分钟
Figure GSB00000647564100103
)。
图5A-F显示具有间隙结构的基板,利用多循环式沉积-蚀刻氧化物层形成工艺的实施例,以多层式二氧化硅膜逐渐填满该间隙。图5A显示基板502,在基板上已经形成间隙504。可以理解,显示于图5A-F中的间隙504以相当低的深宽比来绘示,是为了更清楚地显示氧化物充填层的过程。本间隙充填法的实施例包括不具空隙或裂缝的沉积进入间隙,且该间隙具有5∶1、6∶1、7∶1、8∶1、9∶1、10∶1、11∶1、12∶1、13∶1、14∶1与15∶1或更高的深宽比。
图5B显示沉积在间隙504内的第一氧化物层506a。形成该层的二氧化硅具有良好的流动特性,从而允许该膜快速地移动至间隙504的底部。因此,沉积在间隙504底部的氧化物厚度会比沿着间隙侧壁的氧化物厚度来得大。
图5C与5D显示附加的氧化物层506b、506c等,将这些层沉积在间隙504中之前已沉积且蚀刻过的层上。这些附加的层从间隙504的底部向上形成,直到达到所欲氧化物膜的厚度(例如,间隙504的顶部)。
一旦沉积与蚀刻多个氧化物层的最后一层后,实施退火处理将该些层形成均一的薄膜508(如图5E所示)。通过例如等离子蚀刻或化学机械研磨(CMP)等方式移除超过间隙504顶部形成的沉积材料以平面化该膜。图5F显示剩下的二氧化硅间隙充填物510,该间隙充填物具有极少(如果有的话)的空隙或裂缝且具有高度薄膜品质与介电特性。
示范性基板处理系统
执行本发明实施例的沉积系统包括高密度等离子化学气相沉积(high-density plasma chemical vapor deposition,HDP-CVD)系统、等离子辅助化学气相沉积(plasma enhanced chemical vapor deposition,PECVD)系统、次大气压化学气相沉积(sub-atmospheric chemical vapor deposition,SACVD)系统与热化学气相沉积系统等类型的系统。执行本发明实施例的化学气相沉积系统的特定示例包括CENTURA ULTIMATM高密度等离子化学气相沉积腔/系统与PRODUCERTM等离子辅助化学气相沉积腔/系统,可从美国加州圣克拉拉市的应用材料股份有限公司(Applied Materials,Inc.)获得。
一个适当的基板处理系统(可修改用来应用依照本发明的实施例)显示与描述于共同转让的美国专利号6,387,207与6,830,624中,这些文献在此以参考方式并入本文中。图6A是化学气相沉积系统10的垂直剖面图,该系统具有真空或处理腔15,该腔包括腔壁15a与腔盖配件15b。
化学气相沉积系统10包含气体分配歧管11,用于分散工艺气体至支撑在工艺腔15中心的加热底座12上的基板(未显示)。可用导电材料来构成气体分配歧管11以用作形成电容等离子(capacitive plasma)的电极。处理过程中,基板(例如,半导体晶片)被置于底座12的平坦(或稍微凸起)表面12a上。在较低的加载/卸载位置(图6A中所示)与较高的处理位置(由图6A中的虚线14表示)之间可控地移动底座12,而处理位置紧密地邻近歧管11。中心电路板(未显示)包括可提供晶片位置信息的感应器。
经由常规平坦、环型的气体分配面板13a的射孔(perforated hole)13b,将沉积气体与载气导入腔室15。更明确地,沉积工艺气体经由进入口歧管11,通过常规的射孔阻挡板(perforated blocker plate)42然后通过气体分配面板13a中的孔洞13b流入腔室。
到达歧管11前,沉积气体与载气由气体源7经由气体供应管线8输入混合系统9,它们在混合系统9中混合然后送至歧管11。一般而言,各个工艺气体的供应管线包括(i)多个安全性闭锁阀(未显示),可用来自动或手动关闭进入腔室的工艺气体流,以及(ii)质量流量控制器(mass flowcontrollers)(亦未显示),可测量通过供应管线的气体流。当工艺中使用有毒气体时,将多个安全性闭锁阀置于常规结构中的各个气体供应管线。
执行于化学气相沉积系统10中的沉积工艺可为热工艺或等离子辅助工艺。等离子辅助工艺中,射频电源44在气体分配面板13a与底座12之间施加电功率,以便活化工艺气体混合物,以在面板13a与底座12之间圆柱型区域中形成等离子。(此区域在此将被称为“反应区域”)。使等离子的组成反应以沉积所欲的薄膜于半导体晶片(支撑于底座12上)的表面上。射频电源44是一种混频射频电源,它通常可提供13.56MHz的高射频频率(RF1)与360kHz的低射频频率(RF2),以辅助导入真空腔15的反应物质的分解。热工艺中,不使用射频电源44,且工艺气体混合物进行热反应以沉积所欲的薄膜于半导体晶片(支撑于底座12上)的表面上,而该底座被电阻加热以提供用于反应的热能。
等离子辅助沉积工艺过程中,等离子加热整体工艺腔10,包括排出通道23与闭锁阀24周围的腔室主体的腔壁15a。当未发动等离子时或在热沉积工艺过程中,将热的液体循环遍及工艺腔15的腔壁15a以维持腔室处于高温下。腔壁15a其余部分的通道并未显示。用来加热腔壁15a的液体包括典型液体类型,即水性乙二醇或油性热传导液体。这类加热(称为通过“热能交换物”来加热)有利地减少或排除不欲的反应产物的凝结,且增进排除工艺气体的挥发性产物与其它可能污染工艺的污染物(假若这些物质凝结于冷的真空通道的壁上,且在没有气体流动时流回处理腔内)。
没有沉积于层内的剩余气体混合物(包括反应副产品)通过真空泵(未显示)而排出腔室15外。明确地说,气体经由反应区域周围的环型、狭缝状洞口16排出,然后进入环型排出室17。通过腔室的圆柱型侧壁15a的顶端(包括腔壁上较高的介电内衬19)与环型腔盖20底部之间的间隙界定环型狭缝16与排出室17。360°环型对称且一致的狭缝洞口16与排出室17对达成晶片上均匀的工艺气体流(以便沉积均匀薄膜于晶片上)而言是重要的。
由排出室17开始,气体在排出室17的横向延展部分21下流动,经过观察孔(viewing port,未显示),通过向下延展的气体通道23,经过真空闭锁阀24(真空闭锁阀24的本体并入较低的腔壁15a中),然后进入排出口25,该排出口经由前置管线(foreline)(未显示)连结至外部真空泵(亦未显示)。
底座12的晶片支撑板(最好为铝、陶质或上述的组合物)利用嵌入式单环嵌入加热组件来电阻加热,该加热组件设置成平行同心圆形式的两个完整圆圈。加热组件外侧部分位于邻近支撑板的周围,而内侧部分则位在具有较小半径的同心圆路径上。连接加热组件的线路则经过底座12的主杆。
一般而言,任何或所有腔室内衬、气体进入口歧管面板以及各种其它反应器的硬件是由诸如铝、电镀铝或陶器等材料制成的。此类化学气相沉积设备的示例描述于共同转让,且授予Zhao等人并命名为“化学气相沉积处理腔”的美国专利号5,558,717中,而该文献的全文在此以参考资料并入本文中。
举升机构与马达32(图6A)随着机械片(未显示)经由腔室10侧边的插入/移除开口26将晶片传入与传出腔室本体15,提高与降下加热底座配件12与晶片举升销12b。马达32在处理位置14与较低的晶片加载位置之间提高与降下底座12。所有下述的装置均受系统控制器控制(通过控制管线36,仅显示某些管线):马达;连结至供应管线8的阀门或流量控制器;气体传送系统;节流阀;射频电源44;以及腔室与基板加热系统。控制器34依靠来自光学感应器的反馈来测定可移动式机械配件的位置,例如节流阀与衬托器(susceptor),它们在控制器34的控制下通过适当的马达而移动。
示范性实施例中,系统控制器包括硬盘驱动器(存储器38)、软盘驱动器与处理器37。处理器包括单板机(single-board computer,SBC)、模拟与数字输入/输出板、接口板与步进式电动机控制器板(stepper motorcontroller boards)。化学气相沉积系统10的许多部份遵照欧洲插卡式模块(Versa Modular European,VME)标准,该标准界定主机板、卡槽与连接器的尺寸与类型。VME标准亦界定总线结构为具有16位数据总线与24位地址总线。
系统控制器34控制化学气相沉积器械的所有活动。系统控制器执行系统控制软件,该软件是存于计算机可读媒体(例如,存储器38)的计算机程序。存储器38较佳为硬盘驱动器,但存储器38亦可为其它类型的存储器。计算机程序包括制定下列参数的指令组:时间、混合的气体、腔室压力、腔室温度、射频功率电平、衬托器位置与特定工艺的其它参数。存于其它存储器设备(包括,诸如软盘或其它合适的驱动器)的其它计算机程序亦可用来操作控制器34。
可利用计算机程序产品(由控制器34执行)来实施沉积薄膜于基板上的工艺或清洁腔室15的工艺。可用任何常规的计算机可读式编程语言来编写计算机程序代码:例如,68000汇编语言(assembly language)、C、C++、Pascal、Fortran或其它。利用常规的文本编辑器将适当的程序代码输入单一文件或多个文件,且存于或实现于计算机可用式媒体(computer usablemedium),例如计算机的存储器系统。假若输入的代码文本为高级语言,那么编译该代码,然后将所得的编译器代码链接(linked)于预先编译的Microsoft
Figure GSB00000647564100141
程序库例程(library routines)的目标代码(objectcode)。为了执行链接的、已编译的目标代码,系统使用者调用目标代码,使得计算机系统加载存储器中的编码。中央处理器(CPU)接着读取与执行代码以执行程序中标识的任务。
使用者与控制器34之间的接口是经由阴极射线管监视器(CRTmonitor)50a与光笔50b(图6B所示),图6B是系统监视器与基板处理系统(可包括一或多个腔室)中化学气相沉积系统10的简单图式。较佳的实施例中,运用两个监视器50a,一个镶嵌于清洁室壁(供操作者用),而另一个位在该壁后面(供设备技术员用)。监视器50a可同时显示相同的信息,但仅可用一只光笔50b。光笔50b尖端的光感应器检测由阴极射线管显示器散发出来的光线。为了选择特定的屏幕区域或功能,操作者触碰显示器屏幕上选定的区域,然后按下光笔50b上的按钮。触碰的区域改变高亮颜色,或是显示一个新的选单或屏幕,从而确定光笔与显示器屏幕之间的通信。其它诸如键盘、鼠标或其它指示或通信设备等的设备可用来替代或加强光笔50b好让使用者与控制器34通信。
图6A显示安装在处理腔15的腔盖配件15b上(包括气体分配面板13a与气体分配歧管11)的远程等离子产生器60。安装适配器(mountingadaptor)64安装远程等离子产生器60于腔盖配件15b上(如图6A所示)。适配器64一般是由金属所构成。混合设备70耦接于气体分配歧管11的上游端(图6A)。混合装置70包括配置于混合阻挡物(用以混合处理气体)的狭缝74内的混合镶嵌块(insert)72。陶瓷绝缘体66置于安装适配器64与混合设备70之间(图6A)。可由诸如氧化铝(纯度99%)、
Figure GSB00000647564100142
等陶瓷材料来制造陶瓷绝缘体66。安装时,混合设备70与陶瓷绝缘体66会构成腔盖配件15b的一部分。绝缘体66隔绝金属适配器64于混合设备70与气体分配歧管11,以减少第二次等离子形成于腔盖配件15b中的可能性(更详细描述于下)。三通阀77直接或者经由远程等离子产生器60来控制进入工艺腔15的工艺气体的流动。
乐见远程等离子产生器60为紧密、自含组件,该组件可方便地安装于腔盖配件15b上且可容易地改装到现存腔室上而不需要昂贵且耗时的修改。一个适当的组件为
Figure GSB00000647564100151
产生器,可从美国马萨诸塞州沃本的应用材料股份有限公司获得。
Figure GSB00000647564100152
产生器利用低场效环型等离子(low-field toroidal plasma)来解离工艺气体。一示例中,该等离子解离工艺气体,该工艺气体包括含氟气体(例如三氟化氮NF3)与载气(例如氩),而产生自由氟,而自由氟可被用来清洁沉积于工艺腔15中的薄膜。
已经描述许多实施例,熟悉技术的人士可以理解,可在不悖离本发明的精神下使用许多修改物、替换构造与均等物。再者,并未描述许多知名的处理方式与组件好避免对本发明造成不必要的混淆。因此,上述内容不应被视为本发明范围的限制性。
此处所提供的数值范围,可以理解各个介于范围较高与较低限制值之间的中间值(除非文中另有明确指出,否则到较低限制值的单位的十分的一)亦明确地被揭示。任何所述数值之间的各个较小范围;或所述范围与任何其它所述数值的中间值;或所述范围的中间值均包含在其中。这些较小范围的较高与较低限制值可单独地被包括在范围内或排除在范围外,且各个范围的限制值(任一、两者皆无、两者皆有)被包含在较小范围亦包含在本发明中,属于所述范围内任何特别排除在外的限制值。所述范围包括一或两个限制值,亦包括排除任一或两者限制值的范围。
此处与附加的专利申请范围中所用的单数形式“一(a)”、“一(an)”与“该”包括多个所指对象,除非文中另有明确指出。因此,举例来说,提到“一处理”是包括多个此类处理,而提到「该前体」是包括所指的一个或多个前体与熟悉技术人士所知的均等物等等。
同样地,应用于本说明书与接下来的权利要求的词汇“包括”、“包含”,用来明确指明所述的特征、整体、成分或步骤的存在,但并不排除一个或多个其它特征、整体、成分、步骤、行动或群组的存在或附加。

Claims (32)

1.一种以二氧化硅充填基板上的间隙的方法,所述方法包含:
将有机硅前体与氧前体导入沉积腔;
使所述些前体反应以形成第一二氧化硅层于所述基板上的间隙中;
蚀刻所述第一二氧化硅层以减少所述层中的碳含量,其中蚀刻所述第一二氧化硅层包括(1)将所述第一二氧化硅层暴露于较低密度的等离子来解离较大的有机基团且移除所述第一二氧化硅层中的一部分碳,并随后(2)将所述第一二氧化硅层暴露于较高密度的氧等离子来移除所述第一二氧化硅层中的一部分氢氧基;
形成第二二氧化硅层于所述第一层上,然后蚀刻所述第二层以减少所述层中的碳含量;以及
待所述间隙被填满后退火处理所述些二氧化硅层。
2.如权利要求1所述的方法,其中所述氧前体包括产生于所述沉积腔外的氧原子。
3.如权利要求2所述的方法,其中所述氧原子是通过下述步骤而形成:
由包含氩的气体混合物形成等离子;以及
将氧前体导入所述等离子,其中所述氧前体解离以形成所述氧原子。
4.如权利要求3所述的方法,其中所述氧前体是选自氧分子、臭氧与二氧化氮组成的群组中。
5.如权利要求2所述的方法,其中所述氧原子是通过下述步骤而形成:
将氧前体导入光解离腔;以及
暴露所述氧前体给紫外光,其中所述紫外光解离所述氧前体以形成所述氧原子。
6.如权利要求2所述的方法,其中所述有机硅前体与所述氧原子不混在一起直到被导入所述沉积腔后。
7.如权利要求1所述的方法,其中所述有机硅前体包括二甲基硅烷、三甲基硅烷、四甲基硅烷、二乙基硅烷、原硅酸四甲酯(tetramethylorthosilicate,TMOS)、原硅酸四乙酯(tetraethylorthosilicate,TEOS)、八甲基三硅氧烷(octamethyltrisiloxane,OMTS)、八甲基环四硅氧烷(octamethylcyclotetrasiloxane,OMCTS)、四甲基环四硅氧烷(tetramethylcyclotetrasiloxane,TOMCATS)、二甲基二甲氧基硅烷(dimethyldimethoxysilane,DMDMOS)、二乙氧基甲基硅烷(diethoxymethylsilane,DEMS)、甲基三乙氧基硅烷(methyl triethoxysilane,MTES)、苯基二甲基硅烷(phenyldimethylsilane)、苯基硅烷(phenylsilane)。
8.如权利要求1所述的方法,其中所述第一与第二二氧化硅层各自的厚度在
Figure FSB00000647564000022
之间。
9.如权利要求1所述的方法,其中蚀刻第二二氧化硅层的步骤包括:
将所述第二二氧化硅层暴露在具有第一密度的第一等离子下,其中所述第一等离子可解离所述层中较大的碳分子;以及
将所述第二二氧化硅层暴露在具有第二密度的第二等离子,其中所述第二密度高于所述第一密度且所述第二等离子可解离所述层中的硅醇(Si-OH)键合。
10.如权利要求1所述的方法,其中所述退火处理二氧化硅层的步骤包括在干燥无活性气体中800℃或更高温度下进行退火处理。
11.如权利要求10所述的方法,其中所述无活性气体为氮(N2)而所述温度是900℃。
12.如权利要求1所述的方法,其中所述方法包括形成附加的二氧化硅层于所述第一与第二层上,且其中各个附加的二氧化硅层的厚度为
Figure FSB00000647564000031
Figure FSB00000647564000032
间。
13.如权利要求12所述的方法,其中所述附加的二氧化硅层是以如同蚀刻所述第一与第二二氧化硅层的方式被蚀刻。
14.如权利要求12所述的方法,其中所述二氧化硅层的总厚度是
Figure FSB00000647564000033
Figure FSB00000647564000034
15.如权利要求1所述的方法,其中所述退火处理过的二氧化硅层的湿式蚀刻速率比值(wet etch rate ratio,WERR)为2∶1或更低。
16.如权利要求1所述的方法,其中所述退火处理过的二氧化硅层的湿式蚀刻速率比值为1.8∶1至1.4∶1间。
17.如权利要求1所述的方法,其中所述退火处理过的二氧化硅层的k值4.0或更低。
18.如权利要求1所述的方法,其中所述方法更包括在将所述前体导入所述沉积腔前,预先以高密度等离子处理所述基板。
19.如权利要求1所述的方法,其中所述间隙的深宽比5∶1或更高。
20.如权利要求1所述的方法,其中所述间隙的深宽比13∶1或更高。
21.一种形成多层式二氧化硅膜于基板上的方法,所述方法包含:
形成多个二氧化硅层于所述基板上,其中各个二氧化硅层的厚度为
Figure FSB00000647564000035
Figure FSB00000647564000036
间,且其中各个二氧化硅层是通过下列步骤而形成;
(i)将有机硅前体与氧原子前体导入反应腔;
(ii)使所述些前体进行反应以形成所述二氧化硅层于所述基板上;以及
(iii)蚀刻所述二氧化硅层以减少所述层中的杂质,其中蚀刻所述二氧化硅层包括(1)将所述二氧化硅层暴露于较低密度的等离子来解离较大的有机基团且移除所述二氧化硅层中的一部分碳,并随后(2)将所述二氧化硅层暴露于较高密度的氧等离子来移除所述二氧化硅层中的一部分氢氧基;以及
退火处理所述多个二氧化硅层。
22.如权利要求21所述的方法,其中所述氧原子前体是在所述沉积腔外产生,且其中所述有机硅与氧原子前体不混在一起直到被导入所述反应腔后。
23.一种形成多层式二氧化硅膜于基板上的方法,所述方法至少包含:
形成多个二氧化硅层于所述基板上,其中各个二氧化硅层的厚度为
Figure FSB00000647564000041
Figure FSB00000647564000042
间,且其中各个二氧化硅层是通过下列步骤而形成;
(i)将有机硅前体与氧原子前体导入反应腔;
(ii)使所述些前体进行反应以形成所述二氧化硅层于所述基板上;以及
(iii)蚀刻所述二氧化硅层以减少所述层中的杂质,其中蚀刻所述二氧化硅层包括:
将所述二氧化硅层暴露在具有第一密度的第一等离子下,其中所述第一等离子可解离所述二氧化硅层中较大的碳分子;以及
将所述二氧化硅层暴露在具有第二密度的第二等离子下,所述第二密度高于所述第一密度,其中所述第二等离子可解离所述二氧化硅层中的硅醇键合。
24.如权利要求21所述的方法,其中所述退火处理所述多个二氧化硅层的步骤包括热能退火、蒸汽退火、等离子退火、紫外光退火、电子束退火或微波退火处理。
25.如权利要求21所述的方法,其中所述退火处理所述多个二氧化硅层的步骤包括:
在第一退火温度与蒸汽存在下加热所述基板;以及
在第二退火温度下与干燥氮气中加热所述基板。
26.如权利要求25所述的方法,其中所述第一退火温度是650℃而所述第二退火温度是900℃。
27.如权利要求21所述的方法,其中所述多个二氧化硅层各自是于每分钟
Figure FSB00000647564000051
至2μm的速率下形成。
28.如权利要求21所述的方法,其中所述多个二氧化硅层各自是于3分钟或更短时间内被蚀刻。
29.如权利要求21所述的方法,其中所述多个二氧化硅层是被退火处理30分钟或更短时间。
30.如权利要求21所述的方法,其中所述多个二氧化硅层的湿式蚀刻速率比值在1.8∶1至1.4∶1间。
31.如权利要求21所述的方法,其中所述多个二氧化硅层的k值为4.0或更低。
32.如权利要求21所述的方法,其中所述多层式二氧化硅膜的厚度在
Figure FSB00000647564000052
Figure FSB00000647564000053
间。
CN2007800200523A 2006-05-30 2007-05-29 提高二氧化硅膜品质的新颖沉积-等离子硬化循环方法 Active CN101454877B (zh)

Applications Claiming Priority (5)

Application Number Priority Date Filing Date Title
US80348106P 2006-05-30 2006-05-30
US60/803,481 2006-05-30
US11/753,968 US7902080B2 (en) 2006-05-30 2007-05-25 Deposition-plasma cure cycle process to enhance film quality of silicon dioxide
US11/753,968 2007-05-25
PCT/US2007/069899 WO2007140377A2 (en) 2006-05-30 2007-05-29 A novel deposition-plasma cure cycle process to enhance film quality of silicon dioxide

Publications (2)

Publication Number Publication Date
CN101454877A CN101454877A (zh) 2009-06-10
CN101454877B true CN101454877B (zh) 2012-07-04

Family

ID=38779413

Family Applications (1)

Application Number Title Priority Date Filing Date
CN2007800200523A Active CN101454877B (zh) 2006-05-30 2007-05-29 提高二氧化硅膜品质的新颖沉积-等离子硬化循环方法

Country Status (6)

Country Link
EP (1) EP2036120A4 (zh)
JP (1) JP5225268B2 (zh)
KR (1) KR101115750B1 (zh)
CN (1) CN101454877B (zh)
TW (1) TWI366876B (zh)
WO (1) WO2007140377A2 (zh)

Families Citing this family (32)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7498273B2 (en) * 2006-05-30 2009-03-03 Applied Materials, Inc. Formation of high quality dielectric films of silicon dioxide for STI: usage of different siloxane-based precursors for harp II—remote plasma enhanced deposition processes
WO2009114617A1 (en) * 2008-03-14 2009-09-17 Applied Materials, Inc. Methods for oxidation of a semiconductor device
US8329587B2 (en) * 2009-10-05 2012-12-11 Applied Materials, Inc. Post-planarization densification
CN102054734B (zh) * 2009-11-10 2013-01-30 中芯国际集成电路制造(上海)有限公司 提高晶圆沟道填充能力的方法
US8528224B2 (en) * 2009-11-12 2013-09-10 Novellus Systems, Inc. Systems and methods for at least partially converting films to silicon oxide and/or improving film quality using ultraviolet curing in steam and densification of films using UV curing in ammonia
US8512809B2 (en) 2010-03-31 2013-08-20 General Electric Company Method of processing multilayer film
US8318584B2 (en) * 2010-07-30 2012-11-27 Applied Materials, Inc. Oxide-rich liner layer for flowable CVD gapfill
US9234276B2 (en) 2013-05-31 2016-01-12 Novellus Systems, Inc. Method to obtain SiC class of films of desired composition and film properties
US10325773B2 (en) 2012-06-12 2019-06-18 Novellus Systems, Inc. Conformal deposition of silicon carbide films
US8889566B2 (en) 2012-09-11 2014-11-18 Applied Materials, Inc. Low cost flowable dielectric films
WO2014106202A1 (en) * 2012-12-31 2014-07-03 Fei Company Depositing material into high aspect ratio structures
US9018108B2 (en) 2013-01-25 2015-04-28 Applied Materials, Inc. Low shrinkage dielectric films
CN103972146B (zh) * 2013-01-30 2016-12-28 中芯国际集成电路制造(上海)有限公司 沟槽隔离结构的形成方法
US9354508B2 (en) 2013-03-12 2016-05-31 Applied Materials, Inc. Planarized extreme ultraviolet lithography blank, and manufacturing and lithography systems therefor
SG11201506367TA (en) * 2013-03-15 2015-09-29 Applied Materials Inc Apparatus and methods for pulsed photo-excited deposition and etch
JP5943888B2 (ja) * 2013-08-28 2016-07-05 株式会社東芝 半導体装置の製造方法
US20150340274A1 (en) * 2014-05-23 2015-11-26 GlobalFoundries, Inc. Methods for producing integrated circuits with an insultating layer
US9412581B2 (en) 2014-07-16 2016-08-09 Applied Materials, Inc. Low-K dielectric gapfill by flowable deposition
KR20160061129A (ko) * 2014-11-21 2016-05-31 주식회사 원익아이피에스 적층막 제조방법
KR102655396B1 (ko) * 2015-02-23 2024-04-04 어플라이드 머티어리얼스, 인코포레이티드 고품질 얇은 필름들을 형성하기 위한 사이클식 순차 프로세스들
CN108140578B (zh) * 2015-10-23 2022-07-08 应用材料公司 通过表面毒化处理的由下而上的间隙填充
WO2017083469A1 (en) * 2015-11-13 2017-05-18 Applied Materials, Inc. Techniques for filling a structure using selective surface modification
US10115601B2 (en) 2016-02-03 2018-10-30 Tokyo Electron Limited Selective film formation for raised and recessed features using deposition and etching processes
US9768034B1 (en) * 2016-11-11 2017-09-19 Applied Materials, Inc. Removal methods for high aspect ratio structures
CN106783535A (zh) * 2016-11-28 2017-05-31 武汉新芯集成电路制造有限公司 一种改善peteos薄膜缺陷的方法和半导体结构
CN116892014A (zh) * 2017-04-13 2023-10-17 应用材料公司 用于沉积低介电常数膜的方法与设备
CN109166787B (zh) * 2018-08-26 2019-06-28 合肥安德科铭半导体科技有限公司 一种氧化硅薄膜的可流动化学气相沉积方法
US20200090980A1 (en) * 2018-09-13 2020-03-19 Nanya Technology Corporation Method for preparing semiconductor structures
KR20230085954A (ko) 2018-10-19 2023-06-14 램 리써치 코포레이션 갭 충진 (gapfill) 을 위한 도핑되거나 도핑되지 않은 실리콘 카바이드 증착 및 원격 수소 플라즈마 노출
KR102224128B1 (ko) 2019-08-05 2021-03-09 한양대학교 산학협력단 탄소 함유 박막의 증착방법
JP7227122B2 (ja) 2019-12-27 2023-02-21 株式会社Kokusai Electric 基板処理方法、半導体装置の製造方法、基板処理装置、およびプログラム
JP7072012B2 (ja) 2020-02-27 2022-05-19 株式会社Kokusai Electric 基板処理方法、半導体装置の製造方法、基板処理装置、及びプログラム

Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5691009A (en) * 1994-11-08 1997-11-25 Micron Technology, Inc. Method of reducing carbon incorporation into films produced by chemical vapor deposition involving organic precursor compounds
US6867086B1 (en) * 2003-03-13 2005-03-15 Novellus Systems, Inc. Multi-step deposition and etch back gap fill process

Family Cites Families (19)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH0616505B2 (ja) * 1987-08-18 1994-03-02 株式会社半導体エネルギ−研究所 絶縁膜形成方法
JP2980340B2 (ja) * 1990-04-28 1999-11-22 科学技術振興事業団 Cvd方法
US5393708A (en) 1992-10-08 1995-02-28 Industrial Technology Research Institute Inter-metal-dielectric planarization process
JP2684942B2 (ja) * 1992-11-30 1997-12-03 日本電気株式会社 化学気相成長法と化学気相成長装置および多層配線の製造方法
US5558717A (en) 1994-11-30 1996-09-24 Applied Materials CVD Processing chamber
JPH0982696A (ja) * 1995-09-18 1997-03-28 Toshiba Corp 半導体装置の製造方法および半導体製造装置
JP3522917B2 (ja) * 1995-10-03 2004-04-26 株式会社東芝 半導体装置の製造方法および半導体製造装置
JPH11145131A (ja) * 1997-03-18 1999-05-28 Toshiba Corp 半導体装置の製造方法及び半導体製造装置、及び半導体装置
US6413583B1 (en) * 1998-02-11 2002-07-02 Applied Materials, Inc. Formation of a liquid-like silica layer by reaction of an organosilicon compound and a hydroxyl forming compound
US6197658B1 (en) * 1998-10-30 2001-03-06 Taiwan Semiconductor Manufacturing Company Sub-atmospheric pressure thermal chemical vapor deposition (SACVD) trench isolation method with attenuated surface sensitivity
US6387207B1 (en) 2000-04-28 2002-05-14 Applied Materials, Inc. Integration of remote plasma generator with semiconductor processing chamber
JP4698813B2 (ja) * 2000-10-19 2011-06-08 ルネサスエレクトロニクス株式会社 半導体装置およびその製造方法
US6531413B2 (en) * 2000-12-05 2003-03-11 United Microelectronics Corp. Method for depositing an undoped silicate glass layer
US6770521B2 (en) * 2001-11-30 2004-08-03 Texas Instruments Incorporated Method of making multiple work function gates by implanting metals with metallic alloying additives
US7723242B2 (en) * 2004-03-15 2010-05-25 Sharp Laboratories Of America, Inc. Enhanced thin-film oxidation process
US7205248B2 (en) * 2003-02-04 2007-04-17 Micron Technology, Inc. Method of eliminating residual carbon from flowable oxide fill
US6830624B2 (en) 2003-05-02 2004-12-14 Applied Materials, Inc. Blocker plate by-pass for remote plasma clean
US6958112B2 (en) * 2003-05-27 2005-10-25 Applied Materials, Inc. Methods and systems for high-aspect-ratio gapfill using atomic-oxygen generation
KR100538882B1 (ko) * 2003-06-30 2005-12-23 주식회사 하이닉스반도체 반도체 소자의 제조 방법

Patent Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5691009A (en) * 1994-11-08 1997-11-25 Micron Technology, Inc. Method of reducing carbon incorporation into films produced by chemical vapor deposition involving organic precursor compounds
US6867086B1 (en) * 2003-03-13 2005-03-15 Novellus Systems, Inc. Multi-step deposition and etch back gap fill process

Also Published As

Publication number Publication date
JP5225268B2 (ja) 2013-07-03
KR20090019865A (ko) 2009-02-25
TWI366876B (en) 2012-06-21
WO2007140377A3 (en) 2008-08-28
KR101115750B1 (ko) 2012-03-07
EP2036120A4 (en) 2012-02-08
WO2007140377A9 (en) 2008-10-16
JP2009539266A (ja) 2009-11-12
EP2036120A2 (en) 2009-03-18
WO2007140377A2 (en) 2007-12-06
CN101454877A (zh) 2009-06-10
TW200807558A (en) 2008-02-01

Similar Documents

Publication Publication Date Title
CN101454877B (zh) 提高二氧化硅膜品质的新颖沉积-等离子硬化循环方法
KR101329285B1 (ko) 에스티아이를 위한 실리콘 디옥사이드의 고품질 유전 필름의 제조: 하프 ⅱ― 원격 플라즈마 향상된 증착 공정을 위한 상이한 실록산―기재 전구체의 용도
CN101310039B (zh) 使用含硅前驱物和原子氧进行高质量流体状硅氧化物的化学气相沉积
CN101802984B (zh) 在基板上形成氧化硅层的方法
TWI395269B (zh) 以harpii 處理固化烷氧矽烷前驅物沉積之二氧化矽薄膜的方法
US7902080B2 (en) Deposition-plasma cure cycle process to enhance film quality of silicon dioxide
JP2009539268A (ja) シリコン含有前駆物質と原子酸素を用いた高品質流動状二酸化シリコンの化学気相堆積
KR20130014543A (ko) 라디칼 성분 cvd에 의한 컨포멀 층들
KR20140050059A (ko) 감소된 아웃개싱을 위한 표면 처리 및 증착

Legal Events

Date Code Title Description
C06 Publication
PB01 Publication
C10 Entry into substantive examination
SE01 Entry into force of request for substantive examination
C53 Correction of patent of invention or patent application
CB02 Change of applicant information

Address after: American California

Applicant after: Applied Materials Inc.

Address before: American California

Applicant before: Applied Materials Inc.

C14 Grant of patent or utility model
GR01 Patent grant