JP2009539268A - シリコン含有前駆物質と原子酸素を用いた高品質流動状二酸化シリコンの化学気相堆積 - Google Patents

シリコン含有前駆物質と原子酸素を用いた高品質流動状二酸化シリコンの化学気相堆積 Download PDF

Info

Publication number
JP2009539268A
JP2009539268A JP2009513437A JP2009513437A JP2009539268A JP 2009539268 A JP2009539268 A JP 2009539268A JP 2009513437 A JP2009513437 A JP 2009513437A JP 2009513437 A JP2009513437 A JP 2009513437A JP 2009539268 A JP2009539268 A JP 2009539268A
Authority
JP
Japan
Prior art keywords
precursor
annealing
silicon
chamber
substrate
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
JP2009513437A
Other languages
English (en)
Inventor
ニティン ケー. イングル,
ツェン ユアン,
ポール ジー,
ケダー サプレ,
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Applied Materials Inc
Original Assignee
Applied Materials Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Priority claimed from US11/754,440 external-priority patent/US7825038B2/en
Application filed by Applied Materials Inc filed Critical Applied Materials Inc
Publication of JP2009539268A publication Critical patent/JP2009539268A/ja
Pending legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/40Oxides
    • C23C16/401Oxides containing silicon
    • C23C16/402Silicon dioxide
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/448Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for generating reactive gas streams, e.g. by evaporation or sublimation of precursor materials
    • C23C16/452Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for generating reactive gas streams, e.g. by evaporation or sublimation of precursor materials by activating reactive gas streams before their introduction into the reaction chamber, e.g. by ionisation or addition of reactive species
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/56After-treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02126Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing Si, O, and at least one of H, N, C, F, or other non-metal elements, e.g. SiOC, SiOC:H or SiONC
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02164Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon oxide, e.g. SiO2
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02205Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
    • H01L21/02208Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si
    • H01L21/02214Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound comprising silicon and oxygen
    • H01L21/02216Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound comprising silicon and oxygen the compound being a molecule comprising at least one silicon-oxygen bond and the compound having hydrogen or an organic group attached to the silicon or oxygen, e.g. a siloxane
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/02274Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition in the presence of a plasma [PECVD]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02299Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer pre-treatment
    • H01L21/02312Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer pre-treatment treatment by exposure to a gas or vapour
    • H01L21/02315Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer pre-treatment treatment by exposure to a gas or vapour treatment by exposure to a plasma
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02318Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment
    • H01L21/02337Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment treatment by exposure to a gas or vapour

Abstract

基板上に酸化シリコン層を堆積する方法が記載される。方法には、堆積チャンバに基板を準備するステップと、堆積チャンバの外部で原子酸素前駆物質を生成させるステップと、原子酸素前駆物質をチャンバへ導入するステップとが含まれ得る。方法には、堆積チャンバにシリコン前駆物質を導入するステップであって、シリコン前駆物質と原子酸素前駆物質が最初にチャンバ内で混合される前記ステップが含まれ得る。シリコン前駆物質と原子酸素前駆物質が反応して、基板上に酸化シリコン層を形成する。基板上に酸化シリコン層を堆積させるシステムも記載される。
【選択図】 図1

Description

関連出願の説明
[0001]本出願は、2006年5月30日に出願の“CHEMICAL VAPOR DEPOSITIONOF HIGHQUALITY FLOW-LIKE SILICON DIOXIDEUSING ASILICON CONTAININGPRECOURSOR AND ATOMIC OXYGEN”と称するIngleらによる米国仮出願第60/803,483号の恩典を主張する。本出願は、また、2006年5月30日に出願の“AMETHOD FORDEPOSITING AND CURING LOW-K FILMSFOR GAPFILLAND CONFORMALFILM APPLICATIONS”と称するMunroらによる共同譲渡された米国仮出願第60/803,489号に関する。本出願は、また、2006年5月30日出願の“ANOVEL DEPOSITION-PLASMA CURE CYCLEPROCESS TOENHANCE FILMQUALITY OFSILICON DIOXIDE”と称するChenらによる米国仮出願第60/803,481号に関する。更に、本出願は、また、2006年5月30日出願の“PROCESSCHAMBER FORDIELECTRIC GAPFILL”と称するLubomirskyによる米国仮出願第60/803,499号に関する。優先権米国仮特許出願及び関連出願の全体の内容は、すべてに対して本明細書に援用されている。
発明の背景
[0002]集積回路のデバイス密度が増加し続けるにつれて、デバイス構造間のサイズと距離は減少し続ける。構造のギャップと構造間のトレンチにおけるより狭い幅は、これらの形成において高さと幅の比(即ち、アスペクト比)を増大させる。言い換えれば、集積回路素子の小型化の継続は、これらの素子内や素子間でそれらの縦の高さよりも速く横幅を縮小している。
[0003]アスペクト比を常に増大させつつデバイス構造を作るための能力によって、より多くの構造(例えば、トランジスタ、キャパシタ、ダイオード等)が半導体チップ基板の同一の表面領域に充填することを許容したが、製造の問題も生じてきた。これらの問題の一つは、充填プロセスの間、ボイド又はシームをつくらずにこれらの構造におけるギャップとトレンチを完全に充填することが難しいことである。酸化シリコンのような誘電材料でギャップとトレンチを充填することは、隣接のデバイス構造を互いに電気的に絶縁するために必要である。ギャップが空のままであった場合には、電気的雑音と、適切に(又は少しでも)作動させるデバイスの電流漏れがあまりに多かった。
[0004]ギャップの幅がより広い(アスペクト比がより小さい)場合、ギャップは誘電材料の急速な堆積物で充填することが比較的容易である。堆積物質は、ギャップの側面と底面を覆い、隙間又はトレンチが十分に充填されるまで、下から上に充填し続ける。しかしながら、アスペクト比が増大するにつれて、閉塞開始が充填容積内のボイド又はシームを持つことなく、深く狭いトレンチを充填することは更に難しくなった。
[0005]誘電体層内のボイドとシームによって、半導体デバイス製造の間や完成したデバイスの両方において問題が生じる。ボイドとシームは誘電体層内に不規則に形成され、予測できないサイズ、形状、位置、個体群密度を持つ。このことにより、一様なエッチング、研磨、アニール等の予測できない一貫性のない堆積後の層の処理が生じる。完成したデバイスにおけるボイドとシームは、デバイス構造におけるギャップとトレンチの絶縁特性を変化させる。このことにより、デバイス素子内及び素子間のクロストーク、電荷漏れ、ショートによる一様でない劣悪なデバイス性能が生じ得る。
[0006]高アスペクト比構造上に誘電材料を堆積する間のボイドとシームの形成を最少限にするための技術が開発されてきた。これらには、トレンチの側壁と底面に対してより等角のままであるように誘電材料の堆積速度を緩慢にすることが含まれる。より等角な堆積は、堆積された物質がトレンチの最上部又は中間部で蓄積し、最終的にはボイドの最上部を密封する程度を低下させ得る。しかしながら、残念なことに、堆積速度を緩慢にすることは、堆積時間の増加を意味し、処理効率と生産率を減少させる。
[0007]ボイド形成を制御する他の技術は、堆積した誘電材料の流動性を増加させることである。より流動性を有する物質は、ボイド又はシームをより速く充填し、充填容積内で永久的な欠陥になること防止する。酸化シリコン誘電材料の流動性の増加は、用いられる前駆物質の混合に水蒸気又は過酸化物(例えば、H)を添加して酸化物層を形成することを伴う。水蒸気は、堆積された層により多くのSi-OH結合を生成し、膜に流動性の増加を与える。しかしながら、残念なことに、酸化シリコン堆積の間の水分レベルの増加は、その密度(即ち、高ウェットエッチング速度比(WERR))や誘電特性(即ち、高k値)を含む、堆積された層の特性に不利な影響を及ぼし得る。
[0008]従って、ボイドのない、シームのない誘電体膜をギャップ、トレンチ、高アスペクト比を有する他のデバイス構造へ堆積させ得る誘電堆積システム及びプロセスが依然として求められている。高堆積速度及び完成した充填の品質に不利に影響しない流動特性で誘電材料を堆積させ得るシステム及びプロセスも依然として求められている。誘電膜堆積のこれらの及び他の態様は本発明によって説明される。
[0009]
発明の概要
[0010]本発明の実施形態は、基板上に酸化シリコン層を堆積させる方法を含む。方法には、堆積チャンバに基板を準備するステップと、堆積チャンバの外部で原子酸素前駆物質の生成させるステップと、チャンバに原子酸素を導入するステップが含まれ得る。方法には、堆積チャンバにシリコン前駆物質を導入するステップであって、シリコン前駆物質と原子酸素前駆物質が最初にチャンバ内で混合される前記ステップも含まれ得る。シリコン前駆物質と原子酸素前駆物質は反応して、基板上に酸化シリコン層を形成する。方法には、堆積した酸化シリコン層をアニールするステップも含まれ得る。
[0011]本発明の実施形態には、また、基板上に酸化シリコン層を形成するステップの方法も含まれる。方法には、シリコンウエハ基板を反応チャンバに準備するステップと、高密度アルゴンプラズマ中で分子酸素の解離から原子酸素前駆物質を生成させるステップとが含まれ得る。原子酸素前駆物質は、反応チャンバの外部のリモートプラズマ生成チャンバ内で生成され得る。方法には、原子酸素前駆物質とシリコン前駆物質を反応チャンバ内で混合するステップであって、原子酸素前駆物質とシリコン前駆物質を反応チャンバに達する前に混合されない前記ステップが含まれ得る。基板上に堆積された酸化シリコン層には、原子酸素とシリコン前駆物質との反応からの反応生成物が含まれる。
[0012]本発明の実施形態には、更に、基板上に酸化シリコン層を堆積するシステムが含まれ得る。システムには、基板が保持される堆積チャンバと、堆積チャンバに結合されたリモートプラズマ生成システムであって、プラズマ生成システムが原子酸素前駆物質を生成させるために用いられる前記リモートプラズマ生成システムとが含まれ得る。システムには、堆積チャンバにシリコン前駆物質を供給するために用いられるシリコン前駆物質源と、原子酸素前駆物質とシリコン前駆物質のフローを堆積チャンバへ送るために用いられる前駆物質処理システムとが含まれ得る。前駆物質処理システムは、原子酸素とシリコン前駆物質が、堆積チャンバに入る前に混合することを防止するように構成され得る。
[0013]追加の実施形態及び特徴は、以下の説明に一部示され、一部は、明細書の試験の際に当業者に明らかになるか又は本発明の実施によって知ることもできる。本発明の特徴と利点は、明細書に記載された手段、組合せ、方法によって可能になり且つ得ることができる。
[0014]本発明の本質及び利点の理解は、更に、明細書と図面の残りの部分によって可能になり、ここで、同様の符号は同様の要素を示すために幾つかの図面全体に用いられる。場合によっては、サブラベルが符号と関連づけられ、複数の同様の要素の一つを示すためのハイフンに続く。存在するサブラベルに対して明記せずに符号に説明がなされている場合は、このような複数の同様の要素すべてを示すように意図されている。
発明の詳細な説明
[0022]システム及び方法は、高流動性を有する酸化シリコンを堆積させ、その後、高品質酸化物層又は充填物へ硬化させる(即ち、アニールする)ために記載される。最初に形成された酸化物の高流動性は、ギャップ又はシームを含まずに高アスペクト比のギャップとトレンチ(例えば、アスペクト比5:1以上)を充填することを可能にする。硬化ステップは、その後、酸化シリコン膜の実用限界に近づき得るウェットエッチング速度比(WERR)を持つ密度の高い酸化物膜の後ろに残っている水分を排出する(例えば、WERRは約1.8から1.4に下がる)。シリコン前駆物質を含有する炭素と製造される膜については、高開始流動性と高硬化後特性を持つ低k酸化物膜が製造され得る。
[0023]本発明の方法には、堆積/反応チャンバの外部で反応性原子酸素の遠隔生成が含まれる。原子酸素は、最初に堆積チャンバ内でシリコン前駆物質と混合され、それらは低温、低圧でさえ急速に反応し、基板上に酸化シリコンを堆積させる。形成された酸化物は、酸化物を高度に流動性にするシリコンに結合したヒドロキシル基を多く含む。一旦堆積されると、酸化物は、ギャップ又はトレンチ充填の間、初期のボイドとシームを充填するために低温でさえ急速に流動する。その後、堆積後、硬化ステップは、Si-OH基の多くを純粋な二酸化シリコンと水蒸気に変換し、それは堆積された膜から排出される。
[0024]Si-O結合を多く含む低k膜が堆積される実施形態において、硬化プロセスは、Si-C結合をSi-OH結合に加水分解することによって炭素を除去するために第一ステップに分割され、続いて第二ステップがヒドロキシル基を除去させるとともに得られた水分を排出させる。このことは、まずウェットアニール(例えば、約950℃までのスチ−ムアニール)を実施することによって行われ、HOがSi-C結合をSi-OH結合に加水分解し、続いてドライアニール(例えば、900℃でNを乾燥する)してSi-OHを酸化シリコンへ変換させる。本発明の方法とプロセスの実施形態の追加の記載をここで述べる。
[0025]例示的な酸化物層形成プロセス
[0026]図1は、発明の実施形態に従って基板上に酸化物層を形成する方法100におけるステップを含むフローチャートを示す図である。方法100には、堆積チャンバ102に基板を準備するステップが含まれる。基板は、半導体ウエハ(例えば、約300mm以下の直径を持つシリコンウエハ;100mm、150mm、200mm、300mm、400mmの直径を持つシリコンウエハ等)であり、以前のプロセスで形成された、構造、デバイス要素等が含まれ得る。例えば、基板には、高さと幅の高アスペクト比(例えば、5:1以上、6:1以上、7:1以上、8:1以上、9:1以上、10:1以上、11:1以上、12:1以上等のアスペクト比)を持つギャップ、トレンチ等が含まれ得る。
[0027]方法100には、堆積チャンバ104の外部の位置で原子酸素前駆物質の遠隔生成も含まれる。原子酸素は、分子酸素(O)、オゾン(O)、窒素-酸素化合物(例えば、NO、NO、NO等)、水素-酸素化合物(例えば、HO、H等)、炭素-酸素化合物(例えば、CO、CO等)だけでなく、他の酸素含有前駆物質や前駆物質の組合わせのような酸素含有前駆物質の解離によって生成され得る。
[0028]原子酸素を生成する酸素含有前駆物質の解離は、他の方法の中でも、熱解離、紫外光解離、及び/又はプラズマ解離によって行われ得る。プラズマ解離は、リモートプラズマ生成チャンバ内で、ヘリウム、アルゴン、水素(H)、キセノン、アンモニア(NH)等からプラズマを衝突させるステップと、プラズマに酸素前駆物質を導入して原子酸素前駆物質を生成させるステップを伴い得る。
[0029]その後、反応性原子酸素プラズマを堆積チャンバ106に導入し、そこで、チャンバ108に導入されるシリコン前駆物質とはじめて混合し得る。非常に反応性の原子酸素は、中程度の温度(例えば、反応温度100℃未満)と圧力(例えば、全体のチャンバ圧、約0.1トール〜約10トール;0.5〜6トール等)でシリコン前駆物質と反応して酸化シリコン膜110を形成する。堆積の間、約0℃〜約150℃の温度にウエハを支持するウエハペデスタルによってウエハが調整(即ち、加熱又は冷却)され得る。
[0030]シリコン前駆物質には、炭素を含有しないオルガノシラン化合物及び/又はシリコン化合物が含まれ得る。炭素を含まないシリコン前駆物質には、特にシラン(SiH)が含まれ得る。オルガノシラン化合物は、直接Si-C結合を有する化合物及び/又はSi-O-C結合を有する化合物が含まれ得る。オルガノシランシリコン前駆物質の例としては、特にジメチルシラン、トリメチルシラン、テトラメチルシラン、ジエチルシラン、テトラメチルオルトシリケート(TMOS)、テトラエチルオルトシリケート(TEOS)、オクタメチルトリシロキサン(OMTS)、オクタメチルシクロテトラシロキサン(OMCTS)、テトラメチルジメチルジメトキシジシラン、テトラメチルシクロテトラシロキサン(TOMCATS)、DMDMOS、DEMS、メチルトリエトキシシラン(MTES)、フェニルジメチルシラン、及びフェニルシランが挙げられる。
[0031]シリコン前駆物質は、堆積チャンバへの導入前又はその間にキャリヤガスと混合され得る。キャリヤガスは、基板上に酸化物膜の形成を過度に妨害しない不活性ガスであり得る。キャリヤガスの例としては、他のガスの中でも特にヘリウム、ネオン、アルゴン、窒素(N)、水素(H)が挙げられる。
[0032]方法100の実施形態において、原子酸素とシリコン前駆物質は、堆積チャンバに導入される前に混合されない。前駆物質は、反応チャンバの周りに分配された空間的に分離された別のものを通ってチャンバに入り得る。例えば、原子酸素前駆物質は、チャンバの最上部で基板の真上に位置する注入口(又は複数の注入口)から入り得る。注入口から、基板の堆積面に垂直方向に酸素前駆物質のフローが送られる。一方では、シリコン前駆物質は、堆積チャンバの側面の周りの一つ以上の注入口から入り得る。注入口から、シリコン前駆物質のフローは堆積面とほぼ平行の方向に送られ得る。
[0033]実施形態は、更に、マルチポートシャワヘッドの別のポートを通って原子酸素とシリコン前駆物質を送るステップが含まれる。例えば、基板の上に位置するシャワヘッドには、前駆物質が堆積チャンバに入る開口のパターンが含まれ得る。開口の第一サブセットは原子酸素前駆物質によって供給することができ、開口の第二サブセットはシリコン前駆物質によって供給される。異なるセットの開口を通って進行する前駆物質は、堆積チャンバ内に出るまで、互いに流体的に分離され得る。前駆物質処理装置の種類と設計についての詳細は、更に、2006年5月30日出願の“PROCESSCHAMBER FORDIELECTRIC GAPFILL”と称するLubomirskyよる共同譲渡された米国仮出願第60/803,499号と、引き続き、本出願と同じ日に出願された代理人整理番号A11162/T72710を持つ仮出願でない米国出願に記載され、いずれもすべてに対して本明細書に援用されている。
[0034]原子酸素とシリコン前駆物質が堆積チャンバ内で反応するにつれて、基板の堆積面112上に酸化シリコン層を形成する。最初の酸化物層は、優れた流動性を持ち、堆積面に存在する構造内のギャップ、トレンチ、ボイド、シーム等へ急速に移動することができる。これにより、方法100が、高さと幅の高アスペクト比(例えば、約5:1、6:1、7:1、8:1、9:1、10:1、11:1、12:1以上のAR)を持つギャップ、トレンチ、他の表面構造内にボイドやシームを実質的に含まない酸化物充填を可能にする。
[0035]特定の理論に縛られることを望まないが、シリコン前駆物質と遠隔で生成された原子酸素が反応して、高濃度のシリコン-ヒドロキシル基(Si-OH)結合を持つ酸化シリコンを形成すると考えられる。これらの結合は、酸化シリコン層に流動性増加を与えると考えられる。しかしながら、Si-OH結合は、ウェットエッチング速度比(WERR)と堆積された層の誘電率も増大し、堆積された酸化物の品質と、電気絶縁体としての有効性を低下させ得る。従って、Si-OH結合の濃度は、堆積後の酸化シリコン層114のアニール(即ち、硬化)によって低下させる。
[0036]堆積された酸化シリコン層114の堆積後アニールは、単一ステップ、又は複数のステップで行われ得る。単一ステップアニールは、例えば、堆積された層を実質的に乾燥した雰囲気(例えば、乾燥窒素、ヘリウム、アルゴン等)で約300℃〜約1000℃(例えば、約600℃〜900℃)に加熱することによって行われ得る。アニールによって、水分が堆積された層から除去され、Si-OH基が酸化シリコンに変換させる。アニールした酸化シリコン層は、膜の品質(例えば、約6から約3以下へのWERR)を改善し、誘電体としての品質(例えば、k値は純粋な酸化シリコンに近いか又は等しい)を改善する。
[0037]マルチステップアニールには、層が最初に、例えば、スチ−ムの存在下に約950℃(例えば、650℃)まで層を加熱するようなウェットアニール段階を受ける二ステップアニールが含まれ得る。これにドライアニール段階が続き、層が実質的に水分を含まない雰囲気(例えば、乾燥窒素)中で加熱(例えば、約900℃)される。上述したように、マルチステップアニールは、かなりの量の炭素(例えば、かなりの密度のSi-C結合)を持つ酸化シリコン層を形成する有機シリコン前駆物質と共に用いることができる。最初のウェットアニールは、多くのSi-C結合をSi-OH結合で置き換えることを援助し、ドライアニールはSi-OHを酸化シリコン結合に変換させ、層から水分を排出させる。
[0038]ウェット熱アニールとドライ熱アニールに加えて、他のアニール技術(単独で又は組合わせて)は、酸化シリコン層114をアニールするために用いることができる。これらには、特に、スチ−ムアニール、プラズマアニール、紫外光アニール、eビームアニール、及び/又はマイクロ波アニールが含まれる。
[0039]ここで、図2を参照すると、発明の追加の実施形態に従って酸化物層を形成する方法200におけるステップが示されている。方法200には、基板202を反応チャンバに準備するステップと基板204上で前処理エッチングを行うステップとが含まれる。前処理エッチングには、基板構造を平滑にするとともに表面の不純物を取り除くプラズマエッチング(例えば、アルゴンプラズマを用いた高密度プラズマエッチング)が含まれ得る。
[0040]方法には、リモートプラズマチャンバ206においてプラズマを生成させるステップと、プラズマチャンバ208に酸素含有ガス(例えば、分子酸素)を供給して原子酸素プラズマ210を生成させるステップも含まれる。方法200の実施形態には、原子酸素前駆物質を生成させる前に、基板204上に前処理エッチングのためのリモートプラズマチャンバ内で生成されたプラズマを用いるステップが含まれる。前処理エッチングが終了したとき、酸素含有ガスがリモートプラズマチャンバに導入されて原子酸素前駆物質210を生成させる。反応チャンバへのプラズマフローは、前処理ステップと酸化シリコン堆積ステップの間で中断されても、それらのステップの間で連続して流れることが可能であってもよい。
[0041]基板上の酸化物層の堆積の開始するために、遠隔で生成される原子酸素前駆物質は、反応チャンバ212だけでなく、シリコン前駆物質214(例えば、TEOS、OMCATS)に導入される。反応チャンバにおいて、二つの前駆物質は反応し216、基板218上に酸化シリコン層を形成する。酸化物層は、約250オングストローム/分〜約2μm/分の速度で形成され得る。方法200の実施形態には、酸化物層に著しい量の炭素(例えば、Si-C及び/又はSi-O-C結合)を加える炭素含有シリコン前駆物質を用いるステップが含まれる。従って、方法200において、二ステップアニールは、第一アニール温度220でスチ−ムアニールから開始し、続いて第二アニール温度222でドライアニールが行われる。第一アニール温度(例えば、約600℃〜約950℃)は、第二のアニール温度(例えば、約900℃〜約1000℃;約950℃等)より低くてもよい。
[0042]図3は、層を堆積し硬化するための異なるチャンバを用いる酸化物層を形成する方法300の実施形態を示している。方法300には、堆積チャンバ302に基板を準備するステップと、原子酸素前駆物質304とシリコン前駆物質306をチャンバへ導入するステップが含まれる。前駆物質は、堆積チャンバ内で反応し、基板308上に酸化シリコン層を形成する。
[0043]この点で、堆積チャンバへの前駆物質のフローが停止され、基板が取り出される。その後、別のアニールチャンバ310に準備され、酸化シリコン層のアニールが行われる312。基板の堆積チャンバからアニールチャンバへの搬送は、真空中及び/又は不活性雰囲気中で行われて、微粒子、酸素、他の汚染物質が堆積された層に接触することを防止し得る。例えば、堆積チャンバとアニールチャンバは、ウエハ基板上に半導体デバイス構造、PMD、ILD、メタライゼーション構造、キャップ層等を形成するチャンバのより大きなグループのチャンバの一部であり得る。一方のチャンバからもう一方のチャンバへのウエハの移動は、制御された雰囲気中で自動機構(例えば、ロボットアーム、コンベヤベルト等)によって行われる。
[0044]ここで、図4と図5を参照すると、実施形態は、炭素含有シリコン前駆物質を含む又は含まない酸化シリコン層を形成する方法が記載されている。図4は、非Si-C結合含有シリコン前駆物質から酸化物層を形成する方法400の実施形態におけるステップを示している。方法400には、基板を堆積チャンバ402に準備するステップと、原子酸素前駆物質404と非炭素含有シリコン前駆物質406をチャンバに導入するステップが含まれる。前駆物質は、チャンバ内で反応して、基板408上に酸化シリコン層を形成し、続いてアニールされる。酸化シリコン層410のアニールは、乾燥窒素雰囲気中約800℃〜約1000℃における単一ステップアニールであり得る。炭素がシリコン前駆物質に用いられないことから、堆積された酸化物中の炭素レベルは低く、炭素を取り除くスチ−ムアニールは必要ではない。
[0045]しかしながら、図5に示された方法100は、基板上に堆積された最初の酸化シリコン層に著しい量の炭素が残る炭素含有シリコン前駆物質(例えば、オルガノシラン)を用いる。図4と同様に、図5に示された方法500の実施形態には、基板を堆積チャンバ502に準備するステップと、原子酸素前駆物質をチャンバ504に導入するステップが含まれる。しかしながら、導入されたシリコン前駆物質は、炭素含有オルガノシラン前駆物質506である。原子酸素とオルガノシラン前駆物質は反応して、基板508上に炭素含有酸化シリコン前駆物質を形成する。堆積後、二段階アニールが行われ、第一アニールから開始して酸化シリコン510における炭素レベルを低下させ、続いて第二アニールが行われ、層512における水分レベル(即ち、HOとSi-OHレベル)を低下させる。第一アニールには、少なくともSi-C結合の一部を加水分解するスチ−ムアニール、及び/又はより大きな有機分子をより小さなものに分解するプラズマエッチング、eビーム、又はUV光アニールが含まれ得る。第二のアニールは、更に、水分と除去されるより小さい炭素分子をCO、CO、ギ酸等に酸化させることができる。ある実施形態において、第一アニールはスチ−ムアニールであり、第二アニールは乾燥窒素アニールである。
[0046]図1-図5に示され記載された方法の例は、本発明の基板上に酸化物層を堆積するために用いることができる多くの実施形態のまさに一部であることは理解すべきである。追加の実施形態には、追加のステップと、酸化物層を形成する異なる順序のステップが含まれ得る。例えば、図1は、シリコン前駆物質より前のステップで導入された原子酸素を示しているが、両方の前駆物質を同時に導入するステップ、又は原子酸素前駆物質の前にシリコン前駆物質を導入するステップが方法100によって企図される。発明に従って用いることができる方法の実施形態の一部を記載してきたが、基板処理システムの実施形態の説明をここで記載する。
[0047]例示的基板処理システム
[0048]本発明の実施形態を実施することができる堆積システムは、他の種類のシステムの中でも、高密度プラズマ化学気相堆積(HDP-CVD)システム、プラズマ増強型化学気相堆積(PECVD)システム、大気圧未満化学気相堆積(SACVD)システム、熱化学気相堆積システムが含まれ得る。本発明の実施形態を実施することができるCVDシステムの具体例としては、カリフォルニア州サンタクララのアプライドマテリアルズから入手できるCENTURAULTIMATMHDP-CVDチャンバ/システム、PRODUCERTMPECVDチャンバ/システムが含まれる。
[0049]本発明の実施形態を使うために変更し得る適切な一基板処理システムが共同譲渡された米国特許第6,387,207号、同第6,830,624号に示され記載され、これらの開示内容はすべてに対して本明細書に援用されている。図6Aは、チャンバ壁15aとチャンバリッドアセンブリ15bが含まれる真空チャンバ又は処理チャンバ15を持つCVDシステム10の縦断面図である。
[0050]CVDシステム10は、プロセスチャンバ15内の中央にある加熱されたペデスタル12上にある基板(図示せず)へプロセスガスを分散させるためのガス分配マニホールド11を含有する。ガス分配マニホールド11は、容量性プラズマを形成するための電極として使用するために導電材料から形成され得る。処理の間、基板(例えば、半導体ウエハ)は、ペデスタル12の平坦な(又はわずかに凸状)面12aに位置する。ペデスタル12は、マニホールド11に密接に隣接する、下の装填/解放位置(図6Aに示されている)と上の処理位置(図6Aでは破線14で示されている)の間を制御可能に移動し得る。センタボード(図示せず)には、ウエハの位置に情報を与えるセンサが含まれる。
[0051]堆積とキャリヤガスは、従来の平坦な円形ガス分配フェースプレート13aの貫通した孔13bを通ってチャンバ15に導入される。より詳細には、堆積プロセスガスは、注入マニホールド11を通って、従来の貫通したブロッカープレート42を通って、その後、ガス分配フェースプレート13a内の孔13bを通ってチャンバに流れる。
[0052]マニホールド11に達する前に、堆積ガスとキャリヤガスは、ガスソース7からガス供給ライン8を通って混合システム9に流入され、そこで混合され、その後、マニホールド11に送られる。一般に、各プロセスガスのための供給ラインには、(i)自動又は手動でチャンバへのプロセスガスフローを遮断するために使用し得る安全遮断バルブ(図示せず)と、(ii)供給ラインを通ってガスフローを測定するマスフローコントローラ(図示せず)が含まれる。有毒なガスがプロセスに用いられる場合、幾つかの安全遮断バルブは従来の構造の各ガス供給ライン上に位置する。
[0053]CVDシステム10で行われる堆積プロセスは、熱プロセス又はプラズマ増強型プロセスのいずれかであり得る。プラズマ増強型プロセスにおいて、RF電源44は、ガス分配プレート13aとペデスタル12の間に電力を加えて、プロセスガス混合物を励起して、フェースプレート13aとペデスタル12の間の円筒領域内にプラズマを形成する。(この領域は、本明細書では“反応領域”と呼ぶ)。プラズマの構成要素は、ペデスタル12に支持された半導体ウエハの表面上に所望の膜を堆積させるために反応する。RF電源44は、典型的には、13.56MHzの高RF周波数(RF1)と360KHzの低RF周波数(RF2)で電力を供給して、真空チャンバ15に導入された反応種の分解を促進するために供給される混合周波数RF電源である。熱プロセスにおいて、RF電源44は用いられず、プロセスガス混合物が熱的に反応して、反応のための熱エネルギーを供給するために抵抗加熱されるペデスタル12上に支持された半導体ウエハの表面上に所望の膜を堆積させる。
[0054]プラズマ増強型堆積プロセスの間、プラズマは、排気通路23と遮断バルブ24を取り囲んでいるチャンバ本体15aの壁を含むプロセスチャンバ10全体を加熱する。熱堆積プロセスのときに又は熱堆積プロセスの間にプラズマが出ない場合、熱い液体をプロセスチャンバ15の壁15aを通って循環させて、チャンバをの高温に維持する。チャンバ壁15aの残りの通路は、図示されていない。チャンバ壁15aを加熱するために用いられる流体には、典型的な流体タイプ、即ち、水ベースのエチレングリコール又は油ベースの熱伝達流体が含まれる。この加熱(“熱交換”による加熱と呼ばれる)は、有益には望まない反応生成物の凝縮を減少又は除去し且つプロセスガスの揮発性生成物と冷却真空通路の壁に凝縮するとともにガスフローのない期間処理チャンバに移動する場合にはプロセスを汚染する他の汚染物の除去を改善する。
[0055]反応副生成物を含む層内に堆積されないガス混合物の残りは、真空ポンプ(図示せず)によってチャンバ15から排気される。詳しくは、ガスは、反応領域を取り囲む環状のスロット形オリフィス16を通って環状排気プレナム17へ排気される。環状スロット16とプレナム17は、チャンバの円筒形側壁15aの最上部(壁上の上部誘電体ライニング19を含む)と円形チャンバリッド20の底面の間の隙間によって画成される。スロットオリフィス16とプレナム17の360度の円形対称性と均一性は、ウエハ上に均一な膜を堆積するのにウエハの上のプロセスガスの均一なフローを達成するのに重要である。
[0056]排気プレナム17から、ガスは、排気プレナム17の横の拡張領域21の下に、のぞきポート(図示せず)を通り過ぎて、下向きに伸びたガス通路23を通って、真空遮断バルブ24(本体は下のチャンバ壁15aに組込まれている)を通り過ぎて、フォアライン(図示せず)を通って外部の真空ポンプ(図示せず)に接続する排出口25へ流れる。
[0057]ペデスタル12のウエハ支持プラッターは、2全回転を平行な同心円の形でするように構成された組込み単一ループ組込みヒータ素子を用いて抵抗加熱される。ヒータ素子の外部が支持プラッターの周囲に隣接して続き、内部は小さな半径を有する同心円の通路に続いている。ヒータ素子の配線は、ペデスタル12のステムを通り抜ける。
[0058]典型的には、幾つかの又は全てのチャンバライニングと、ガス注入マニホールドフェースプレートと、種々の他のリアクタハードウエアは、アルミニウム、陽極酸化アルミニウム又はセラミックのような物質からできている。このようなCVD装置の例は、Zhaoらに発行された“CVDProcessing Chamber”と称する共同譲渡された米国特許第5,558,717号に記載され、この開示内容は本明細書に全体で援用されている。
[0059]ウエハがチャンバ10の側面の挿入/取出し開口部26を通ってロボットブレード(図示せず)によってチャンバ15の本体の中と外に搬送されるように、リフト機構とモータ32(図6A)がヒータペデスタルアセンブリ12とそのウエハリフトピン12bを上下させる。モータ32は、ペデスタル12を処理位置14と下のウエハ装填位置の間で上下させる。モータ、バルブ又は供給ライン8に接続したコントローラ、ガス分配システム、スロットルバルブ、RF電源44、チャンバと基板加熱システムは、一部だけが図示されているコントロールライン36の上のコントローラシステムによって全て制御される。コントローラ34は、コントローラ34の制御下に適切なモータによって移動されるスロットバルブとサセプタのような移動式メカニカルアセンブリの位置を決定するための光学センサからのフィードバックに頼っている。
[0060]例示的実施形態において、システムコントローラには、ハードディスクドライブ(メモリ38)、フロッピーディスクドライブ、プロセッサ37が含まれる。プロセッサは、単一ボードコンピュータ(SBC)、アナログ及びデジタル入力/出力ボード、インタフェースボード、ステッパモータコントローラボードを含有する。CVDシステム10の種々のパーツは、ボード、カードゲージ、コネクタ寸法と種類を規定するVersaModular European(VME)規格にあてはまる。VME基準は、16ビットデータバスと24ビットアドレスバスを持つバス構造も規定する。
[0061]システムコントローラ34は、CVDマシンの活性の全てを制御する。システムコントローラは、メモリ38のようなコンピュータ読取可能媒体内に記憶されたコンピュータプログラムであるシステム制御ソフトウエアを実行する。好ましくは、メモリ38は、ハードディスクドライブであるが、メモリ38は、他の種類のメモリでもあり得る。コンピュータプログラムとしては、タイミング、ガスの混合物、チャンバ圧、チャンバ温度、RF電力レベル、サセプタ位置、具体的なプロセスの他のパラメータを決定する使用説明書のセットが含まれる。例えば、フロッピーディスク、又は他の適切なドライブを含む他のメモリデバイスに記憶された他のコンピュータプログラムもコントローラ34を操作するために用いることができる。
[0062]基板上に膜を堆積する方法又はチャンバ15を洗浄する方法は、コントローラ34によって実行されるコンピュータプログラムプロダクトを用いて実施され得る。コンピュータプログラムコードは、あらゆる慣用のコンピュータ読取可能なプログラミング言語:例えば、68000アセンブリ言語、C、C++、パスカル、フォートラン他によって書かれ得る。適切なプログラムコードは、慣用のテキストエディタを用いて単一ファイル又は複数のファイルに入力され、コンピュータのメモリシステムのようなコンピュータ使用可能媒体に記憶又は具体化される。入力されたコードテキストが、高いレベルの言語である場合には、コードはコンパイルされ、得られたコンパイラコードは、その後、プレコンパイルMicrosoftWindows(登録商標)ライブラリルチーンのオブジェクトコードとリンクされる。リンクされコンパイルされたオブジェクトコードを実行するために、システムユーザはオブジェクトコードを起動し、コンピュータシステムがメモリ内のコードをロードさせる。その後、CPUは、プログラムにおいて識別されたタスクを行うためにコードを読み込み実行する。
[0063]ユーザとコントローラ34の間のインターフェースは、一つ以上のチャンバが含まれ得る基板処理システムにおけるシステムモニタとCVDシステム10の簡易図である図6Bに示される、CRTモニタ50aとライトペン50bを経由する。好ましい実施形態において、二つのモニタ50aが用いられ、一方はオペレータのクリーンルームの壁に、もう一方は使用技術者のために壁の後に取り付けられている。モニタ50aは、同一の情報を同時に表示するが、唯一のライトペン50bだけが可能である。ライトペン50bの先端の光センサは、CRTディスプレイによって放出された光を検出する。具体的なスクリーン又は機能を選択するために、オペレータはディスプレイスクリーンの指定された領域にタッチし、ペン50bのボタンを押す。タッチした領域は、強調された色に変わるか又は新しいメニュー又はスクリーンが表示され、ライトペンとディスプレイスクリーンとの間のコミュニケーションが確認される。キーボード、マウス、又は他のポインティングデバイス又はコミュニケーションデバイスのような他のデバイスは、ユーザがコントローラ34と連通することを可能にするためにライトペン50bの代わりに又はそれに加えて用いることができる。
[0064]図6Aは、ガス分配フェースプレート13aとガス分配マニホールド11を含むプロセスチャンバ15のリッドアセンブリ15bに取り付けられたリモートプラズマゼネレータ60を示す図である。図6Aに最もよく見られるように、取付アダプタ64によって、リッドアセンブリ15b上にリモートプラズマゼネレータ60が取り付けられている。アダプタ64は、典型的には金属から製造される。混合デバイス70は、ガス分配マニホールド11(図6A)の上流側に結合されている。混合デバイス70には、混合プロセスガスのための混合ブロックのスロット74の内側に配置された混合挿入物72が含まれる。セラミックイソレータ66は、取付アダプタ64と混合デバイス70(図6A)の間に配置されている。セラミックイソレータ66は、Al(純度99%)、テフロン(登録商標)等のセラミック材料から製造され得る。取り付けられた場合、混合デバイス70とセラミックイソレータは、リッドアセンブリ15bの一部を形成し得る。イソレータ66は、金属アダプタ64を混合デバイス70とガス分配マニホールド11から絶縁して、下で更に詳述されるリッドアセンブリ15b内に形成する第二プラズマの電位を最小限にする。三方バルブ77は、直接か又はリモートプラズマゼネレータ60を通ってプロセスチャンバ15へのプロセスガスのフローを制御する。
[0065]リモートプラズマゼネレータ60は、リッドアセンブリ15bに都合よく取り付けられ、コスト的変更も時間を要する変更もなく既存のチャンバに簡単に後から取り付けられる小型内蔵ユニットであることが望ましい。適切な一ユニットは、マサチューセッツ州ウォバーンのAppliedScience andTechnology,Inc.から入手できるASTRON(登録商標)ゼネレータである。ASTRON(登録商標)ゼネレータは、プロセスガスを解離するために低電場トロイダルプラズマを用いる。一例において、プラズマは、プロセスチャンバ15内で膜堆積物を洗浄するために用いられる遊離フッ素を生成するためにNFのようなフッ素含有ガスとアルゴンのようなキャリヤガスを含むプロセスガスを解離する。
[0066]幾つかの実施形態を記載してきたが、種々の変更、代替構成、等価物が本発明の精神から逸脱することなく用いることができることは当業者に認識される。更に、本発明を不必要にあいまいにすることを避けるために多くのよく知られるプロセスと要素は記載しなかった。従って、上記説明は、本発明の範囲を制限するものとするべきではない。
[0067]ある範囲の値が示される場合、それぞれの介在値は、特に明らかに影響されない限り、その範囲の上限と下限の間で下限の単位の1/10まで詳しく開示されることは理解される。記載されたあらゆる値又は記載された範囲の介在値と記載された他のあらゆる値又は記載されたその範囲の介在値の間のそれぞれのより小さい範囲が包含される。これらのより小さい範囲の上限と下限は独立して範囲に含むか又は除外することができ、記載された範囲の詳しく除外されたあらゆる限度を条件として、いずれか、いずれでもないか又は両方の限度が含まれるそれぞれの範囲も本発明の範囲に包含される。記載された範囲が一方又は両方の限度を含む場合、それらの含まれた限度のいずれか又は両方を除外する範囲も含まれる。
[0068]本明細書に用いられ、また、添えられた特許請求の範囲において、特に明らかに影響されない限り、単数形は、複数の対象を包含する。従って、例えば、“プロセス”について述べることは、複数のこのようなプロセスにもあてはまり、“前駆物質”について述べることは、一つ以上の前駆物質及び当業者に既知のその等価物等について述べることを包含する。
[0069]また、本明細書と以下の特許請求の範囲に用いられる語“備える”、“含む”は記載された特徴、整数、成分、又はステップの存在を特定するものであるが、一つ以上の他の特徴、整数、成分、ステップ、作用又は基の存在又は追加を除外しない。
図1は、本発明の実施形態に従って基板上に酸化物層を形成する方法におけるステップを示すフローチャートである。 図2は、本発明の追加の実施形態に従って酸化物層を形成する方法におけるステップを示す図である。 図3は、本発明の実施形態に従って層を堆積し硬化するために異なるチャンバを用いる酸化物層を形成する方法におけるステップを示す図である。 図4は、本発明の実施形態に従ってシリコン前駆物質を含有する非Si-C結合から酸化物層を形成する方法におけるステップを示すフローチャートである。 図5は、本発明の実施形態に従ってSi-C結合を含有するシリコン前駆物質から酸化物層を形成する方法におけるステップを示すフローチャートである。 図6Aは、本発明の実施形態に従って酸化シリコン層を形成するために用いることができる基板処理システムを示す縦断面図である。 図6Bは、本発明の実施形態に従って基板処理システムのシステムモニタ/コントローラ要素の簡易図である。
符号の説明
10…CVDシステム、11…ガス分配マニホールド、12…ペデスタル、12b…ウエハリフトピン、13a…フェースプレート、14…処理位置、15…プロセスチャンバ、15a…チャンバ壁、15b…チャンバリッドアセンブリ、16…環状スロット形オリフィス、17…プレナム、19…誘電体ライニング、20…円形チャンバリッド、21…横の拡張部分、23…排気通路、24…遮断バルブ、25…排出口、26…挿入/取出し開口部、32…モータ、50a…モニタ、50b…ペン、60…リモートプラズマゼネレータ、64…取付アダプタ、66…イソレータ、70…混合デバイス、72…混合挿入部。

Claims (31)

  1. 基板上に酸化シリコン層を堆積させる方法であって、
    堆積チャンバに基板を準備するステップと、
    該堆積チャンバの外部で原子酸素前駆物質を生成させ、且つ該原子酸素前駆物質を該チャンバへ導入するステップと、
    該堆積チャンバにシリコン前駆物質を導入するステップであって、該シリコン前駆物質と該原子酸素前駆物質を最初に該チャンバ内で混合する前記ステップと、
    該シリコン前駆物質と該原子酸素前駆物質を反応させて、該基板上に該酸化シリコン層を形成するステップと、
    堆積した該酸化シリコン層をアニールするステップと、
    を含む前記方法。
  2. 該基板が約300mm以下の直径を有するシリコンウエハを備えている、請求項1記載の方法。
  3. 複数の構造が該基板の表面上に形成され、ここで、該構造には高さと幅のアスペクト比が7:1以上のギャップとトレンチが含まれる、請求項1記載の方法。
  4. 該原子酸素前駆物質が、
    アルゴンを含むガス混合物からプラズマを形成するステップと、
    該プラズマに酸素前駆物質を導入するステップであって、該酸素前駆物質が解離して該原子酸素を形成する前記ステップと、
    によって形成される、請求項1記載の方法。
  5. 該酸素前駆物質が、分子酸素、オゾン、水蒸気(HO)、及び二酸化窒素からなる群より選ばれる、請求項4記載の方法。
  6. 該原子酸素前駆物質が、
    酸素前駆物質を光解離チャンバへ導入するステップと、
    該酸素前駆物質を紫外光にさらすステップであって、該紫外光が該酸素前駆物質を解離して原子酸素を形成する前記ステップと、
    によって形成される、請求項1記載の方法。
  7. 該酸素前駆物質が、分子酸素、オゾン、及び二酸化窒素からなる群より選ばれる、請求項6記載の方法。
  8. 該シリコン前駆物質が、シラン、ジメチルシラン、トリメチルシラン、テトラメチルシラン、ジエチルシラン、テトラメチルオルトシリケート(TMOS)、テトラエチルオルトシリケート(TEOS)、オクタメチルトリシロキサン(OMTS)、オクタメチルシクロテトラシロキサン(OMCTS)、テトラメチルジメチルジメトキシジシラン、テトラメチルシクロテトラシロキサン(TOMCATS)、DMDMOS、DEMS、メチルトリエトキシシラン(MTES)、フェニルジメチルシラン、及びフェニルシランからなる群より選ばれる、請求項1記載の方法。
  9. 該シリコン前駆物質が、ヘリウムを含むキャリヤガスと混合される、請求項1記載の方法。
  10. 該堆積チャンバが基板を支持するウエハペデスタルを備え、ここで、該酸化シリコン層が形成されるにつれて該基板が該ウエハペデスタルによって約0℃〜約150℃に調整される、請求項1記載の方法。
  11. 該酸化シリコン層が形成されるにつれて該堆積チャンバが約0.1トール〜約10トールの圧力を有する、請求項1記載の方法。
  12. 該酸化シリコン層が約250オングストローム/分〜約2μm/分の速度で形成される、請求項1記載の方法。
  13. 堆積された該酸化シリコンアニールステップが、熱アニール、スチ−ムアニール、プラズマアニール、紫外光アニール、eビームアニール、又はマイクロ波アニールを含む、請求項1記載の方法。
  14. 堆積された該酸化シリコン層の該アニールが、
    スチ−ムの存在下に第一アニール温度で該基板を加熱するステップと、
    乾燥窒素中第二アニール温度で該基板を加熱するステップと、
    を含む、請求項1記載の方法。
  15. 該第一アニール温度が約950℃までであり、該第二アニール温度が約900℃である、請求項14記載の方法。
  16. 該方法が、該原子酸素前駆物質又はシリコン前駆物質を該堆積チャンバに導入する前に該基板を前処理プラズマにさらすステップを含む、請求項1記載の方法。
  17. 該前処理プラズマが、アルゴン、ヘリウム、水素(H)、キセノン、又はアンモニアを含む高密度プラズマである、請求項16記載の方法。
  18. 該堆積チャンバが高密度プラズマ化学気相堆積(HDPCVD)システムの一部である、請求項1記載の方法。
  19. 基板上に酸化シリコン層を形成する方法であって、
    反応チャンバにシリコンウエハ基板を準備するステップと、
    高密度アルゴンプラズマにおける分子酸素の解離から原子酸素前駆物質を生成させるステップであって、該反応チャンバの外部のリモートプラズマ生成チャンバ内で該原子酸素前駆物質が生成される前記ステップと、
    該原子酸素前駆物質とシリコン前駆物質とを反応チャンバ内で混合するステップであって、該原子酸素前駆物質と該シリコン前駆物質が該反応チャンバに達する前には混合されない前記ステップと、
    該基板上に該酸化シリコンを堆積させるステップであって、該酸化シリコン層が該原子酸素と該シリコン前駆物質との反応からの反応生成物を含む、前記ステップと、
    を含む、前記方法。
  20. 該方法が、更に、堆積された該酸化シリコン層をアニールするステップを含む、請求項19記載の方法。
  21. 堆積された該酸化シリコンアニールステップが、熱アニール、スチ−ムアニール、プラズマアニール、紫外光アニール、eビームアニール、又はマイクロ波アニールを含む、請求項20記載の方法。
  22. 該シリコン前駆物質が、シラン、ジメチルシラン、トリメチルシラン、テトラメチルシラン、ジエチルシラン、テトラメチルオルトシリケート(TMOS)、テトラエチルオルトシリケート(TEOS)、フェニルジメチルシラン、及びフェニルシランからなる群より選ばれる、請求項19記載の方法。
  23. 基板上に酸化シリコン層を堆積させるシステムであって、
    該基板が保持される堆積チャンバと、
    該堆積チャンバに結合されたリモートプラズマ生成システムであって、該プラズマ生成システムが原子酸素前駆物質を生成させるために用いられる前記リモートプラズマ生成システムと、
    該堆積チャンバにシリコン前駆物質を供給するために用いられるシリコン前駆物質源と、
    該原子酸素前駆物質と該シリコン前駆物質のフローを該堆積チャンバへ送るために用いられる前駆物質処理システムであって、該前駆物質処理システムが、該原子酸素とシリコン前駆物質が該堆積チャンバに入る前に混合することを防止する、前記前駆物質処理システムと、
    を備えている前記システム。
  24. 該リモートプラズマ生成システムが、高密度プラズマ生成システムである、請求項23記載のシステム。
  25. 該システムが、該リモートプラズマ生成システムに結合されたアルゴンガス源と分子酸素ガス源を備えている、請求項24記載のシステム。
  26. キャリヤガス源からのキャリヤガスが、該堆積チャンバに入る前に該シリコン前駆物質と混合される、請求項23記載のシステム。
  27. 該前駆物質処理システムが、該堆積チャンバ内に形成された第一注入口と第二注入口を備え、ここで、該第一注入口と第二注入口が互いに直交方向に位置し、該原子酸素前駆物質が該第一注入口を通って該チャンバに入り、該シリコン前駆物質が該第二注入口を通って該チャンバに入る、請求項23記載のシステム。
  28. 該システムが、該酸化シリコン層をアニールするアニールシステムを備えている、請求項23記載のシステム。
  29. 該アニールシステムが、熱アニールシステム、スチ−ムアニールシステム、プラズマアニールシステム、紫外光アニールシステム、eビームアニールシステム、又はマイクロ波アニールシステムを備えている、請求項28記載のシステム。
  30. 該酸化シリコン層が、該堆積チャンバ内でアニールされる、請求項28記載の方法。
  31. 該システムが、高密度プラズマ化学気相堆積(HDPCVD)システムを備えている、請求項23記載の方法。
JP2009513437A 2006-05-30 2007-05-30 シリコン含有前駆物質と原子酸素を用いた高品質流動状二酸化シリコンの化学気相堆積 Pending JP2009539268A (ja)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US80348306P 2006-05-30 2006-05-30
US11/754,440 US7825038B2 (en) 2006-05-30 2007-05-29 Chemical vapor deposition of high quality flow-like silicon dioxide using a silicon containing precursor and atomic oxygen
PCT/US2007/069999 WO2007140424A2 (en) 2006-05-30 2007-05-30 Chemical vapor deposition of high quality flow-like silicon dioxide using a silicon containing precursor and atomic oxygen

Publications (1)

Publication Number Publication Date
JP2009539268A true JP2009539268A (ja) 2009-11-12

Family

ID=38779452

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2009513437A Pending JP2009539268A (ja) 2006-05-30 2007-05-30 シリコン含有前駆物質と原子酸素を用いた高品質流動状二酸化シリコンの化学気相堆積

Country Status (3)

Country Link
EP (1) EP2024532A4 (ja)
JP (1) JP2009539268A (ja)
WO (1) WO2007140424A2 (ja)

Cited By (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2010103495A (ja) * 2008-09-29 2010-05-06 Adeka Corp 半導体デバイス、その製造装置及び製造方法
WO2012165166A1 (ja) * 2011-06-03 2012-12-06 株式会社日立国際電気 半導体装置の製造方法、基板処理方法および基板処理装置
JP2013513235A (ja) * 2009-12-02 2013-04-18 アプライド マテリアルズ インコーポレイテッド 非炭素ラジカル成分cvd膜向けの酸素ドーピング
JP2013515355A (ja) * 2009-12-21 2013-05-02 アプライド マテリアルズ インコーポレイテッド 流動性cvd処理から形成された誘電体材料上で実行される湿式酸化処理
JP2015046469A (ja) * 2013-08-28 2015-03-12 株式会社東芝 半導体装置の製造方法
JP2017216335A (ja) * 2016-05-31 2017-12-07 株式会社日立国際電気 半導体装置の製造方法、基板処理装置、およびプログラム
JP2019503590A (ja) * 2015-12-21 2019-02-07 バーサム マテリアルズ ユーエス,リミティド ライアビリティ カンパニー ケイ素含有膜の堆積のための組成物及びそれを用いた方法

Families Citing this family (24)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9257302B1 (en) 2004-03-25 2016-02-09 Novellus Systems, Inc. CVD flowable gap fill
US7498273B2 (en) * 2006-05-30 2009-03-03 Applied Materials, Inc. Formation of high quality dielectric films of silicon dioxide for STI: usage of different siloxane-based precursors for harp II—remote plasma enhanced deposition processes
US9245739B2 (en) 2006-11-01 2016-01-26 Lam Research Corporation Low-K oxide deposition by hydrolysis and condensation
US7541297B2 (en) 2007-10-22 2009-06-02 Applied Materials, Inc. Method and system for improving dielectric film quality for void free gap fill
US7964040B2 (en) 2007-11-08 2011-06-21 Applied Materials, Inc. Multi-port pumping system for substrate processing chambers
KR101880838B1 (ko) * 2008-08-04 2018-08-16 더 트러스티즈 오브 프린스턴 유니버시티 박막 트랜지스터용 하이브리드 유전 재료
US8557712B1 (en) * 2008-12-15 2013-10-15 Novellus Systems, Inc. PECVD flowable dielectric gap fill
US8278224B1 (en) 2009-09-24 2012-10-02 Novellus Systems, Inc. Flowable oxide deposition using rapid delivery of process gases
US9285168B2 (en) 2010-10-05 2016-03-15 Applied Materials, Inc. Module for ozone cure and post-cure moisture treatment
US9719169B2 (en) 2010-12-20 2017-08-01 Novellus Systems, Inc. System and apparatus for flowable deposition in semiconductor fabrication
US10283321B2 (en) 2011-01-18 2019-05-07 Applied Materials, Inc. Semiconductor processing system and methods using capacitively coupled plasma
US20120180954A1 (en) 2011-01-18 2012-07-19 Applied Materials, Inc. Semiconductor processing system and methods using capacitively coupled plasma
US8466073B2 (en) 2011-06-03 2013-06-18 Applied Materials, Inc. Capping layer for reduced outgassing
US9404178B2 (en) 2011-07-15 2016-08-02 Applied Materials, Inc. Surface treatment and deposition for reduced outgassing
US8617989B2 (en) 2011-09-26 2013-12-31 Applied Materials, Inc. Liner property improvement
US8551891B2 (en) 2011-10-04 2013-10-08 Applied Materials, Inc. Remote plasma burn-in
US8846536B2 (en) 2012-03-05 2014-09-30 Novellus Systems, Inc. Flowable oxide film with tunable wet etch rate
GB201209693D0 (en) * 2012-05-31 2012-07-18 Dow Corning Silicon wafer coated with a passivation layer
US8889566B2 (en) 2012-09-11 2014-11-18 Applied Materials, Inc. Low cost flowable dielectric films
US9847222B2 (en) 2013-10-25 2017-12-19 Lam Research Corporation Treatment for flowable dielectric deposition on substrate surfaces
US9412581B2 (en) 2014-07-16 2016-08-09 Applied Materials, Inc. Low-K dielectric gapfill by flowable deposition
US10049921B2 (en) 2014-08-20 2018-08-14 Lam Research Corporation Method for selectively sealing ultra low-k porous dielectric layer using flowable dielectric film formed from vapor phase dielectric precursor
US9916977B2 (en) 2015-11-16 2018-03-13 Lam Research Corporation Low k dielectric deposition via UV driven photopolymerization
US10388546B2 (en) 2015-11-16 2019-08-20 Lam Research Corporation Apparatus for UV flowable dielectric

Citations (9)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO1992012535A1 (en) * 1991-01-08 1992-07-23 Fujitsu Limited Process for forming silicon oxide film
JPH0982696A (ja) * 1995-09-18 1997-03-28 Toshiba Corp 半導体装置の製造方法および半導体製造装置
JPH09251997A (ja) * 1996-03-18 1997-09-22 Toshiba Corp シリコン酸化膜の形成方法
JPH09260369A (ja) * 1996-03-25 1997-10-03 Toshiba Corp 絶縁膜の形成方法
JPH10154706A (ja) * 1996-11-13 1998-06-09 Applied Materials Inc 浅いトレンチ分離のための方法及び装置
JPH10321619A (ja) * 1997-05-21 1998-12-04 Nec Corp 酸化シリコン膜およびその形成方法と成膜装置
JP2000311893A (ja) * 1999-02-17 2000-11-07 Applied Materials Inc 原子ガスから材料層を形成する方法と装置
JP2001077105A (ja) * 1999-09-01 2001-03-23 Canon Sales Co Inc 絶縁膜の膜質改善方法
JP2001148382A (ja) * 1999-06-22 2001-05-29 Applied Materials Inc 有機珪素化合物とヒドロキシル形成化合物との反応による液状シリカ層の形成

Family Cites Families (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPS6141763A (ja) * 1984-04-24 1986-02-28 Anelva Corp 薄膜作成装置
US5873781A (en) * 1996-11-14 1999-02-23 Bally Gaming International, Inc. Gaming machine having truly random results
US7080528B2 (en) * 2002-10-23 2006-07-25 Applied Materials, Inc. Method of forming a phosphorus doped optical core using a PECVD process

Patent Citations (9)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO1992012535A1 (en) * 1991-01-08 1992-07-23 Fujitsu Limited Process for forming silicon oxide film
JPH0982696A (ja) * 1995-09-18 1997-03-28 Toshiba Corp 半導体装置の製造方法および半導体製造装置
JPH09251997A (ja) * 1996-03-18 1997-09-22 Toshiba Corp シリコン酸化膜の形成方法
JPH09260369A (ja) * 1996-03-25 1997-10-03 Toshiba Corp 絶縁膜の形成方法
JPH10154706A (ja) * 1996-11-13 1998-06-09 Applied Materials Inc 浅いトレンチ分離のための方法及び装置
JPH10321619A (ja) * 1997-05-21 1998-12-04 Nec Corp 酸化シリコン膜およびその形成方法と成膜装置
JP2000311893A (ja) * 1999-02-17 2000-11-07 Applied Materials Inc 原子ガスから材料層を形成する方法と装置
JP2001148382A (ja) * 1999-06-22 2001-05-29 Applied Materials Inc 有機珪素化合物とヒドロキシル形成化合物との反応による液状シリカ層の形成
JP2001077105A (ja) * 1999-09-01 2001-03-23 Canon Sales Co Inc 絶縁膜の膜質改善方法

Cited By (10)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2010103495A (ja) * 2008-09-29 2010-05-06 Adeka Corp 半導体デバイス、その製造装置及び製造方法
JP2013513235A (ja) * 2009-12-02 2013-04-18 アプライド マテリアルズ インコーポレイテッド 非炭素ラジカル成分cvd膜向けの酸素ドーピング
JP2013515355A (ja) * 2009-12-21 2013-05-02 アプライド マテリアルズ インコーポレイテッド 流動性cvd処理から形成された誘電体材料上で実行される湿式酸化処理
WO2012165166A1 (ja) * 2011-06-03 2012-12-06 株式会社日立国際電気 半導体装置の製造方法、基板処理方法および基板処理装置
JPWO2012165166A1 (ja) * 2011-06-03 2015-02-23 株式会社日立国際電気 半導体装置の製造方法、基板処理方法および基板処理装置
KR101509453B1 (ko) * 2011-06-03 2015-04-07 가부시키가이샤 히다치 고쿠사이 덴키 반도체 장치의 제조 방법, 기판 처리 방법 및 기판 처리 장치
US9006116B2 (en) 2011-06-03 2015-04-14 Hitachi Kokusai Electric Inc. Method of manufacturing semiconductor device, substrate processing method and substrate processing apparatus
JP2015046469A (ja) * 2013-08-28 2015-03-12 株式会社東芝 半導体装置の製造方法
JP2019503590A (ja) * 2015-12-21 2019-02-07 バーサム マテリアルズ ユーエス,リミティド ライアビリティ カンパニー ケイ素含有膜の堆積のための組成物及びそれを用いた方法
JP2017216335A (ja) * 2016-05-31 2017-12-07 株式会社日立国際電気 半導体装置の製造方法、基板処理装置、およびプログラム

Also Published As

Publication number Publication date
EP2024532A2 (en) 2009-02-18
WO2007140424A3 (en) 2008-02-21
EP2024532A4 (en) 2014-08-06
WO2007140424A2 (en) 2007-12-06

Similar Documents

Publication Publication Date Title
JP5225268B2 (ja) 二酸化シリコンの膜質を高める新規な堆積プラズマ硬化サイクルプロセス
US7825038B2 (en) Chemical vapor deposition of high quality flow-like silicon dioxide using a silicon containing precursor and atomic oxygen
JP2009539268A (ja) シリコン含有前駆物質と原子酸素を用いた高品質流動状二酸化シリコンの化学気相堆積
US7902080B2 (en) Deposition-plasma cure cycle process to enhance film quality of silicon dioxide
US7825044B2 (en) Curing methods for silicon dioxide multi-layers
KR101161074B1 (ko) 기판상에 실리콘 옥사이드 층을 형성시키는 방법
US7498273B2 (en) Formation of high quality dielectric films of silicon dioxide for STI: usage of different siloxane-based precursors for harp II—remote plasma enhanced deposition processes
US9404178B2 (en) Surface treatment and deposition for reduced outgassing
US20120309205A1 (en) Capping layer for reduced outgassing
KR20130014543A (ko) 라디칼 성분 cvd에 의한 컨포멀 층들

Legal Events

Date Code Title Description
A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20100518

A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20101012

RD03 Notification of appointment of power of attorney

Free format text: JAPANESE INTERMEDIATE CODE: A7423

Effective date: 20101130

RD04 Notification of resignation of power of attorney

Free format text: JAPANESE INTERMEDIATE CODE: A7424

Effective date: 20101210

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20120703

RD04 Notification of resignation of power of attorney

Free format text: JAPANESE INTERMEDIATE CODE: A7424

Effective date: 20120925

A521 Written amendment

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20121001

A02 Decision of refusal

Free format text: JAPANESE INTERMEDIATE CODE: A02

Effective date: 20130409