JP2001148382A - 有機珪素化合物とヒドロキシル形成化合物との反応による液状シリカ層の形成 - Google Patents

有機珪素化合物とヒドロキシル形成化合物との反応による液状シリカ層の形成

Info

Publication number
JP2001148382A
JP2001148382A JP2000187960A JP2000187960A JP2001148382A JP 2001148382 A JP2001148382 A JP 2001148382A JP 2000187960 A JP2000187960 A JP 2000187960A JP 2000187960 A JP2000187960 A JP 2000187960A JP 2001148382 A JP2001148382 A JP 2001148382A
Authority
JP
Japan
Prior art keywords
layer
compound
hydroxyl
silicon
depositing
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Withdrawn
Application number
JP2000187960A
Other languages
English (en)
Inventor
Farhad Moghadam
モグハダム ファーハッド
David W Cheung
ダブリュー. チェン デイヴィッド
Ellie Yieh
イー エリー
Li-Qun Xia
シー リー−チャン
Wai-Fan Yau
ヨー ウェイ−ファン
Chi-I Lang
ラン チー−アイ
Shin-Puu Jeng
ジェン シン−プー
Frederick Gaillard
ゲラルド フレデリク
Shankar Venkataraman
ヴェンカタラマン シャンカー
Srinivas D Nemani
ディ. ネマニ スリニヴァス
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Applied Materials Inc
Original Assignee
Applied Materials Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Applied Materials Inc filed Critical Applied Materials Inc
Publication of JP2001148382A publication Critical patent/JP2001148382A/ja
Withdrawn legal-status Critical Current

Links

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/40Oxides
    • C23C16/401Oxides containing silicon
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02126Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing Si, O, and at least one of H, N, C, F, or other non-metal elements, e.g. SiOC, SiOC:H or SiONC
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02203Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being porous
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02205Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
    • H01L21/02208Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/02274Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition in the presence of a plasma [PECVD]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/0228Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition deposition by cyclic CVD, e.g. ALD, ALE, pulsed CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02299Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer pre-treatment
    • H01L21/02304Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer pre-treatment formation of intermediate layers, e.g. buffer layers, layers to improve adhesion, lattice match or diffusion barriers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02318Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment
    • H01L21/02362Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment formation of intermediate layers, e.g. capping layers or diffusion barriers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/312Organic layers, e.g. photoresist
    • H01L21/3121Layers comprising organo-silicon compounds
    • H01L21/3122Layers comprising organo-silicon compounds layers comprising polysiloxane compounds
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/314Inorganic layers
    • H01L21/316Inorganic layers composed of oxides or glassy oxides or oxide based glass
    • H01L21/31604Deposition from a gas or vapour
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/314Inorganic layers
    • H01L21/316Inorganic layers composed of oxides or glassy oxides or oxide based glass
    • H01L21/31604Deposition from a gas or vapour
    • H01L21/31608Deposition of SiO2
    • H01L21/31612Deposition of SiO2 on a silicon body
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76822Modification of the material of dielectric layers, e.g. grading, after-treatment to improve the stability of the layers, to increase their density etc.
    • H01L21/76828Modification of the material of dielectric layers, e.g. grading, after-treatment to improve the stability of the layers, to increase their density etc. thermal treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76829Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers
    • H01L21/76834Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers formation of thin insulating films on the sidewalls or on top of conductors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02164Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon oxide, e.g. SiO2
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02205Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
    • H01L21/02208Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si
    • H01L21/02211Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound being a silane, e.g. disilane, methylsilane or chlorosilane
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02205Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
    • H01L21/02208Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si
    • H01L21/02214Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound comprising silicon and oxygen
    • H01L21/02216Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound comprising silicon and oxygen the compound being a molecule comprising at least one silicon-oxygen bond and the compound having hydrogen or an organic group attached to the silicon or oxygen, e.g. a siloxane
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/31051Planarisation of the insulating layers
    • H01L21/31053Planarisation of the insulating layers involving a dielectric removal step

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Inorganic Chemistry (AREA)
  • General Chemical & Material Sciences (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • Plasma & Fusion (AREA)
  • Formation Of Insulating Films (AREA)
  • Chemical Vapour Deposition (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)

Abstract

(57)【要約】 【課題】 サブミクロン素子におけるギャップ充填層、
プリメタル誘電体層、インターメタル誘電体層、浅いト
レンチ分離誘電体層等として使用するための低誘電率を
有する酸化珪素層を均一に堆積するための方法と装置と
を提供する。 【解決手段】 約400℃未満の基板温度での有機珪素
化合物とヒドロキシル形成化合物との反応によって低比
誘電体率を有する酸化珪素層を堆積する。これらの低誘
電率薄膜は、残留炭素を含んでおり、サブミクロン素子
におけるギャップ充填層、プリメタル誘電体層、インタ
ーメタル誘電体層および浅いトレンチ分離誘電体層のた
めに有用である。堆積に先立って水あるいは有機化合物
からヒドロキシル化合物を調製することができる。酸化
珪素層は、約3.0未満の誘電率を有するギャップ充填
層を与えるために有機珪素化合物から生成されたライナ
ー層の上に約40℃未満の基板温度で堆積されることが
好ましい。

Description

【発明の詳細な説明】
【0001】
【発明の属する技術分野】(関連出願)本出願は、19
98年2月11日に出願された同時係属中の米国特許出
願第09/021,788号(AMAT/2592)と
1999年2月10日に出願された同時係属中の米国特
許出願第09/247,381号(AMAT/303
2.P2)の一部継続出願である。
【0002】本発明は、集積回路の製造に関する。さら
に詳しくは本発明は、低k(誘電率)誘電体層を基板に
堆積する方法と装置に関する。
【0003】
【従来の技術】半導体素子の外形サイズは、半導体素子
が数十年前に初めて登場して以来、劇的に小さくなって
いる。そのとき以来、集積回路は一般に「2年/ハーフ
サイズ」の法則(しばしばムーアの法則と呼ばれる)に
従ってきたが、これは2年ごとにチップに載る素子数が
2倍になることを意味している。今日の製造工場は、
0.35μm更に0.18μmのフィーチャサイズを有
する素子を日常的に製造しているが明日の工場はやが
て、更に小さな形状の素子を製造していることであろ
う。
【0004】集積回路上の素子のサイズを更に小さくす
るために、隣接する金属線間の容量結合を減らすために
ギャップ充填層、プリメタル誘電体層インターメタル誘
電体層、浅いトレンチ分離誘電体層等として低い誘電率
(k<4、好ましくは3未満)を有する絶縁体を使用す
ることが必要となっている。kの低い絶縁体は、導電性
材料の上に直接堆積するか、あるいは副生物や水分等の
汚染物の導電性材料への拡散を防止するライナー/バリ
ア層の上に堆積することができる。例えばkの低い絶縁
体の形成時に生成され得る水分は直ぐに、導電性金属の
表面に拡散して導電性金属表面の抵抗率を増加させる。
通常の酸化珪素あるいは窒化珪素材料から形成されるバ
リア/ライナー層は、副生物や汚染物の拡散を阻止する
ことができる。しかしながらバリア/ライナー層、例え
ばSiNは一般に、4.0よりかなり大きな誘電率を持
っており、その結果この高い誘電率は、誘電率を余り大
きく減らさない組合せ絶縁体をもたらす。
【0005】図1Aは、国際公開番号WO94/018
85号に記載のギャップ充填層を堆積する方法を示す。
このPECVDプロセスは、基板4の上に形成された金
属線3を有するパターニングされた金属層の上に二酸化
珪素(SiO2)ライナー層2が最初に堆積されるマル
チコンポーネント誘電体層を堆積する。ライナー層2
は、300℃でのシラン(SiH4)と亜酸化窒素(N2
O)とのプラズマ増速反応によって堆積される。それか
らシランとヒドロキシル化合物との反応によってライナ
ー層2の上に、自己平滑化低誘電率誘電体層5が堆積さ
れる。この自己平滑化層5は、硬化によって除去される
水分を保持している。ライナー層2は、少なくとも4.
5の誘電率を与える仕方で堆積されるときにバリア特性
を持つ酸化されたシラン薄膜である。酸化されたシラン
薄膜の誘電率は、水分バリア特性を得るためにより好ま
しくないプロセス条件を選択することによって約4.1
にまで減少させることができる。SiNといった通常の
ライナー層は、更に高い誘電率を持っており、低誘電率
誘電体層と高誘電率誘電体ライナー層との組合せは、全
体としての誘電率と容量結合とを、殆どあるいは全く改
善できない。シランとN2Oとの反応によって自己平滑
化低誘電率誘電体層5の上に任意選択のSiO2キャッ
プ層を堆積することができる。
【0006】ヒドロキシル基を形成するために過酸化水
素をシランと反応させることによって生成されるギャッ
プ充填層が知られているが、低い誘電率を有する均一な
薄膜を得ることは困難である。半導体基板上に堆積され
た層の厚さは、一般に正確な量の反応物を供給すること
によって制御される。しかしながら過酸化水素は一般
に、水のなかに溶液として蓄えられており、反応チャン
バ内に正確な量の過酸化水素とシランとを供給すること
は困難である。したがって制御が更に容易である、珪素
化合物とヒドロキシル形成化合物とを組み合わせる方法
が必要となっている。
【0007】1997年1月14日に発行された米国特
許第5,593,741号には、テトラエトキシシラン
(テトラエチルオルソシリケートとしても知られるTE
OS)といった有機珪素化合物を酸素および/あるいは
オゾンと結合させることによって生成される酸化珪素層
を使用するギャップ充填プロセスが記載されている。こ
のプロセスは、水蒸気、過酸化水素あるいは酸素化され
ると水を形成するアルコールといった、任意選択の水の
源を含むことができる。ギャップ充填層は、プラズマを
形成するために使われた電力源を止めることによって同
じコンポーネントから絶縁保護層のプラズマ増速堆積に
続いて堆積される。反応器内の水の存在は、ギャップ充
填プロセスを僅かに改善すると考えられていた。
【0008】1997年3月11日に発行された米国特
許第5,610,105号には、水とTEOSの低温P
ECVDと、その後、誘電体層の密度を高めるための酸
素雰囲気中でのアニール処理とによって生成されるイン
ターメタル誘電体層が記載されている。
【0009】1998年1月20日に発行された米国特
許第5,710,079号には、オゾンを分解するため
にUV光を使って、TEOSといった有機珪素化合物を
オゾンと水とに結合させることによって生成される酸化
珪素層を使用するギャップ充填プロセスが記載されてい
る。オゾンの急速な分解は、水と結合して過酸化物を形
成する原子状酸素を形成すると想定された。
【0010】1994年11月1日に発行された米国特
許第5,360,646号には、TEOSを酢酸と結合
させることによって生成される酸化珪素を使用するギャ
ップ充填プロセスが記載されている。TEOS内の高度
に電気陰性の酸素は酢酸からの水素と反応して、堆積さ
れた酸化珪素薄膜内にヒドロキシル基を形成する。
【0011】ヒドロキシル基を含有する酸化珪素を堆積
するための利用可能なこれらの方法は、低誘電率を有す
る均一な誘電体層を生成しない。したがって、ヒドロキ
シル基を含有し、低誘電率を有する酸化珪素の均一な堆
積を与えるプロセスが望まれている。
【0012】
【課題を解決するための手段】本発明は、サブミクロン
素子におけるギャップ充填層、プリメタル誘電体層、イ
ンターメタル誘電体層、浅いトレンチ分離誘電体層等と
して使用するための低誘電率を有する酸化珪素層を均一
に堆積するための方法と装置とを提供する。本方法は、
約400℃未満の基板温度で炭素を含有する一つ以上の
珪素化合物(すなわち有機珪素化合物)をヒドロキシル
形成化合物と反応させる工程を含んでいる。これらの有
機珪素化合物は、過酸化水素あるいはジメチルジオキシ
ランといったヒドロキシル形成化合物との反応後に、堆
積された誘電体層内に残留する一つ以上の珪素・炭素結
合を含有していることが好ましい。ヒドロキシル形成化
合物は、堆積の前あるいは堆積の時に、オゾンとUV光
とを使う水の酸化によるか、ジメチルジオキシランを形
成するためのアセトンとモノペルオキシ硫酸カリウムと
の反応によるか、アセトンと過酸化水素とを生成するた
めのイソプロピルアルコールのオゾンあるいは酸素によ
る酸化といったヒドロキシルを形成する有機化合物の酸
化によって生成することができる。更にヒドロキシル形
成化合物は、ヒドロキシル基を形成するためにシロキサ
ン化合物と反応する水素を供給する酢酸といった酸、あ
るいは水と反応してヒドロキシル化合物を形成する酸性
化合物であることもある。
【0013】誘電体層は、有機珪素化合物と酸化ガスと
を含むプロセスガスから、パターニングされた金属層の
上に絶縁保護ライナー層を堆積することによって製造さ
れることが好ましい。このプロセスは任意選択的に、
0.05W/cm2から約1000W/cm2の高周波電
力密度、好ましくは約1W/cm2未満の電力密度、最
も好ましくは約0.1W/cm2から約0.3W/cm2
の範囲の電力密度を使って、プラズマ支援される。それ
からギャップ充填層は、珪素化合物とヒドロキシル形成
化合物とを含むプロセスガスから、約300℃未満の温
度で、好ましくは珪素・炭素結合を含有する有機珪素化
合物を使用するときに約40℃未満の温度で、ライナー
層の上に堆積される。均一な誘電体層の堆積は、水とい
ったヒドロキシル形成化合物を遠隔マイクロ波チャンバ
あるいは高周波チャンバ内で解離することによって実質
的に増速される。
【0014】均一な低誘電率誘電体層を生成する有機珪
素化合物は、メチルシランCH3SiH3、ジメチルシラ
ン(CH32SiH2、トリメチルシラン((CH33
SiH、1,1,3,3−テトラメチルジシラン(CH
32−SiH−O−SiH−(CH32のように一つ以
上の珪素・水素結合を持っていることが好ましい。ギャ
ップ充填層はまた、テトラメチルシラン(CH34
i、テトラエチルオルソシリケート(TEOS)といっ
た他の有機珪素化合物から均一に堆積することもでき
る。酸化珪素層は、水分含有量といった薄膜特性を安定
化するために低圧高温で硬化される。
【0015】本発明はまた、反応領域とその反応領域内
に基板を位置決めする基板保持具と真空システムとを有
するチャンバと、有機珪素化合物とヒドロキシル形成化
合物との供給源に前記チャンバの反応領域を連結するガ
ス供給システムと、前記反応領域内でプラズマを発生さ
せるための、前記ガス供給システムに連結された高周波
発生器と、前記チャンバとガス供給システムと高周波発
生器とを制御するための、コンピュータを含むコントロ
ーラとを有する基板処理システムを提供する。このコン
トローラは、このコントローラに接続されたメモリを持
っており、このメモリは、サブミクロン素子においてギ
ャップ充填層、プリメタル誘電体層、インターメタル誘
電体層、あるいは浅いトレンチ分離誘電体層を堆積する
方法を選択するためのコンピュータ読取り可能なプログ
ラムコードを含むコンピュータ使用可能媒体からなって
いる。
【0016】
【発明の実施の形態】本発明の上述の特徴、利点、目的
が達成され、また詳細に理解できるように、上記に簡潔
に要約された本発明の更に特定的な説明は、付属の図面
に例示されている本発明の実施形態の参照によって行う
ことができる。しかしながらこれらの付属の図面は、本
発明の単に代表的な実施形態を例示するものであり、し
たがって本発明の範囲を限定するものとは考えられない
ことに留意すべきである。それは、本発明が他の等しく
効果的な実施形態にも適用できるからである。
【0017】本発明は、低誘電率(k<約4)を有する
酸化珪素層を均一に堆積する方法と装置とを提供する。
この酸化珪素層は、有機シランあるいは有機シロキサン
といった有機珪素化合物を約400℃未満の基板温度
で、H22、ジメチルジオキシラン、酢酸、あるいは水
といったヒドロキシル形成化合物と反応させることによ
って生成される。酸化珪素層は、サブミクロン素子にお
けるギャップ充填層、プリメタル誘電体層、インターメ
ンタル誘電体層、浅いトレンチ分離誘電体層として使用
できる。この酸化珪素層は、炭素をドープされた酸化珪
素薄膜を形成するために約500℃未満の温度で硬化さ
れる。
【0018】約40℃未満の基板温度で堆積されると誘
電体層は、自己平滑化して、金属線間のサブミクロンの
ギャップの充填によく適応する。本発明のギャップ充填
層は、同じあるいは異なる有機シランあるいは有機シロ
キサン化合物のプラズマ増速酸化によって堆積されたラ
イナー層の上に堆積されることが好ましい。この酸化珪
素層内に残留する炭素は、低い誘電率に寄与する。有機
珪素化合物が一つ以上のSi−C結合を含有すると、こ
の残留炭素は原子量で約1%と約50%との間にある。
【0019】酸化珪素層は、処理状況における酸化によ
って直ぐには除去されない少なくとも一つのSi−C結
合、好ましくは少なくとも二つのSi−C結合を持って
いる珪素化合物から生成されることが好ましい。適当な
有機基もまた、アルケニル・シクロヘキセニル基および
官能基誘導体を含むことができる。このような有機珪素
化合物には、下記の物が含まれる:
【0020】メチルシラン CH3-SiH3シ゛メチルシラン (CH3)2-SiH2トリメチルシラン (CH3)3-SiHテトラメチルシラン (CH3)4Siテトラエトキシシラン (CH3-CH2-O-)4-Siシ゛メチルシランシ゛オール (CH3)2-Si-(OH)2エチルシラン CH3-CH2-SiH3フェニルシラン C6H5-SiH3シ゛フェニルシラン (C6H5)2-SiH2シ゛フェニルシランシ゛オール (C6H5)2-Si-(OH)3メチルフェニルシラン C6H5-SiH2-CH3シ゛シラノメタン SiH3-CH2-SiH3ヒ゛ス (メチルシラノ)メタン CH3-SiH2-CH2-SiH2-CH3 1,2-シ゛シラノエタン SiH3-CH2-CH2-SiH3 1,2-ヒ゛ス(メチルシラノ)エタン CH3-SiH2-CH2-CH2-SiH2-CH3 2,2-シ゛シラノフ゜ロハ゜ン SiH3-C(CH3)2-SiH3 1,3,5-トリシラノ-2,4,6-トリメチレン (-SiH2CH2-)3-(環状) 1,3-シ゛メチルシ゛シロキサン CH3-SiH2-O-SiH2-CH3 1,1,3,3-テトラメチルシ゛シロキサン (CH3)2-SiH-O-SiH-(CH3)2ヘキサメチルシ゛シロキサン (CH3)3-Si-O-Si-(CH3)3 1,3-ヒ゛ス(シラノメチレン)シ゛シロキサン (SiH3-CH2-SiH2-)2-Oヒ゛ス (1-メチルシ゛シロキサニル)メタン (CH3-SiH2-O-SiH2-)2-CH2 2,2-ヒ゛ス(1-メチルシ゛シロキサニル)フ゜ロハ゜ン (CH3-SiH2-O-SiH2-)2-CCH3)2 2,4,6,8-テトラメチルシクロテトラシロキサン (-SiHCH3-O-)4(環状)オクタメチルシクロテトラシロキサン -(-Si(CH3)2-O-)4(環状) 2,4,6,8,10-ヘ゜ンタメチルシクロヘ゜ンタシロキサン -(-SiHCH3-O-)5(環状) 1,3,5,7-テトラシラノ-2,6-シ゛オキシ-4,8-シ゛メ -(-SiH2-CH2-SiH2-O-)2-(環状)チレン 2,4,6-トリシランテトラヒト゛ロヒ゜ラン -SiH2-CH2-SiH2-CH2-SiH2-O-(環 状) 2,5-シ゛シランテトラヒト゛ロフラン -SiH2-CH2-CH2-SiH2-O-(環状)
【0021】ギャップ充填層がライナー層上に堆積され
るとき、このライナー層は、堆積された薄膜の炭素含有
量が原子量で約1%から約50%になるように、好まし
くは約5%から約30%になるように、上述のように酸
素(O2)か、亜酸化窒素(N2O)といった酸素含有化
合物か、オゾン(O3)か、二酸化炭素(CO2)かによ
って、好ましくはO2あるいはN2Oによって有機珪素化
合物を酸化させることによって準備されることが好まし
い。酸化された有機珪素層は、約3.0の誘電率を有
し、優れたバリア特性を持っている。この酸化された有
機珪素層は更に、通常の低誘電率誘電体と比較して高い
酸化物含有量と良好な密着特性とを持っている。
【0022】酸化化合物は、反応チャンバに入る前に反
応性を増進するために解離されることが好ましい。反応
化合物の解離を増進するために堆積チャンバに高周波電
力を接続することもできる。酸化化合物は、珪素含有化
合物の過度の解離を減らすために堆積チャンバに入れる
前に遠隔マイクロ波チャンバあるいは遠隔プラズマチャ
ンバ内で解離することもできる。酸化珪素層の堆積は、
連続的でも不連続的でもよい。堆積は単一の堆積チャン
バ内で行われることが好ましいが二つ以上の堆積チャン
バ内で逐次的に堆積することもできる。更に高周波電力
は、基板の加熱を減らし、堆積された薄膜の多孔性を増
進するために循環させるかパルスにしてもよい。酸化珪
素層の堆積中、基板は約−20℃から約400℃までの
温度に、好ましくは約−20℃から40℃までの温度に
維持される。
【0023】ギャップ充填層に関しては、上述の有機珪
素化合物は、好ましくは米国特許第5,710,079
号(参考のためにここに組み入れてある)に記載のよう
に約254ナノメータの波長を有するUV光の存在の下
でオゾン(O3)と水(H20)2とを組み合わせること
によって反応システム内で生成される過酸化水素(H2
2)との反応によるか、米国特許第4,303,63
2号(参考のためにここに組み入れてある)に記載のよ
うに有機化合物の酸化による下記のようなジメチルジオ
キシランといったオキシラン化合物との反応によるか、
あるいは米国特許第5,360,646号(参考のため
にここに組み入れてある)に記載のような酢酸との反応
によるか、といったヒドロキシル形成化合物との反応に
よって、堆積時に酸化される。ヒドロキシル形成化合物
はまた、遠隔高周波チャンバあるいは遠隔マイクロ波チ
ャンバ内で解離されることが好ましい。ギャップ充填層
は、原子量で約1%から約50%、最も好ましくは約5
%から約30%の炭素含有量を持っていることが好まし
い。ギャップ充填層の堆積中、基板は、約−20℃から
約400℃までの温度に維持され、好ましくはSi−C
結合含有有機珪素化合物のためには約−20℃から約4
0℃の温度に維持される。約400℃より高い温度で硬
化された後にギャップ充填層は、約3.5未満の誘電率
を持つ。酸化された有機珪素層は更に、良好な密着特性
を有する。
【0024】ヒドロキシル形成化合物と反応した有機珪
素化合物はまた、良好なバリア特性を有する酸化ライナ
ー層を用意するために使うこともできる。ライナー層を
用意するために使われるとき有機珪素化合物は下記の構
造を持つことが好ましい:
【0025】
【式1】
【0026】ここで各Siは1個あるいは2個の炭素原
子と結合しており、Cは有機基、好ましくは−CH3
−CH2−CH3、−CH2−あるいはCH2−CH2−と
いったアルキル基かアルケニル基、あるいはそれらのフ
ッ化炭素誘導体に含まれる。フッ素化された誘導体内の
炭素原子は、水素原子を置換するために部分的あるいは
全体的にフッ素化することができる。有機シラン化合物
あるいは有機シロキサン化合物は、2個以上のSi原子
を含んでおり、各Siは、−O−、−C−、あるいはC
−C−によって他のSiと分離されているが、ここでC
は、有機基、好ましくは−CH2−、−CH2−CH
2−、−CH(CH3)−あるいはC(CH32−といっ
たアルキル基かアルケニル基、あるいはそれらのフッ素
化誘導体に含まれる。
【0027】有機珪素化合物内の炭化水素基は、部分的
あるいは全面的にフッ素化されてC−H結合をC−F結
合に変換する。好適な有機珪素化合物の多くは、商業的
に入手可能である。誘電率、酸化物含有量、疎水性、薄
膜応力、プラズマエッチング特性といった一組の所望の
特性を用意するために二つ以上の有機珪素化合物の組合
せを使用することができる。
【0028】有機珪素化合物とヒドロキシル形成化合物
との反応は、ガス状反応物を解離する熱、高周波あるい
はマイクロ波エネルギーの印加によって起こり、またい
かなる解離でも反応器に入る前に起こることが好まし
い。酸化された化合物は、堆積された薄膜を形成する基
板といった、より低い温度の表面に付着する。堆積され
た薄膜は、水分バリア特性を改善するために、約100
℃から約500℃までの温度、好ましくは約400℃を
超える温度で水を除去するために堆積チャンバ内で硬化
される。この堆積された薄膜は、バリア特性を備えるに
十分な炭素含有量を持っている。
【0029】本発明は更に、反応領域と、この反応領域
内で基板を位置決めする基板保持具と、真空システムと
を含むチャンバを有する基板処理システムを提供する。
この処理システムは更に、チャンバの反応領域を有機シ
ランあるいは有機シロキサン、酸化ガスおよび不活性ガ
スの供給源に接続するガス供給システムと、このガス供
給システムに連結され、この反応領域内でプラズマを発
生させる高周波発生器とを含んでいる。ガス供給システ
ムは、ジメチルジオキシランといったヒドロキシル形成
化合物の供給源、あるいはアセトン、モノペルオキシ硫
酸カリウムといったガス状反応物を混合するための、あ
るいは水、過酸化水素、あるいは酸化してヒドロキシル
形成化合物と揮発性副生物とを形成する有機化合物とい
った液状反応物を気化するための気体/液体注入システ
ムを含むこともある。この処理システムは更に、チャン
バとガス供給システムとを制御するコンピュータを含む
コントローラと、このコントローラに接続されたメモリ
とを持っており、このメモリは、有機珪素化合物をヒド
ロキシル形成化合物と反応させて低誘電率薄膜を堆積す
る処理工程を選択するためのコンピュータ読取り可能プ
ログラムコードを持ったコンピュータ使用可能媒体から
なっている。
【0030】本発明の更なる説明は、本発明の酸化珪素
層を堆積するための特定の装置と、好適な酸化珪素薄膜
とに関する。
【0031】例示的CVDプラズマ反応器 本発明の方法を実施できる一つの適当なCVD反応器が
図2に示されており、図2は、高真空領域15を有する
平行平板型化学気相堆積(CVD)反応器10の縦断面
図である。反応器10は、昇降モータ14によって上昇
あるいは下降させられる基板支持プレートあるいはサセ
プタ12上に静止する基板あるいはウェハ(図示せず)
にマニホールド内に開けられた孔を通してプロセスガス
を分散させるガス供給マニホールド11を持っている。
液状の有機シランおよび/あるいは有機シロキサン化合
物を注入するために一般にTEOSの液体注入に使われ
るような液体注入システム(図示せず)が設けられるこ
ともある。好適な有機珪素化合物は、気体である。
【0032】反応器10は、抵抗加熱コイル(図示せ
ず)あるいは外部ランプ(図示せず)などによるプロセ
スガスと基板の加熱を含んでいる。図2を参照すれば、
サセプタ12は、サセプタ12(とサセプタ12の上面
に支持された基板と)が下方の積載/積み卸し位置とマ
ニホールド11に隣接した上方の処理位置との間で制御
可能に移動できるように支持ステム13上に取り付けら
れる。
【0033】サセプタ12と基板が処理位置14にある
とき、これらは絶縁体17によって囲まれており、プロ
セスガスはマニホールド24内に排気される。処理時
に、マニホールド11に取り込まれるガスは、基板の表
面全体に亘って放射状に均一に分配される。絞り弁を有
する真空ポンプ32は、チャンバからのガスの排気速度
を制御する。
【0034】マニホールド11に到達する前に堆積ガス
とキャリアガスは、ガスライン18を経由して混合シス
テム19内に入力され、そこでこれらのガスは合わされ
て、それからマニホールド11に送られる。酸化ガスは
任意選択的に、オゾン分解用のUV光チャンバといった
追加のチャンバ28、過酸化水素あるいは他の有機化合
物の気化用の図3に述べるような液体注入システム、水
あるいはN2Oといった酸化ガスの解離用のマイクロ波
印加装置、あるいはプロセスガスの活性化をアシストす
る遠隔高周波印加装置といった他のエネルギー源を経由
して導入されることもある。一般にプロセスガスの各々
のためのプロセスガス供給ラインはまた、(i)チャン
バ内へのプロセスガスの流入を自動的あるいは手動的に
遮断するために使用できる安全遮断弁(図示せず)と、
(ii)ガス供給ラインを通るガスの流れを測定するマ
スフロー・コントローラ(図示せず)とを含む。プロセ
スで有毒ガスが使われるときには、通常の構成で各ガス
供給ラインに数個の安全遮断弁が配置される。
【0035】反応器10内で実行される堆積プロセス
は、熱的プロセスか、RFあるいはマイクロ波増速プロ
セスのいずれでもよい。一実施形態では、制御されたプ
ラズマは一般に、RF(高周波)電源25から分配マニ
ホールド11に印加されるRF(高周波)エネルギーに
よって基板に隣接して形成される(サセプタ12は接地
されている)。その代替としてRF電力をサセプタ12
に与えるか、異なる周波数で異なるコンポーネントに与
えることもできる。RF電源25は、高真空領域15内
に導入される反応種の分解を促進するために単一あるい
は混合周波数のRF電力を供給することができる。混合
周波数RF電源は一般に、13.56MHzの高周波R
F(RF1)で分配マニホールド11に電力を供給し、
360KHzの低周波RF(RF2)でサセプタ12に
電力を供給する。本発明の酸化珪素層は、低レベルの一
定高周波RF電力あるいはパルス化されたレベルの高周
波RF電力を使って生成されることが最も好ましい。パ
ルス化されたRF電力は、13.56MHzのRF電力
を、約10%から約30%のデューティサイクルの時
に、好ましくは約20Wから約500Wで、最も好まし
くは20Wから約250Wで供給する。一定RF電力
は、13.56MHzのRF電力を、好ましくは約10
Wから約200Wで、更に好ましくは約20Wから約1
00Wで供給する。低電力の堆積プロセスは、約−20
℃から約40℃の温度範囲で行うことが好ましい。好適
な温度範囲では、堆積された薄膜は、堆積時に部分的に
重合し、この後の薄膜の硬化時に重合が完了する。
【0036】酸化ガスあるいはヒドロキシル形成化合物
の解離が所望のときは、図3で詳述する任意選択のマイ
クロ波チャンバ、あるいは遠隔RFプラズマチャンバ
が、堆積チャンバに入れる前に、水、O2、あるいはN2
Oといった酸化ガスに0から3000Wの電力を入力す
る。マイクロ波あるいはRF電力のプロセスガスへの別
々の印加は、解離したガスとの反応の前の珪素化合物の
過度の解離を防止する。珪素化合物とプロセスガスのた
めに別々の通路を有するガス分配プレートは、プロセス
ガスの一つにマイクロ波電力を印加するときに好適であ
る。
【0037】一般に、チャンバ・ライニング、分配マニ
ホールド11、サセプタ12、その他種々の反応器ハー
ドウエアのいずれか、あるいはすべては、アルミニウ
ム、陽極処理アルミニウムあるいはセラミックといった
材料から作られる。このようなCVD反応器の例は、W
ang等に発行され、本発明の譲受人であるAppli
ed Materials,Inc.に譲渡された、
「二酸化珪素の熱化学蒸着(熱CVD)とインシトゥマ
ルチステップ平滑化プロセスとのための熱CVD/PE
CVD反応器とその使用法(A Thermal CV
D/PECVD Reactor and Use f
or Thermal ChemicalVapor
Deposition of Silicon Dio
xideand In−situ Multi−ste
p Planarized Process)」と題す
る、米国特許第5,000,113号公報に記載されて
いる。
【0038】昇降モータ14は、処理位置と下方の基板
積載位置との間でサセプタ12を昇降させる。このモー
タとガス混合システム19と高周波電源25は、制御線
36を介してシステムコントローラ34によって制御さ
れる。反応器は、好適な実施形態ではハードディスク駆
動装置であるメモリ38内に記憶されたシステム制御ソ
フトウエアを実行するシステムコントローラ34によっ
て制御される、マスフロー・コントローラ(MFC)と
いったアナログの組立て部と、標準の、あるいはパルス
の高周波発生器とを含んでいる。真空ポンプ32の絞り
弁、サセプタ12を位置決めするモータといった可動の
機械的組立て部の位置を動かし、決定するために、モー
タと光学センサとが使用される。
【0039】システムコントローラ34は、本CVD反
応器の活動のすべてを制御しており、本コントローラ3
4の好適な実施形態は、ハードディスク駆動装置とフロ
ッピー(登録商標)ディスク駆動装置とカードラックと
を含む。カードラックは、シングルボード・コンピュー
タ(SBC)とアナログおよびディジタルの入力/出力
ボードとインタフェース・ボードとパルスモータ制御ボ
ードとを持っている。システムコントローラは、ボード
とカードケージとコネクタとの寸法とタイプとを定義し
ているVersa Modular European
s(VME)規格に準拠している。このVME規格はま
た、16ビット・データバスと24ビット・アドレスバ
スとを有するバス構造も定義している。
【0040】本システムコントローラ34は、ハードデ
ィスク駆動装置38に記憶されたコンピュータプログラ
ムの制御下で動作する。このコンピュータプログラム
は、ある特定のプロセスのタイミング、ガスの混合、高
周波電力レベル、サセプタ位置、その他のパラメータを
指示する。
【0041】図3は、本発明の一実施形態による、反応
器10に入れる前に水といったプロセスガスを解離する
ための遠隔マイクロ波チャンバ28の単純化された図で
ある。遠隔マイクロ波チャンバ28は、アプリケータ・
チューブ(プラズマ印加管)92と、紫外線(UV)ラ
ンプ131とUV電源133とを含むプラズマ点火シス
テムと、接合部119で相互に連結できる種々の長さの
直線導波管部/曲線導波管部115、117を有するマ
イクロ波導波管システムと、自動インピーダンス整合用
にフィードバックを必要とする各実施形態のために任意
選択の位相検出器103を含むこともあるインピーダン
ス整合システム101を含む各要素を最適化する出力導
波管部121と、負荷107を有するサーキュレータ1
05と、マグネトロン111とを含んでいる。
【0042】マグネトロン111は、約2.45ギガヘ
ルツ(GHz)の周波数のマイクロ波の連続波(CW)
出力あるいはパルス出力用の約0から3000Wの間で
動作できる一般的なマグネトロン源である。もちろん他
のマグネトロンを利用することもできる。サーキュレー
タ105は、マグネトロン111からアプリケータ・チ
ューブ92に向う前進方向のマイクロ波伝送のみを可能
にしている。負荷107は、導波管システムから反射し
てマグネトロン711の方に戻ってくる如何なるパワー
も吸収する。サーキュレータ105は、他の導波管部1
15に連結された位相検出器103に連結された導波管
部115に連結している。位相検出器103はもし利用
されるならば、取り付けられたチューニングあるいはマ
ッチングシステム101を有する他の導波管部115に
曲線導波管部117を介して連結される。スタブ・チュ
ーナーあるいはその他のチューニング要素を使用するこ
ともあるチューニングシステム101は、マイクロ波チ
ャンバ28に、導波管部121の負荷を各導波管部のイ
ンピーダンス特性に整合させる能力を与える。チューニ
ングシステム101は、特定の実施形態にしたがって、
固定チューニングか、手動チューニングか、自動チュー
ニングを備えている。自動チューニングを使用する実施
形態の場合、位相検出器103は、適当に負荷を知的・
動的に整合させるマッチングシステム101にフィード
バックのために伝送されるマイクロ波の位相を検出する
3ダイオードアレイである。この特定の実施形態では導
波管部は矩形断面を持っているが、他のタイプの導波管
部でも使用可能である。
【0043】アプリケータ・チューブ92は、複合材料
あるいはセラミック材料、好ましくはアルミナ、その他
ラジカルによるエッチングに耐える材料で作られた、円
形の(あるいは他の断面形状の)チューブである。ある
特定の実施形態ではアプリケータ・チューブ92は、約
18から24インチの長さと約3から4インチの断面直
径を持っている。アプリケータ・チューブ92は、導波
管部121を貫通して配置されており、この導波管部1
21はマイクロ波を伝送するために一端が開いており、
他端は金属壁で終端されている。マイクロ波は、導波管
部121の開口端を通って、マイクロ波に対して透過性
のあるアプリケータ・チューブ92内のガスにまで伝送
される。アプリケータ・チューブ92の内部にサファイ
アといった他の材料が使用できるのは無論である。他の
実施形態では、アプリケータ・チューブ92は、金属の
外面と複合材料あるいはセラミック材料の内面とを持っ
ており、導波管部121内のマイクロ波は、アプリケー
タ・チューブ92の外面を通る窓に入ってチューブ92
の露出した内面に達し、ガスを付勢する。
【0044】図4は、反応器10にヒドロキシル化合物
を供給する代替の方法を示す。この実施形態では、図2
の任意選択のチャンバ28は、気化と、混合チャンバ1
9内での酸素および/あるいはオゾンといった他のガス
との混合とに先立って、水あるいは過酸化水素あるいは
2エチルアントラヒドロキノン、ベンズヒドロールある
いはイソプロピルアルコールといった他の液体反応物の
流れを精密に測定するフロー・コントローラ44を有す
る液体注入システム42である。注入システム42から
の液体の均一な流れは、非反応性ガス、代表的にはヘリ
ウムをシステム42内に導入して知られたボリュームの
液体を追い出すことによって得られる。この液体は任意
選択的に、混合チャンバ19に入る前にマイクロ波チャ
ンバあるいはUVチャンバといったエネルギー・チャン
バ44内で気化される。酸化ガスあるいはヒドロキシル
形成ガスの流れは、反応物の流れを精密に制御するフロ
ーメーター40で測定される。反応器ポンプ32は、混
合チャンバ19から組合せガスを引く。この組合せガス
は、図3で説明したようにガスを解離するためにマイク
ロ波チャンバ28あるいは他のチャンバを通過できるこ
とは無論である。
【0045】図5を参照すれば、本発明のプロセスは、
例えばシステムコントローラ34上を走行するコンピュ
ータプログラム製品410を使って実現できる。このコ
ンピュータプログラム・コードは、例えば68000ア
センブリー言語、C、C++あるいはパスカルといった
通常のコンピュータ読取り可能プログラミング言語で書
くことができる。適当なプログラムコードは、通常のテ
キスト・エディタを使って単一ファイルあるいは多数フ
ァイルに入れられ、コンピュータのメモリシステムとい
ったコンピュータ使用可能媒体に記憶されるか、具体化
される。もし入力されたコード・テキストが高級言語で
書かれていれば、このコードはコンパイルされ、結果と
して得られたコンパイラ・コードはそれからプリコンパ
イルされたウィンドウズライブラリ・ルーチンのオブジ
ェクトコードにリンクされる。リンクされたコンパイル
済みオブジェクトコードを実行するためにシステムユー
ザは、オブジェクトコードを呼び出して、コンピュータ
システムにそのコードをメモリ内にロードさせて、CP
Uはそのメモリからコードを読み取って実行し、プログ
ラム内で識別されたタスクを実行する。
【0046】図5は、コンピュータプログラム410の
階層的制御構造の例示的ブロック図である。ユーザは、
プロセスセット番号とプロセスチャンバ番号とをプロセ
スセレクタ・サブルーチン420に入力する。プロセス
セットは、指定されたプロセスを実行するために必要な
プロセスパラメータの予め決められたセットであって、
予め定義されたセット番号によって識別される。プロセ
スセレクタ・サブルーチン420は、(i)Centu
ra(登録商標名)プラットフォーム(Applied
Materials,Inc.から入手可能)といっ
たクラスタ・ツール上の所望のチャンバを選択し、また
(ii)所望のプロセスを実行するプロセスチャンバを
動作させるために必要なプロセスパラメータの所望のセ
ットを選択する。特定のプロセスを実行するためのプロ
セスパラメータは、例えばプロセスガスの組成と流量、
温度、圧力、高周波バイアス電力レベルと磁界電力レベ
ルといったプラズマ条件、冷却ガス圧力、およびチャン
バ壁温度などといったプロセス条件に関係しており、レ
シピの形でユーザに提供される。このレシピによって指
定されるパラメータは、ライトペン/CRTモニタ・イ
ンタフェースを利用して入力される。
【0047】プロセスを監視するための信号は、システ
ムコントローラのアナログ入力ボードとディジタル入力
ボードとによって与えられ、プロセスを制御するための
信号は、システムコントローラ34のアナログ出力ボー
ドとディジタル出力ボードとに出力される。
【0048】プロセスシーケンサ・サブルーチン430
は、識別されたプロセスチャンバとプロセスパラメータ
のセットとをプロセスセレクタ・サブルーチン420か
ら受け入れて、種々のプロセスチャンバの動作を制御す
るプログラムコードを持っている。多数ユーザがプロセ
スセット番号とプロセスチャンバ番号とを入力でき、あ
るいは一人のユーザが多数のプロセスチャンバ番号を入
力できるので、シーケンサ・サブルーチン430は、選
択されたプロセスを所望の順序にスケジューリングする
ように動作する。シーケンサ・サブルーチン430は、
(i)チャンバが使用中であるかどうかを決定するため
にプロセスチャンバの動作を監視する工程と、(ii)
使用中のチャンバ内でどの様なプロセスが実行中である
かを決定する工程と、(iii)実行すべきプロセスの
プロセスチャンバとプロセスタイプとの利用可能性に基
づいて所望のプロセスを実行する工程とを実行するため
のコンピュータ読取り可能プログラムコードを含んでい
ることが好ましい。プロセスチャンバを監視するために
は、ポーリングといった通常の方法が使用できる。どの
プロセスを実行すべきかをスケジューリングするとき
に、シーケンサ・サブルーチン430は、選択されたプ
ロセスに関する所望のプロセス条件、あるいは各特定の
ユーザ入力の要求の「年齢」、あるいはスケジューリン
グの優先順位を決めるためにシステムプログラマが含ま
せたいと希望するその他の関連要因と比較して、使用中
のプロセスチャンバの現在条件を考慮に入れるように設
計することができる。
【0049】一旦、シーケンサ・サブルーチン430が
どのプロセスチャンバとプロセスセットの組合せを次に
実行しようとしているかを決定すれば、シーケンサ・サ
ブルーチン430によって決定されたプロセスセットに
したがって、シーケンサ・サブルーチン430は、特定
のプロセスセット・パラメータを反応器10内の多数の
処理タスクを制御するチャンバマネージャ・サブルーチ
ン440に渡すことによってそのプロセスを実行させ
る。例えばチャンバマネージャ・サブルーチン440
は、プロセスチャンバ10内のCVDプロセス動作を制
御するためのプログラムコードを持っている。チャンバ
マネージャ・サブルーチン440はまた、選択されたプ
ロセスセットを実行するために必要なチャンバコンポー
ネントの動作を制御する種々のチャンバコンポーネント
・サブルーチンの実行も制御する。チャンバコンポーネ
ント・サブルーチンの例としては、サセプタ制御サブル
ーチン450、プロセスガス制御サブルーチン460、
圧力制御サブルーチン470、ヒータ制御サブルーチン
480、プラズマ制御サブルーチン490がある。本技
術に通常のスキルを有する人々であれば、反応器10内
でどのようなプロセスを実行したいかによって他のチャ
ンバ制御サブルーチンを含ませることができることは直
ちに理解するであろう。
【0050】動作に際して、チャンバマネージャ・サブ
ルーチン440は、実行する特定のプロセスセットにし
たがってプロセスコンポーネント・サブルーチンを選択
的にスケジューリングして呼び出す。チャンバマネージ
ャ・サブルーチン440は、シーケンサ・サブルーチン
430が、どの反応器10とプロセスセットとを次に実
行すべきかをどの様にスケジューリングするかと同様
に、プロセスコンポーネント・サブルーチンをスケジュ
ーリングする。一般的にチャンバマネージャ・サブルー
チン440は、種々のチャンバコンポーネントを監視す
る工程と、実行すべきプロセスセットに関するプロセス
パラメータに基づいてどのコンポーネントを動作させる
必要があるかを決定する工程と、これら監視工程と決定
工程とに応じてチャンバコンポーネント・サブルーチン
の実行を行わせる工程とを含んでいる。
【0051】さて図5を参照しながら、特定のチャンバ
コンポーネント・サブルーチンの動作を説明する。サセ
プタ制御位置決めサブルーチン450は、サセプタ12
の上に基板を積載するために、また任意選択的に基板を
反応器10内で所望の高さに引き上げて基板とガス分配
マニホールド11との間の間隔を制御するために使われ
る、チャンバコンポーネントを制御するためのプログラ
ムコードを含んでいる。基板が反応器10内に積載され
るとき、サセプタ12は下降して基板を受け、その後、
サセプタ12は、チャンバ内で所望の高さにまで上昇し
て、CVDプロセスの間中、ガス分配マニホールド11
から第1の距離あるいは間隔にこの基板を保持する。動
作に際して、サセプタ制御サブルーチン450は、チャ
ンバマネージャ・サブルーチン440から渡されたプロ
セスセット・パラメータに応じてサセプタ12の動きを
制御する。
【0052】プロセスガス制御サブルーチン460は、
プロセスガスの組成と流量とを制御するためのプログラ
ムコードを持っている。プロセスガス制御サブルーチン
460は、安全遮断弁の開閉位置を制御し、また所望の
ガス流量を得るためにマスフロー・コントローラの立ち
上げ/立ち下げ(ランプアップ/ランプダウン)を行
う。プロセスガス制御サブルーチン460は、すべての
チャンバコンポーネント・サブルーチンと同様にチャン
バマネージャ・サブルーチン440によって呼び出さ
れ、所望のガス流量に関係するプロセスパラメータをチ
ャンバマネージャ・サブルーチンから受け取る。一般に
プロセスガス制御サブルーチン460は、ガス供給ライ
ンを開くことによって動作し、(i)必要なマスフロー
・コントローラを読み取り、(ii)その読みをチャン
バマネージャ・サブルーチン440から受け取った所望
の流量と比較し、(iii)必要に応じてガス供給ライ
ンの流量を調整することを繰り返し行う。更にプロセス
ガス制御サブルーチン460は、危険な流量に関してガ
ス流量を監視する工程と、危険状態が検出されたときに
安全遮断弁を起動する工程とを含んでいる。
【0053】幾つかのプロセスでは、反応性プロセスガ
スがチャンバ内に導入される前にチャンバ内の圧力を安
定化するために、反応器10内にヘリウム、アルゴン、
あるいは窒素といった非反応性ガスが流し込まれる。こ
れらのプロセスでは、プロセスガス制御サブルーチン4
60は、チャンバ内の圧力を安定化するために必要な時
間だけ反応器10内に不活性ガスを流し込む工程を含む
ようにプログラミングされ、それから前述の各工程が実
行されるであろう。更にプロセスガスが液状前駆物質、
例えばイソプロピルアルコールから気化されることにな
っている場合には、プロセスガス制御サブルーチン46
0は、バブラー42内で液状前駆物質を通してオゾンと
いった送出ガスを泡立てる工程を含むように書かれるで
あろう。このタイプのプロセスではプロセスガス制御サ
ブルーチン460は、所望のプロセスガス流量を得るた
めに送出ガスの流れとバブラー内の圧力とバブラー温度
とを調整する。前述のように所望のプロセスガス流量
は、プロセスパラメータとしてプロセスガス制御サブル
ーチン460に転送される。更にプロセスガス制御サブ
ルーチン460は、所定のプロセスガス流量のために必
要な値を収容している記憶されたテーブルにアクセスす
ることによって所望のプロセスガス流量のために必要な
送出ガス流量とバブラー圧力とバブラー温度とを取得す
る工程を含んでいる。一旦、必要な値が取得されると、
送出ガス流量とバブラー圧力とアブラー温度とが監視さ
れ、必要な値と比較され、それらにしたがって調整され
る。
【0054】圧力制御サブルーチン470は、排気ポン
プ32内の絞り弁の開口のサイズを調整することによっ
て反応器10内の圧力を制御するためのプログラムコー
ドを持っている。絞り弁の開口のサイズは、全プロセス
ガス流量とプロセスチャンバのサイズと排気ポンプ32
のためのポンピング設定点圧力とに関して、チャンバ圧
力を所望のレベルに制御するように設定される。圧力制
御サブルーチン470が呼び出されると、チャンバマネ
ージャ・サブルーチン440からのパラメータとして所
望のあるいは目標の圧力レベルが受け取られる。圧力制
御サブルーチン470は、チャンバに連結された一つ以
上の在来型圧力マノメータを読み取ることによって反応
器10内の圧力を測定し、この測定値を目標圧力と比較
し、この目標圧力に対応する、記憶された圧力テーブル
からPID(比例、積分、微分)値を取得し、この圧力
テーブルから得られたPID値にしたがって絞り弁を調
整するように動作する。その代替として圧力制御サブル
ーチン470は、所望の圧力に反応器10を調整するた
めに特定の開口サイズに絞り弁を開くか閉じるように書
くこともできる。
【0055】ヒータ制御サブルーチン480は、サセプ
タ12を加熱するために使われる加熱モジュールの温度
あるいは放射熱を制御するためのプログラムコードを持
っている。このヒータ制御サブルーチン480もまた、
チャンバマネージャ・サブルーチン440によって呼び
出され、目標あるいは設定点温度パラメータを受け取
る。このヒータ制御サブルーチン480は、サセプタ1
2に配置された熱電対の電圧出力を測定することによっ
て温度を測定し、この測定温度を設定点温度と比較し、
設定点温度を得るために加熱モジュールに印加される電
流を増加あるいは減少させる。この温度は、記憶された
変換テーブル内の対応する温度を調べるか、4次多項式
を使ってその温度を計算することによって、その測定さ
れた電圧から得られる。ヒータ制御サブルーチン480
は、加熱モジュールに印加される電流の立ち上げ/立ち
下げ(ランプアップ/ランプダウン)を徐々に制御す
る。徐々の立ち上げ/立ち下げは加熱モジュールの寿命
と確実性を拡大する。更にプロセスの安全性遵守を検出
するために内蔵フェールセーフ・モードを含むことがで
き、これによって、もし反応器10が正しく起動されな
い場合に加熱モジュールの動作を停止させることができ
る。
【0056】プラズマ制御サブルーチン490は、反応
器10内のプロセス電極に印加される高周波バイアス電
圧電力レベルを設定するための、また任意選択的に反応
器10内で生成される磁界のレベルを設定するためのプ
ログラムコードを持っている。前述のチャンバコンポー
ネント・サブルーチンと同様に、このプラズマ制御サブ
ルーチン490は、チャンバマネージャ・サブルーチン
440によって呼び出される。
【0057】前述のCVDシステムの説明は主として例
示目的のためであって、電極サイクロトロン共鳴(EC
R)プラズマCVD装置、誘導結合高周波高密度プラズ
マCVD装置等といった他のプラズマCVD装置も使用
できる。更にサセプタ設計、ヒータ設計、高周波電力接
続の位置、その他における変形といった上述のシステム
の変形も可能である。例えば基板は、抵抗加熱サセプタ
によって支持され、加熱されることも可能であろう。
【0058】3層ギャップ充填プロセスにおける酸化さ
れた有機シランあるいは有機シロキサン誘電体の堆積本
発明の酸化された有機シランあるいは有機シロキサン層
は、大抵の用途で酸化珪素層を置き換えるために使用で
きる。本発明の融通性を示す実施形態は、図2の反応器
を使用する、図6に示す3層ギャップ充填プロセスであ
る。図6を参照すると、反応器10に基板が位置決めさ
れ200、低誘電率を有する酸化された有機シラン層
が、有機シラン化合物および/あるいは有機シロキサン
化合物とO2あるいはN2Oといった酸化ガスとを含むプ
ラズマからPECVDプロセスによって堆積される(工
程205)。この堆積工程205は、本技術で知られた
方法によるチャンバ15内の容量結合プラズマあるいは
誘導結合・容量結合の両プラズマを含むことができる。
プラズマ発生を助けるためにPECVDプロセスでは一
般に、ヘリウムといった不活性ガスが使われる。それか
ら、好ましくはライナー層を生成するために使われる有
機シランあるいは有機シロキサン化合物をヒドロキシル
形成化合物と反応させることによって、本発明にしたが
ってライナー層上にギャップ充填層が堆積される(工程
210)。このギャップ充填層は、自己平滑性であるこ
とが好ましく、また水を除去するための硬化後に疎水性
になることが好ましい。それから、好ましくはライナー
層を堆積する同じプロセスを使ってギャップ充填層上に
キャップ層が堆積される(工程215)。それから基板
は、反応器10から取り除かれる(工程220)。
【0059】図7Aから7Eを参照すれば、この3層ギ
ャップ充填プロセスは、酸化された有機シランあるいは
有機シロキサン・ポリマーのPECVDライナー層30
0を与える。ライナー層300は、後続のギャップ充填
層302と基底をなす基板表面304とこの基板表面に
形成される金属線306、308、310との間の分離
層として働く。ギャップ充填層302は、酸化された有
機シランあるいは有機シロキサン・ポリマーのPECV
Dキャップ層312によって覆われる。このプロセス
は、CVD反応器10のためのコンピュータコントロー
ラ34のメモリ38内に記憶されたコンピュータプログ
ラムを使って実施され、制御される。図7Aを参照すれ
ば、PECVDライナー層300は、N2Oといった酸
化ガスと、メチルシラン(CH3SiH3)、トリメチル
シラン((CH33SiH)等といった有機シランある
いは有機シロキサン化合物と、ヘリウムといったキャリ
アガスとを導入することによって反応器10で堆積され
る。PECVDライナー層の堆積の間中、基板は、約−
20℃から約400℃の温度に維持され、好ましくは約
−20℃から約40℃の温度に維持される。このPEC
VDライナー層は、約5sccmから約500sccm
の流量の有機シランおよび/あるいは有機シロキサン化
合物と、約5sccmから約2000sccmの流量の
酸化ガスとの混合物を含むプロセスガスによって堆積さ
れる。これらのプロセスガスは、約200sccmから
約20,000sccmの流量の、一般に薄膜中には混
入されないヘリウム、アルゴンといった不活性ガス、あ
るいは窒素といった比較的不活性のガスによって搬送さ
れる。これらのプロセスガスは、約0.2Torrから
約20Torr、好ましくは約10Torr未満の圧力
で反応して、基板表面304と金属線306、308、
310の上に絶縁保護酸化珪素層を形成する。この反応
は、約0.05W/cm2から約1000W/cm2の電
力密度、好ましくは約1W/cm2未満の電力密度、最
も好ましくは約0.1W/cm2から約0.3W/cm2
の範囲の電力密度でプラズマ増速される。
【0060】8”単一基板チャンバに関しては、約1
3.56MHzの高周波RFソースは、ガス供給システ
ムに接続されて約10から約500Wで駆動されること
が好ましく、一方約350から1MHzの低周波RFソ
ースは、任意選択的にサセプタに接続されて約0から約
100Wで駆動される。好適な実施形態では、高周波R
Fソースは、約20Wから約250WのパルスRF電力
で駆動され、低周波RFソースは、約10%から約30
%のデューティサイクルの約0から約50WのパルスR
F電力で駆動される。パルス化されたRF電力は、好ま
しくは短い間隔で、最も好ましくは約200Hz未満の
周波数で循環することである。高周波RF電力が一定で
あるときは、電力レベルは約20Wから約100Wの範
囲にあることが好ましい。
【0061】それから酸化された有機シランあるいは有
機シロキサン層は、約10Torr未満の圧力と約30
0℃から約500℃の温度で硬化される。任意選択的に
硬化は、追加の誘電体層の堆積後に行うこともできる。
【0062】上述のプロセス条件は、図7Bに示すギャ
ップ充填層302の後続の堆積のために改善されたバリ
ア特性を有するPECVDライナー層を毎分約2000
Åの速度で堆積するという結果をもたらす。メチルシラ
ンから得られたライナー層は、疎水性であるのに十分な
C−H結合を持っており、優れた水分バリアとなる。疎
水性ライナー層の堆積は、後続の親水性ギャップ充填層
を良好な水分バリア特性を有する疎水層に変換するとい
う驚くべき、予期しない結果をもたらしている。
【0063】ギャップ充填層302のためのプロセスガ
スは、いかなる有機珪素化合物を含んでもよいが、ライ
ナー層に使われたものと同じ有機珪素化合物を含むこと
が好ましい。プロセスガスは更に、過酸化水素、ジメチ
ルジオキシラン、水、イソプロピルアルコールといった
ヒドロキシル形成化合物を含む。過酸化水素は、気化さ
れてヘリウムといった不活性キャリアガスと混合される
過酸化水素(H22)約50重量%であることが好まし
い。ヒドロキシル形成化合物は、代替として前述の反応
システムで形成することもできる。
【0064】プロセスガスの流量は、Heについては0
から8000sccm、(CH3xSiH4-xといった
有機珪素化合物については10から1200sccm、
2 2あるいはジメチルジオキシランといったヒドロキ
シル形成化合物については20から3000sccmの
範囲にある。好適なガスの流量は、Heについては50
0から4000sccm、(CH3xSiH4-xについ
ては100から500sccm、ヒドロキシル形成化合
物については100から1000sccmの範囲にあ
る。これらの流量は、約5.5から6.5リットルの体
積を有するチャンバに関するものである。ヒドロキシル
形成化合物が水その他の化合物の酸化によって生成され
るときの流量は、約6から20重量%のオゾン含有酸素
といった酸化ガスについては2,000から6,000
sccm、水その他のヒドロキシル源については500
から2000mg/分の範囲にある。
【0065】反応器10は、約0.2から約5Torr
の圧力に維持されていて、ギャップ充填層302の堆積
中、約40℃未満の基板温度を維持することが好まし
い。反応器内のガス供給システムの温度は、約70℃か
ら約130℃の温度に維持されることが好ましい。ギャ
ップ充填層302は、図7Dに示すキャップ層312の
堆積に先立って、水といった溶剤を除去するために図7
Cに示すように部分的に硬化してもよい。硬化は、不活
性ガス雰囲気中で10Torr未満の真空を維持するこ
とによって、熱アニール・チャンバ内か、反応器10内
で行うことが好ましい。
【0066】メチルシランから生成されたギャップ充填
層は一般に、親水性であって、水分バリア特性に劣って
いる。メチルシランから生成されたライナー層上に堆積
されると、メチルシランから生成されたギャップ充填層
は、疎水性となり、良好な水分バリア特性を持つように
なる。
【0067】図7Dを参照すると、ギャップ充填層30
2の堆積後、反応器10は任意選択的に、キャップ層3
12の堆積のために本発明の酸化された有機シランある
いは有機シロキサン層の堆積を再開する。図7Eを参照
すると、キャップ層の堆積後、もしなにかあれば、堆積
された層は、残留している溶剤あるいは水を除去するた
めに約300℃から500℃の温度で炉あるいは他のチ
ャンバで硬化される。堆積された薄膜の所望の特性によ
って処理条件が変わることは無論である。
【0068】本発明は更に、下記の実施例によって説明
される。
【0069】実施例 下記の実施例は、低誘電率を有する誘電体層を堆積する
ための有機珪素化合物とヒドロキシル形成化合物との反
応を示す。これらの例は、化学気相堆積(CVD)チャ
ンバに当たるものであり、特にツーピース石英プロセス
キットを有する固体RF整合装置を含む「CENTUR
A DxZ」システムであって、これら両者はカリフォ
ルニア州サンタクララのApplied Materi
als,Inc.によって製造・販売されているもので
ある。
【0070】過酸化物ソース(仮説的) 下記のように、反応器に流入する反応性ガスから3.0
Torrのチャンバ圧と0℃の基板温度で酸化トリメチ
ルシラン薄膜が堆積される:
【0071】 トリメチルシラン(CH33SiH 流量は200sccm 過酸化物H22 流量は500sccm ヘリウムHe 流量は1000 sccm
【0072】基板は、ガス分配シャワーヘッドから20
0から1000ミル(mils)、好ましくは600ミ
ルの位置に置かれて、堆積は約1000Åから2μmの
深さに起こる。それから薄膜は、400℃の温度で約3
0から120分間、硬化される。酸化トリメチルシラン
材料は、約3.0未満の誘電率を持つと評価される。
【0073】第1のヒドロキシル・ソース(仮説的) 下記のように、反応器に流入する反応性ガスから3.0
Torrのチャンバ圧と25℃の基板温度で酸化トリメ
チルシラン層が堆積される:
【0074】 トリメチルシラン(CH33Si 流量は500sccm H イソプロピルアルコール 流量は1000mg/分 オゾン、12重量%O3を有する 流量は5000sccm O2 ヘリウムHe 流量は4000sccm
【0075】ここでイソプロピルアルコールは混合チャ
ンバ内でいくらかのヘリウムと混合され、オゾンと追加
のヘリウムと組み合わされる。基板は、ガス分配シャワ
ーヘッドから600ミルの位置に置かれる。それからこ
の層は、400℃の温度で約30から120分間、硬化
される。酸化トリメチルシラン材料は、約3.0未満の
誘電率を持つと評価される。
【0076】第2のヒドロキシル・ソース(仮説的) 下記のように、反応器に流入する反応性ガスから3.0
Torrのチャンバ圧と25℃の基板温度でジメチルシ
ラン層が堆積される: ジメチルシラン(CH32SiH 流量は60sccm 2 ジメチルジオキシラン 流量は200sccm ヘリウムHe 流量は1000sccm
【0077】ここでジメチルジオキシランは、液体注入
システム内のアセトンとモノペルオキシ硫酸カリウムと
の反応によって最初に用意される。ジメチルジオキシラ
ンは、常温では気体であって混合チャンバ内でヘリウム
と組み合わされる。基板は、ガス分配シャワーヘッドか
ら600ミルの位置に置かれる。それからこの層は、4
00℃の温度で約30から120分間、硬化される。硬
化後、酸化ジメチルシラン材料は、約3.0未満の誘電
率を持つと評価される。
【0078】上記は、本発明の好適な実施形態に向けら
れているが、本発明の他の更なる実施形態も本発明の基
本的範囲から逸脱せずに考案することは可能であり、本
発明の範囲は前述の特許請求の範囲によって決定され
る。
【図面の簡単な説明】
【図1A】当業者に周知な方法によって基板上に堆積さ
れた誘電体層の概略図である。
【図1B】当業者に周知な方法によって基板上に堆積さ
れた誘電体層の概略図である。
【図2】本発明によって使用されるように構成された例
示的CVDプラズマ反応器の断面図である。
【図3】図2の反応器に入れる前にプロセスガスを解離
するための遠隔マイクロ波チャンバの概略図である。
【図4】図2の反応器への水、過酸化水素といった液体
の供給を制御する液体注入システムの概略図である。
【図5】図2の例示的反応器と共に使われるプロセス制
御コンピュータプログラム製品の流れ図である。
【図6】本発明の一実施形態によるギャップ充填プロセ
スにおけるライナー層とキャップ層との堆積の際に行わ
れる各工程を示す流れ図である。
【図7A】図6のプロセスによって基板上に堆積される
各層の概略図である。
【図7B】図6のプロセスによって基板上に堆積される
各層の概略図である。
【図7C】図6のプロセスによって基板上に堆積される
各層の概略図である。
【図7D】図6のプロセスによって基板上に堆積される
各層の概略図である。
【図7E】図6のプロセスによって基板上に堆積される
各層の概略図である。
───────────────────────────────────────────────────── フロントページの続き (72)発明者 ファーハッド モグハダム アメリカ合衆国, カリフォルニア州, サラトガ, ヴィア コリーナ ドライヴ 15440 (72)発明者 デイヴィッド ダブリュー. チェン アメリカ合衆国, カリフォルニア州, フォスター シティ, ビリングスゲイト レーン 235 (72)発明者 エリー イー アメリカ合衆国, カリフォルニア州, サン ノゼ, ピストイア ウェイ 5888 (72)発明者 リー−チャン シー アメリカ合衆国, カリフォルニア州, サンタ クララ, キャリーバック アヴ ェニュー 5358 (72)発明者 ウェイ−ファン ヨー アメリカ合衆国, カリフォルニア州, ロス アルトス, アルヴァラド アヴェ ニュー 388 (72)発明者 チー−アイ ラン アメリカ合衆国, カリフォルニア州, サニーヴェイル, アヤラ ドライヴ 1260 ナンバー207 (72)発明者 シン−プー ジェン 台湾, シンチュウ, ミン−ジー ロー ド 68 (72)発明者 フレデリク ゲラルド フランス, 38500 ヴォワロン フラン ス, リュー デ タリファルディエール (番地なし) (72)発明者 シャンカー ヴェンカタラマン アメリカ合衆国, カリフォルニア州, サンタ クララ, ホームステッド ロー ド 3131 ナンバー13−ケイ (72)発明者 スリニヴァス ディ. ネマニ アメリカ合衆国, カリフォルニア州, サン ノゼ, ホワイト チャペル アヴ ェニュー 494

Claims (20)

    【特許請求の範囲】
  1. 【請求項1】 低誘電率薄膜を堆積する方法であって、 約400℃未満の温度で基板を収容するチャンバ内で少
    なくとも一つの珪素−炭素結合を有する一つ以上の珪素
    化合物をヒドロキシル形成化合物と反応させることを含
    む、低誘電率薄膜を堆積する方法。
  2. 【請求項2】 各珪素化合物内の各珪素原子は、二つ以
    上の炭素原子と結合しており、前記二つ以上の珪素原子
    は、2個以下の炭素原子によって、あるいは1個以下の
    酸素原子によって分離される、請求項1に記載の方法。
  3. 【請求項3】 前記珪素化合物は、メチルシラン、ジメ
    チルシラン、トリメチルシラン、テトラメチルシラン、
    ジシラノメタン、ビス(メチルシラノ)メタン、1,2
    −ジシラノエタン、1,2−ビス(メチルシラノ)エタ
    ン、2,2−ジシラノプロパン、1,3,5−トリシラ
    ノ−2,4,6−トリメチレン、1,3−ジメチルジシ
    ロキサン、1,1,3,3−テトラメチルジシロキサ
    ン、1,3−ビス(シラノメチレン)ジシロキサン、ビ
    ス(1−メチルジシロキサニル)メタン、2,2−ビス
    (1−メチルジシロキサニル)プロパン、2,4,6,
    8,10−ペンタメチルシクロペンタシロキサン、1,
    3,5,7−テトラシラノ−2,6−ジオキシ−4,8
    −ジメチレン、2,4,6−トリシランテトラヒドロピ
    ラン、2,5−ジシランテトラヒドロフラン、それらの
    フッ化炭素誘導体、およびそれらの組合せからなる群か
    ら選択される、請求項1に記載の方法。
  4. 【請求項4】 前記ヒドロキシル形成化合物は、マイク
    ロ波チャンバで解離される、請求項1に記載の方法。
  5. 【請求項5】 前記ヒドロキシル形成化合物は、過酸化
    水素、ジメチルジオキシラン、酢酸、水およびイソプロ
    ピルアルコールからなる群から選択される、請求項1に
    記載の方法。
  6. 【請求項6】 低誘電率薄膜を堆積する方法であって、 約0Wから約200Wの一定の高周波電力レベルあるい
    は約20Wから約500Wのパルス化された高周波電力
    レベルで、炭素を含む珪素化合物と酸化ガスとからなる
    プロセスガスから、パターニングされた金属層の上に絶
    縁保護ライナー層を堆積する工程と、 前記珪素化合物とヒドロキシル形成化合物とからなるプ
    ロセスガスから約400℃未満の基板温度で前記ライナ
    ー層の上にギャップ充填層を堆積する工程とを含む、低
    誘電率薄膜を堆積する方法。
  7. 【請求項7】 前記珪素化合物の各珪素原子は、少なく
    とも2個の炭素原子と少なくとも1個の水素原子とに結
    合されており、前記同分子内の珪素原子は、2個以上の
    炭素原子によって、あるいは1個以上の酸素原子によっ
    て分離されていない、請求項6に記載の方法。
  8. 【請求項8】 前記ギャップ充填層は、約40℃未満の
    基板温度で堆積される、請求項6に記載の方法。
  9. 【請求項9】 前記ヒドロキシル形成化合物は、マイク
    ロ波チャンバで解離される、請求項6に記載の方法。
  10. 【請求項10】 前記ヒドロキシル形成化合物は、過酸
    化水素、ジメチルジオキシラン、酢酸、水およびイソプ
    ロピルアルコールからなる群から選択される、請求項6
    に記載の方法。
  11. 【請求項11】 前記ヒドロキシル形成化合物は、過酸
    化水素である、請求項10に記載の方法。
  12. 【請求項12】 前記珪素化合物および前記酸化ガスを
    含むプロセスガスから前記ギャップ充填層上にキャップ
    層を堆積する工程を更に含む、請求項6に記載の方法。
  13. 【請求項13】 低誘電率薄膜を堆積する方法であっ
    て、 約0Wから約200Wの一定の高周波電力レベルあるい
    は約20Wから約500Wのパルス化された高周波電力
    レベルで、炭素を含む第1の珪素化合物と酸化ガスとか
    らなるプロセスガスから、パターニングされた金属層の
    上に絶縁保護ライナー層を堆積する工程と、 第2の珪素化合物と、ヒドロキシル形成化合物とを含む
    プロセスガスから約400℃未満の基板温度で前記ライ
    ナー層の上にギャップ充填層を堆積する工程とを含む、
    低誘電率薄膜を堆積する方法。
  14. 【請求項14】 前記第1の珪素化合物内の各珪素原子
    は、少なくとも2個の炭素原子に結合されており、また
    この分子内の珪素原子は、3個以上の炭素原子によっ
    て、あるいは2個以上の酸素原子によって分離されてい
    ない、請求項13に記載の方法。
  15. 【請求項15】 前記第1の珪素化合物および前記酸化
    ガスを含むプロセスガスから前記ギャップ充填層上にキ
    ャップ層を堆積する工程を更に含む、請求項13に記載
    の方法。
  16. 【請求項16】 前記ヒドロキシル形成化合物は、マイ
    クロ波チャンバ内で解離される、請求項13に記載の方
    法。
  17. 【請求項17】 前記ヒドロキシル形成化合物は、水で
    ある、請求項13に記載の方法。
  18. 【請求項18】 前記第2の珪素化合物は、テトラエト
    キシシランである、請求項13に記載の方法。
  19. 【請求項19】 前記ヒドロキシル形成化合物は、過酸
    化水素、ジメチルジオキシラン、酢酸、水およびイソプ
    ロピルアルコールからなる群から選択される、請求項1
    3に記載の方法。
  20. 【請求項20】 前記ヒドロキシル形成化合物は、過酸
    化水素である、請求項19に記載の方法。
JP2000187960A 1999-06-22 2000-06-22 有機珪素化合物とヒドロキシル形成化合物との反応による液状シリカ層の形成 Withdrawn JP2001148382A (ja)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US09/338470 1999-06-22
US09/338,470 US6413583B1 (en) 1998-02-11 1999-06-22 Formation of a liquid-like silica layer by reaction of an organosilicon compound and a hydroxyl forming compound

Related Child Applications (1)

Application Number Title Priority Date Filing Date
JP2010290786A Division JP2011071555A (ja) 1999-06-22 2010-12-27 有機珪素化合物とヒドロキシル形成化合物との反応による液状シリカ層の形成

Publications (1)

Publication Number Publication Date
JP2001148382A true JP2001148382A (ja) 2001-05-29

Family

ID=23324944

Family Applications (2)

Application Number Title Priority Date Filing Date
JP2000187960A Withdrawn JP2001148382A (ja) 1999-06-22 2000-06-22 有機珪素化合物とヒドロキシル形成化合物との反応による液状シリカ層の形成
JP2010290786A Pending JP2011071555A (ja) 1999-06-22 2010-12-27 有機珪素化合物とヒドロキシル形成化合物との反応による液状シリカ層の形成

Family Applications After (1)

Application Number Title Priority Date Filing Date
JP2010290786A Pending JP2011071555A (ja) 1999-06-22 2010-12-27 有機珪素化合物とヒドロキシル形成化合物との反応による液状シリカ層の形成

Country Status (5)

Country Link
US (1) US6413583B1 (ja)
EP (1) EP1063692A1 (ja)
JP (2) JP2001148382A (ja)
KR (1) KR100787657B1 (ja)
TW (1) TW457630B (ja)

Cited By (43)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2006502586A (ja) * 2002-10-07 2006-01-19 アプライド マテリアルズ インコーポレイテッド 耐酸化性が良好な次世代ダマシンバリヤ適用のための二層膜
JP2007305981A (ja) * 2006-04-17 2007-11-22 Applied Materials Inc 総合プロセスモジュレーション(ipm)hdp−cvdによるギャップ充填のための新規な解決法
JP2009539265A (ja) * 2006-05-30 2009-11-12 アプライド マテリアルズ インコーポレイテッド ギャップ充填と共形のフィルムの適用のために低k膜を堆積させ硬化する方法
JP2009539266A (ja) * 2006-05-30 2009-11-12 アプライド マテリアルズ インコーポレイテッド 二酸化シリコンの膜質を高める新規な堆積プラズマ硬化サイクルプロセス
JP2009539268A (ja) * 2006-05-30 2009-11-12 アプライド マテリアルズ インコーポレイテッド シリコン含有前駆物質と原子酸素を用いた高品質流動状二酸化シリコンの化学気相堆積
JP2009542011A (ja) * 2006-06-22 2009-11-26 アプライド マテリアルズ インコーポレイテッド ボトムアップギャップ充填のための誘電堆積プロセスとエッチバックプロセス
JP2010507259A (ja) * 2006-10-16 2010-03-04 アプライド マテリアルズ インコーポレイテッド Sti用の二酸化シリコンの高品質誘電体膜の形成:harpii−遠隔プラズマ増強型堆積プロセス−のための異なるシロキサンベースの前駆物質の使用
KR20100069603A (ko) * 2008-12-15 2010-06-24 노벨러스 시스템즈, 인코포레이티드 Pecvd 유동성 유전체 갭 충전
US8329262B2 (en) 2010-01-05 2012-12-11 Applied Materials, Inc. Dielectric film formation using inert gas excitation
US8357435B2 (en) 2008-05-09 2013-01-22 Applied Materials, Inc. Flowable dielectric equipment and processes
US8445078B2 (en) 2011-04-20 2013-05-21 Applied Materials, Inc. Low temperature silicon oxide conversion
US8450191B2 (en) 2011-01-24 2013-05-28 Applied Materials, Inc. Polysilicon films by HDP-CVD
US8449942B2 (en) 2009-11-12 2013-05-28 Applied Materials, Inc. Methods of curing non-carbon flowable CVD films
US8466073B2 (en) 2011-06-03 2013-06-18 Applied Materials, Inc. Capping layer for reduced outgassing
US8551891B2 (en) 2011-10-04 2013-10-08 Applied Materials, Inc. Remote plasma burn-in
US8563445B2 (en) 2010-03-05 2013-10-22 Applied Materials, Inc. Conformal layers by radical-component CVD
US8617989B2 (en) 2011-09-26 2013-12-31 Applied Materials, Inc. Liner property improvement
US8629067B2 (en) 2009-12-30 2014-01-14 Applied Materials, Inc. Dielectric film growth with radicals produced using flexible nitrogen/hydrogen ratio
US8647992B2 (en) 2010-01-06 2014-02-11 Applied Materials, Inc. Flowable dielectric using oxide liner
US8664127B2 (en) 2010-10-15 2014-03-04 Applied Materials, Inc. Two silicon-containing precursors for gapfill enhancing dielectric liner
US8716154B2 (en) 2011-03-04 2014-05-06 Applied Materials, Inc. Reduced pattern loading using silicon oxide multi-layers
US8741788B2 (en) 2009-08-06 2014-06-03 Applied Materials, Inc. Formation of silicon oxide using non-carbon flowable CVD processes
US8809161B2 (en) 2004-03-25 2014-08-19 Novellus Systems, Inc. Flowable film dielectric gap fill process
US8846536B2 (en) 2012-03-05 2014-09-30 Novellus Systems, Inc. Flowable oxide film with tunable wet etch rate
US8889566B2 (en) 2012-09-11 2014-11-18 Applied Materials, Inc. Low cost flowable dielectric films
US8980382B2 (en) 2009-12-02 2015-03-17 Applied Materials, Inc. Oxygen-doping for non-carbon radical-component CVD films
JP2015073096A (ja) * 2013-09-30 2015-04-16 ラム リサーチ コーポレーションLam Research Corporation パルス化された低周波数rf電力による高選択性かつ低応力のカーボンハードマスク
US9018108B2 (en) 2013-01-25 2015-04-28 Applied Materials, Inc. Low shrinkage dielectric films
US9064684B1 (en) 2009-09-24 2015-06-23 Novellus Systems, Inc. Flowable oxide deposition using rapid delivery of process gases
US9245739B2 (en) 2006-11-01 2016-01-26 Lam Research Corporation Low-K oxide deposition by hydrolysis and condensation
US9257302B1 (en) 2004-03-25 2016-02-09 Novellus Systems, Inc. CVD flowable gap fill
US9285168B2 (en) 2010-10-05 2016-03-15 Applied Materials, Inc. Module for ozone cure and post-cure moisture treatment
US9404178B2 (en) 2011-07-15 2016-08-02 Applied Materials, Inc. Surface treatment and deposition for reduced outgassing
US9412581B2 (en) 2014-07-16 2016-08-09 Applied Materials, Inc. Low-K dielectric gapfill by flowable deposition
US9719169B2 (en) 2010-12-20 2017-08-01 Novellus Systems, Inc. System and apparatus for flowable deposition in semiconductor fabrication
US9847222B2 (en) 2013-10-25 2017-12-19 Lam Research Corporation Treatment for flowable dielectric deposition on substrate surfaces
US9916977B2 (en) 2015-11-16 2018-03-13 Lam Research Corporation Low k dielectric deposition via UV driven photopolymerization
US10049921B2 (en) 2014-08-20 2018-08-14 Lam Research Corporation Method for selectively sealing ultra low-k porous dielectric layer using flowable dielectric film formed from vapor phase dielectric precursor
US10283321B2 (en) 2011-01-18 2019-05-07 Applied Materials, Inc. Semiconductor processing system and methods using capacitively coupled plasma
US10388546B2 (en) 2015-11-16 2019-08-20 Lam Research Corporation Apparatus for UV flowable dielectric
KR20210043746A (ko) * 2018-09-17 2021-04-21 어플라이드 머티어리얼스, 인코포레이티드 유전체 재료를 증착하기 위한 방법들
WO2022230944A1 (ja) * 2021-04-29 2022-11-03 東ソー株式会社 平坦化膜の製造方法、平坦化膜用材料および平坦化膜
US11837441B2 (en) 2019-05-29 2023-12-05 Lam Research Corporation Depositing a carbon hardmask by high power pulsed low frequency RF

Families Citing this family (428)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6340435B1 (en) 1998-02-11 2002-01-22 Applied Materials, Inc. Integrated low K dielectrics and etch stops
US6593247B1 (en) 1998-02-11 2003-07-15 Applied Materials, Inc. Method of depositing low k films using an oxidizing plasma
US6660656B2 (en) 1998-02-11 2003-12-09 Applied Materials Inc. Plasma processes for depositing low dielectric constant films
US6287990B1 (en) 1998-02-11 2001-09-11 Applied Materials, Inc. CVD plasma assisted low dielectric constant films
US6303523B2 (en) 1998-02-11 2001-10-16 Applied Materials, Inc. Plasma processes for depositing low dielectric constant films
US6054379A (en) 1998-02-11 2000-04-25 Applied Materials, Inc. Method of depositing a low k dielectric with organo silane
US6800571B2 (en) * 1998-09-29 2004-10-05 Applied Materials Inc. CVD plasma assisted low dielectric constant films
US6974766B1 (en) 1998-10-01 2005-12-13 Applied Materials, Inc. In situ deposition of a low κ dielectric layer, barrier layer, etch stop, and anti-reflective coating for damascene application
US6251770B1 (en) * 1999-06-30 2001-06-26 Lam Research Corp. Dual-damascene dielectric structures and methods for making the same
US6689284B1 (en) * 1999-09-29 2004-02-10 Kabushiki Kaisha Toshiba Surface treating method
US6596343B1 (en) 2000-04-21 2003-07-22 Applied Materials, Inc. Method and apparatus for processing semiconductor substrates with hydroxyl radicals
US6511923B1 (en) * 2000-05-19 2003-01-28 Applied Materials, Inc. Deposition of stable dielectric films
US6794295B1 (en) * 2000-05-26 2004-09-21 Taiwan Semiconductor Manufacturing Company, Ltd. Method to improve stability and reliability of CVD low K dielectric
US6541401B1 (en) * 2000-07-31 2003-04-01 Applied Materials, Inc. Wafer pretreatment to decrease rate of silicon dioxide deposition on silicon nitride compared to silicon substrate
US6762132B1 (en) * 2000-08-31 2004-07-13 Micron Technology, Inc. Compositions for dissolution of low-K dielectric films, and methods of use
US6531398B1 (en) 2000-10-30 2003-03-11 Applied Materials, Inc. Method of depositing organosillicate layers
WO2002075801A2 (en) * 2000-11-07 2002-09-26 Tokyo Electron Limited Method of fabricating oxides with low defect densities
US6649219B2 (en) * 2001-02-23 2003-11-18 Lsi Logic Corporation Process for forming a low dielectric constant fluorine and carbon-containing silicon oxide dielectric material characterized by improved resistance to oxidation
US6472333B2 (en) 2001-03-28 2002-10-29 Applied Materials, Inc. Silicon carbide cap layers for low dielectric constant silicon oxide layers
US6624091B2 (en) * 2001-05-07 2003-09-23 Applied Materials, Inc. Methods of forming gap fill and layers formed thereby
US6486082B1 (en) * 2001-06-18 2002-11-26 Applied Materials, Inc. CVD plasma assisted lower dielectric constant sicoh film
US6559033B1 (en) * 2001-06-27 2003-05-06 Lsi Logic Corporation Processing for forming integrated circuit structure with low dielectric constant material between closely spaced apart metal lines
KR100778947B1 (ko) * 2001-08-30 2007-11-22 동경 엘렉트론 주식회사 성막 방법 및 성막 장치
US6759327B2 (en) * 2001-10-09 2004-07-06 Applied Materials Inc. Method of depositing low k barrier layers
JP2003124189A (ja) * 2001-10-10 2003-04-25 Fujitsu Ltd 半導体装置の製造方法
US7091137B2 (en) * 2001-12-14 2006-08-15 Applied Materials Bi-layer approach for a hermetic low dielectric constant layer for barrier applications
US6838393B2 (en) * 2001-12-14 2005-01-04 Applied Materials, Inc. Method for producing semiconductor including forming a layer containing at least silicon carbide and forming a second layer containing at least silicon oxygen carbide
US6890850B2 (en) 2001-12-14 2005-05-10 Applied Materials, Inc. Method of depositing dielectric materials in damascene applications
US6699784B2 (en) 2001-12-14 2004-03-02 Applied Materials Inc. Method for depositing a low k dielectric film (K>3.5) for hard mask application
US7390755B1 (en) 2002-03-26 2008-06-24 Novellus Systems, Inc. Methods for post etch cleans
US6936309B2 (en) 2002-04-02 2005-08-30 Applied Materials, Inc. Hardness improvement of silicon carboxy films
US20030194495A1 (en) * 2002-04-11 2003-10-16 Applied Materials, Inc. Crosslink cyclo-siloxane compound with linear bridging group to form ultra low k dielectric
US20030194496A1 (en) * 2002-04-11 2003-10-16 Applied Materials, Inc. Methods for depositing dielectric material
US20030211244A1 (en) * 2002-04-11 2003-11-13 Applied Materials, Inc. Reacting an organosilicon compound with an oxidizing gas to form an ultra low k dielectric
US6815373B2 (en) * 2002-04-16 2004-11-09 Applied Materials Inc. Use of cyclic siloxanes for hardness improvement of low k dielectric films
US20030206337A1 (en) * 2002-05-06 2003-11-06 Eastman Kodak Company Exposure apparatus for irradiating a sensitized substrate
US7105460B2 (en) * 2002-07-11 2006-09-12 Applied Materials Nitrogen-free dielectric anti-reflective coating and hardmask
US6927178B2 (en) * 2002-07-11 2005-08-09 Applied Materials, Inc. Nitrogen-free dielectric anti-reflective coating and hardmask
JP2005536042A (ja) * 2002-08-08 2005-11-24 トリコン テクノロジーズ リミティド シャワーヘッドの改良
US7192486B2 (en) * 2002-08-15 2007-03-20 Applied Materials, Inc. Clog-resistant gas delivery system
JP2004109888A (ja) * 2002-09-20 2004-04-08 Yasuo Kokubu 光導波路及びその製造方法
US7270713B2 (en) * 2003-01-07 2007-09-18 Applied Materials, Inc. Tunable gas distribution plate assembly
US6790788B2 (en) * 2003-01-13 2004-09-14 Applied Materials Inc. Method of improving stability in low k barrier layers
US6897163B2 (en) * 2003-01-31 2005-05-24 Applied Materials, Inc. Method for depositing a low dielectric constant film
US20040163590A1 (en) * 2003-02-24 2004-08-26 Applied Materials, Inc. In-situ health check of liquid injection vaporizer
US7011890B2 (en) * 2003-03-03 2006-03-14 Applied Materials Inc. Modulated/composited CVD low-k films with improved mechanical and electrical properties for nanoelectronic devices
US7208389B1 (en) 2003-03-31 2007-04-24 Novellus Systems, Inc. Method of porogen removal from porous low-k films using UV radiation
US6942753B2 (en) 2003-04-16 2005-09-13 Applied Materials, Inc. Gas distribution plate assembly for large area plasma enhanced chemical vapor deposition
US20040211357A1 (en) * 2003-04-24 2004-10-28 Gadgil Pradad N. Method of manufacturing a gap-filled structure of a semiconductor device
JP4344841B2 (ja) * 2003-05-30 2009-10-14 独立行政法人産業技術総合研究所 低誘電率絶縁膜の形成方法
US20070231485A1 (en) * 2003-09-05 2007-10-04 Moffat William A Silane process chamber with double door seal
US7390537B1 (en) 2003-11-20 2008-06-24 Novellus Systems, Inc. Methods for producing low-k CDO films with low residual stress
US6909934B1 (en) * 2004-01-05 2005-06-21 Taiwan Semiconductor Manufacturing Company, Ltd. Efficient method of dynamic formulation of chamber selections for multiple chamber tools
US7381662B1 (en) 2004-03-11 2008-06-03 Novellus Systems, Inc. Methods for improving the cracking resistance of low-k dielectric materials
US7341761B1 (en) 2004-03-11 2008-03-11 Novellus Systems, Inc. Methods for producing low-k CDO films
US7030041B2 (en) 2004-03-15 2006-04-18 Applied Materials Inc. Adhesion improvement for low k dielectrics
US7582555B1 (en) * 2005-12-29 2009-09-01 Novellus Systems, Inc. CVD flowable gap fill
US7781351B1 (en) 2004-04-07 2010-08-24 Novellus Systems, Inc. Methods for producing low-k carbon doped oxide films with low residual stress
DE102004020328A1 (de) * 2004-04-26 2005-11-03 Infineon Technologies Ag Verfahren zur Abscheidung einer mit Kohlenstoff dotierten siliziumhaltigen dielektrischen Schicht
US7622400B1 (en) 2004-05-18 2009-11-24 Novellus Systems, Inc. Method for improving mechanical properties of low dielectric constant materials
US20050277302A1 (en) * 2004-05-28 2005-12-15 Nguyen Son V Advanced low dielectric constant barrier layers
US7229041B2 (en) * 2004-06-30 2007-06-12 Ohio Central Steel Company Lifting lid crusher
US7288484B1 (en) 2004-07-13 2007-10-30 Novellus Systems, Inc. Photoresist strip method for low-k dielectrics
US20060021703A1 (en) * 2004-07-29 2006-02-02 Applied Materials, Inc. Dual gas faceplate for a showerhead in a semiconductor wafer processing system
US7326444B1 (en) 2004-09-14 2008-02-05 Novellus Systems, Inc. Methods for improving integration performance of low stress CDO films
US7695765B1 (en) 2004-11-12 2010-04-13 Novellus Systems, Inc. Methods for producing low-stress carbon-doped oxide films with improved integration properties
US7202176B1 (en) * 2004-12-13 2007-04-10 Novellus Systems, Inc. Enhanced stripping of low-k films using downstream gas mixing
US8193096B2 (en) 2004-12-13 2012-06-05 Novellus Systems, Inc. High dose implantation strip (HDIS) in H2 base chemistry
US7166531B1 (en) 2005-01-31 2007-01-23 Novellus Systems, Inc. VLSI fabrication processes for introducing pores into dielectric materials
US8129281B1 (en) 2005-05-12 2012-03-06 Novellus Systems, Inc. Plasma based photoresist removal system for cleaning post ash residue
WO2008020592A1 (fr) * 2006-08-15 2008-02-21 Jsr Corporation Matériau filmogène, film isolant contenant du silicium et procédé de formation de celui-ci
US7740768B1 (en) 2006-10-12 2010-06-22 Novellus Systems, Inc. Simultaneous front side ash and backside clean
US7888273B1 (en) 2006-11-01 2011-02-15 Novellus Systems, Inc. Density gradient-free gap fill
US20080124946A1 (en) * 2006-11-28 2008-05-29 Air Products And Chemicals, Inc. Organosilane compounds for modifying dielectrical properties of silicon oxide and silicon nitride films
US7906174B1 (en) 2006-12-07 2011-03-15 Novellus Systems, Inc. PECVD methods for producing ultra low-k dielectric films using UV treatment
JP5170445B2 (ja) * 2007-02-14 2013-03-27 Jsr株式会社 ケイ素含有膜形成用材料、ならびにケイ素含有絶縁膜およびその形成方法
US8435895B2 (en) 2007-04-04 2013-05-07 Novellus Systems, Inc. Methods for stripping photoresist and/or cleaning metal regions
US20100261925A1 (en) * 2007-07-10 2010-10-14 Jsr Corporation Method for producing silicon compound
US8591661B2 (en) 2009-12-11 2013-11-26 Novellus Systems, Inc. Low damage photoresist strip method for low-K dielectrics
US10378106B2 (en) 2008-11-14 2019-08-13 Asm Ip Holding B.V. Method of forming insulation film by modified PEALD
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
US8802201B2 (en) * 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
US8728958B2 (en) 2009-12-09 2014-05-20 Novellus Systems, Inc. Gap fill integration
KR101770008B1 (ko) 2009-12-11 2017-08-21 노벨러스 시스템즈, 인코포레이티드 고주입량 주입 박리 전에 실리콘을 보호하기 위한 개선된 패시베이션 공정
US20110143548A1 (en) 2009-12-11 2011-06-16 David Cheung Ultra low silicon loss high dose implant strip
JP2011216862A (ja) * 2010-03-16 2011-10-27 Tokyo Electron Ltd 成膜方法及び成膜装置
US8685867B1 (en) 2010-12-09 2014-04-01 Novellus Systems, Inc. Premetal dielectric integration process
US9312155B2 (en) 2011-06-06 2016-04-12 Asm Japan K.K. High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules
US9793148B2 (en) 2011-06-22 2017-10-17 Asm Japan K.K. Method for positioning wafers in multiple wafer transport
US10364496B2 (en) 2011-06-27 2019-07-30 Asm Ip Holding B.V. Dual section module having shared and unshared mass flow controllers
US10854498B2 (en) 2011-07-15 2020-12-01 Asm Ip Holding B.V. Wafer-supporting device and method for producing same
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US9613825B2 (en) 2011-08-26 2017-04-04 Novellus Systems, Inc. Photoresist strip processes for improved device integrity
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
US8946830B2 (en) 2012-04-04 2015-02-03 Asm Ip Holdings B.V. Metal oxide protective layer for a semiconductor device
US9558931B2 (en) 2012-07-27 2017-01-31 Asm Ip Holding B.V. System and method for gas-phase sulfur passivation of a semiconductor surface
US9659799B2 (en) 2012-08-28 2017-05-23 Asm Ip Holding B.V. Systems and methods for dynamic semiconductor process scheduling
US9021985B2 (en) 2012-09-12 2015-05-05 Asm Ip Holdings B.V. Process gas management for an inductively-coupled plasma deposition reactor
US9324811B2 (en) 2012-09-26 2016-04-26 Asm Ip Holding B.V. Structures and devices including a tensile-stressed silicon arsenic layer and methods of forming same
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US9640416B2 (en) 2012-12-26 2017-05-02 Asm Ip Holding B.V. Single-and dual-chamber module-attachable wafer-handling chamber
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
US9589770B2 (en) 2013-03-08 2017-03-07 Asm Ip Holding B.V. Method and systems for in-situ formation of intermediate reactive species
US9484191B2 (en) 2013-03-08 2016-11-01 Asm Ip Holding B.V. Pulsed remote plasma method and system
US9365924B2 (en) * 2013-05-23 2016-06-14 Asm Ip Holding B.V. Method for forming film by plasma-assisted deposition using two-frequency combined pulsed RF power
US8993054B2 (en) 2013-07-12 2015-03-31 Asm Ip Holding B.V. Method and system to reduce outgassing in a reaction chamber
US9018111B2 (en) 2013-07-22 2015-04-28 Asm Ip Holding B.V. Semiconductor reaction chamber with plasma capabilities
US9793115B2 (en) 2013-08-14 2017-10-17 Asm Ip Holding B.V. Structures and devices including germanium-tin films and methods of forming same
US9240412B2 (en) 2013-09-27 2016-01-19 Asm Ip Holding B.V. Semiconductor structure and device and methods of forming same using selective epitaxial process
US9556516B2 (en) 2013-10-09 2017-01-31 ASM IP Holding B.V Method for forming Ti-containing film by PEALD using TDMAT or TDEAT
US10179947B2 (en) 2013-11-26 2019-01-15 Asm Ip Holding B.V. Method for forming conformal nitrided, oxidized, or carbonized dielectric film by atomic layer deposition
US10683571B2 (en) 2014-02-25 2020-06-16 Asm Ip Holding B.V. Gas supply manifold and method of supplying gases to chamber using same
US10167557B2 (en) 2014-03-18 2019-01-01 Asm Ip Holding B.V. Gas distribution system, reactor including the system, and methods of using the same
US9447498B2 (en) 2014-03-18 2016-09-20 Asm Ip Holding B.V. Method for performing uniform processing in gas system-sharing multiple reaction chambers
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US9404587B2 (en) 2014-04-24 2016-08-02 ASM IP Holding B.V Lockout tagout for semiconductor vacuum valve
JP6225837B2 (ja) 2014-06-04 2017-11-08 東京エレクトロン株式会社 成膜装置、成膜方法、記憶媒体
US9514954B2 (en) 2014-06-10 2016-12-06 Lam Research Corporation Peroxide-vapor treatment for enhancing photoresist-strip performance and modifying organic films
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US9543180B2 (en) 2014-08-01 2017-01-10 Asm Ip Holding B.V. Apparatus and method for transporting wafers between wafer carrier and process tool under vacuum
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US9657845B2 (en) 2014-10-07 2017-05-23 Asm Ip Holding B.V. Variable conductance gas distribution apparatus and method
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
KR102300403B1 (ko) 2014-11-19 2021-09-09 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
JP6354539B2 (ja) * 2014-11-25 2018-07-11 東京エレクトロン株式会社 基板処理装置、基板処理方法、記憶媒体
KR102263121B1 (ko) 2014-12-22 2021-06-09 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 및 그 제조 방법
US9478415B2 (en) 2015-02-13 2016-10-25 Asm Ip Holding B.V. Method for forming film having low resistance and shallow junction depth
US10529542B2 (en) 2015-03-11 2020-01-07 Asm Ip Holdings B.V. Cross-flow reactor and method
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10600673B2 (en) 2015-07-07 2020-03-24 Asm Ip Holding B.V. Magnetic susceptor to baseplate seal
US9899291B2 (en) 2015-07-13 2018-02-20 Asm Ip Holding B.V. Method for protecting layer by forming hydrocarbon-based extremely thin film
US10043661B2 (en) 2015-07-13 2018-08-07 Asm Ip Holding B.V. Method for protecting layer by forming hydrocarbon-based extremely thin film
US10083836B2 (en) 2015-07-24 2018-09-25 Asm Ip Holding B.V. Formation of boron-doped titanium metal films with high work function
US10087525B2 (en) 2015-08-04 2018-10-02 Asm Ip Holding B.V. Variable gap hard stop design
US9647114B2 (en) 2015-08-14 2017-05-09 Asm Ip Holding B.V. Methods of forming highly p-type doped germanium tin films and structures and devices including the films
US9711345B2 (en) 2015-08-25 2017-07-18 Asm Ip Holding B.V. Method for forming aluminum nitride-based film by PEALD
US9960072B2 (en) 2015-09-29 2018-05-01 Asm Ip Holding B.V. Variable adjustment for precise matching of multiple chamber cavity housings
US9909214B2 (en) 2015-10-15 2018-03-06 Asm Ip Holding B.V. Method for depositing dielectric film in trenches by PEALD
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US10322384B2 (en) 2015-11-09 2019-06-18 Asm Ip Holding B.V. Counter flow mixer for process chamber
US9455138B1 (en) 2015-11-10 2016-09-27 Asm Ip Holding B.V. Method for forming dielectric film in trenches by PEALD using H-containing gas
US9905420B2 (en) 2015-12-01 2018-02-27 Asm Ip Holding B.V. Methods of forming silicon germanium tin films and structures and devices including the films
US9607837B1 (en) 2015-12-21 2017-03-28 Asm Ip Holding B.V. Method for forming silicon oxide cap layer for solid state diffusion process
US9735024B2 (en) 2015-12-28 2017-08-15 Asm Ip Holding B.V. Method of atomic layer etching using functional group-containing fluorocarbon
US9627221B1 (en) 2015-12-28 2017-04-18 Asm Ip Holding B.V. Continuous process incorporating atomic layer etching
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US9754779B1 (en) 2016-02-19 2017-09-05 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10468251B2 (en) 2016-02-19 2019-11-05 Asm Ip Holding B.V. Method for forming spacers using silicon nitride film for spacer-defined multiple patterning
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10501866B2 (en) 2016-03-09 2019-12-10 Asm Ip Holding B.V. Gas distribution apparatus for improved film uniformity in an epitaxial system
US10343920B2 (en) 2016-03-18 2019-07-09 Asm Ip Holding B.V. Aligned carbon nanotubes
US9892913B2 (en) 2016-03-24 2018-02-13 Asm Ip Holding B.V. Radial and thickness control via biased multi-port injection settings
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10087522B2 (en) 2016-04-21 2018-10-02 Asm Ip Holding B.V. Deposition of metal borides
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10032628B2 (en) 2016-05-02 2018-07-24 Asm Ip Holding B.V. Source/drain performance through conformal solid state doping
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
KR102592471B1 (ko) 2016-05-17 2023-10-20 에이에스엠 아이피 홀딩 비.브이. 금속 배선 형성 방법 및 이를 이용한 반도체 장치의 제조 방법
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US10388509B2 (en) 2016-06-28 2019-08-20 Asm Ip Holding B.V. Formation of epitaxial layers via dislocation filtering
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US9793135B1 (en) 2016-07-14 2017-10-17 ASM IP Holding B.V Method of cyclic dry etching using etchant film
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
KR102354490B1 (ko) 2016-07-27 2022-01-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
US10177025B2 (en) 2016-07-28 2019-01-08 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10395919B2 (en) 2016-07-28 2019-08-27 Asm Ip Holding B.V. Method and apparatus for filling a gap
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10858727B2 (en) 2016-08-19 2020-12-08 Applied Materials, Inc. High density, low stress amorphous carbon film, and process and equipment for its deposition
US10090316B2 (en) 2016-09-01 2018-10-02 Asm Ip Holding B.V. 3D stacked multilayer semiconductor memory using doped select transistor channel
US10410943B2 (en) 2016-10-13 2019-09-10 Asm Ip Holding B.V. Method for passivating a surface of a semiconductor and related systems
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10435790B2 (en) 2016-11-01 2019-10-08 Asm Ip Holding B.V. Method of subatmospheric plasma-enhanced ALD using capacitively coupled electrodes with narrow gap
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10643904B2 (en) 2016-11-01 2020-05-05 Asm Ip Holdings B.V. Methods for forming a semiconductor device and related semiconductor device structures
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10134757B2 (en) 2016-11-07 2018-11-20 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by using the method
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
US10340135B2 (en) 2016-11-28 2019-07-02 Asm Ip Holding B.V. Method of topologically restricted plasma-enhanced cyclic deposition of silicon or metal nitride
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
US9916980B1 (en) 2016-12-15 2018-03-13 Asm Ip Holding B.V. Method of forming a structure on a substrate
KR20180070971A (ko) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10655221B2 (en) 2017-02-09 2020-05-19 Asm Ip Holding B.V. Method for depositing oxide film by thermal ALD and PEALD
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10283353B2 (en) 2017-03-29 2019-05-07 Asm Ip Holding B.V. Method of reforming insulating film deposited on substrate with recess pattern
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
US10103040B1 (en) 2017-03-31 2018-10-16 Asm Ip Holding B.V. Apparatus and method for manufacturing a semiconductor device
USD830981S1 (en) 2017-04-07 2018-10-16 Asm Ip Holding B.V. Susceptor for semiconductor substrate processing apparatus
KR102457289B1 (ko) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US10446393B2 (en) 2017-05-08 2019-10-15 Asm Ip Holding B.V. Methods for forming silicon-containing epitaxial layers and related semiconductor device structures
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10504742B2 (en) 2017-05-31 2019-12-10 Asm Ip Holding B.V. Method of atomic layer etching using hydrogen plasma
US10886123B2 (en) 2017-06-02 2021-01-05 Asm Ip Holding B.V. Methods for forming low temperature semiconductor layers and related semiconductor device structures
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US10685834B2 (en) 2017-07-05 2020-06-16 Asm Ip Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10605530B2 (en) 2017-07-26 2020-03-31 Asm Ip Holding B.V. Assembly of a liner and a flange for a vertical furnace as well as the liner and the vertical furnace
US10312055B2 (en) 2017-07-26 2019-06-04 Asm Ip Holding B.V. Method of depositing film by PEALD using negative bias
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US10249524B2 (en) 2017-08-09 2019-04-02 Asm Ip Holding B.V. Cassette holder assembly for a substrate cassette and holding member for use in such assembly
US10236177B1 (en) 2017-08-22 2019-03-19 ASM IP Holding B.V.. Methods for depositing a doped germanium tin semiconductor and related semiconductor device structures
USD900036S1 (en) 2017-08-24 2020-10-27 Asm Ip Holding B.V. Heater electrical connector and adapter
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
KR102401446B1 (ko) 2017-08-31 2022-05-24 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10607895B2 (en) 2017-09-18 2020-03-31 Asm Ip Holdings B.V. Method for forming a semiconductor device structure comprising a gate fill metal
KR102630301B1 (ko) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10319588B2 (en) 2017-10-10 2019-06-11 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
KR102443047B1 (ko) 2017-11-16 2022-09-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 방법 및 그에 의해 제조된 장치
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
KR102597978B1 (ko) 2017-11-27 2023-11-06 에이에스엠 아이피 홀딩 비.브이. 배치 퍼니스와 함께 사용하기 위한 웨이퍼 카세트를 보관하기 위한 보관 장치
CN111344522B (zh) 2017-11-27 2022-04-12 阿斯莫Ip控股公司 包括洁净迷你环境的装置
US10290508B1 (en) 2017-12-05 2019-05-14 Asm Ip Holding B.V. Method for forming vertical spacers for spacer-defined patterning
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
KR20200108016A (ko) 2018-01-19 2020-09-16 에이에스엠 아이피 홀딩 비.브이. 플라즈마 보조 증착에 의해 갭 충진 층을 증착하는 방법
TW202325889A (zh) 2018-01-19 2023-07-01 荷蘭商Asm 智慧財產控股公司 沈積方法
USD903477S1 (en) 2018-01-24 2020-12-01 Asm Ip Holdings B.V. Metal clamp
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US10535516B2 (en) 2018-02-01 2020-01-14 Asm Ip Holdings B.V. Method for depositing a semiconductor structure on a surface of a substrate and related semiconductor structures
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
CN111699278B (zh) 2018-02-14 2023-05-16 Asm Ip私人控股有限公司 通过循环沉积工艺在衬底上沉积含钌膜的方法
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
US10658181B2 (en) 2018-02-20 2020-05-19 Asm Ip Holding B.V. Method of spacer-defined direct patterning in semiconductor fabrication
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
US10668511B2 (en) * 2018-03-20 2020-06-02 Taiwan Semiconductor Manufacturing Co., Ltd. Method of cleaning process chamber
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
US10510536B2 (en) 2018-03-29 2019-12-17 Asm Ip Holding B.V. Method of depositing a co-doped polysilicon film on a surface of a substrate within a reaction chamber
KR102501472B1 (ko) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
TWI811348B (zh) 2018-05-08 2023-08-11 荷蘭商Asm 智慧財產控股公司 藉由循環沉積製程於基板上沉積氧化物膜之方法及相關裝置結構
TW202349473A (zh) 2018-05-11 2023-12-16 荷蘭商Asm Ip私人控股有限公司 用於基板上形成摻雜金屬碳化物薄膜之方法及相關半導體元件結構
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
CN112292478A (zh) 2018-06-27 2021-01-29 Asm Ip私人控股有限公司 用于形成含金属的材料的循环沉积方法及包含含金属的材料的膜和结构
TWI815915B (zh) 2018-06-27 2023-09-21 荷蘭商Asm Ip私人控股有限公司 用於形成含金屬材料及包含含金屬材料的膜及結構之循環沉積方法
KR20200002519A (ko) 2018-06-29 2020-01-08 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US10483099B1 (en) 2018-07-26 2019-11-19 Asm Ip Holding B.V. Method for forming thermally stable organosilicon polymer film
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
US10847365B2 (en) 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
US10811256B2 (en) 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US10381219B1 (en) 2018-10-25 2019-08-13 Asm Ip Holding B.V. Methods for forming a silicon nitride film
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US11393711B2 (en) * 2018-11-21 2022-07-19 Taiwan Semiconductor Manufacturing Company, Ltd. Silicon oxide layer for oxidation resistance and method forming same
US10559458B1 (en) 2018-11-26 2020-02-11 Asm Ip Holding B.V. Method of forming oxynitride film
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
TW202037745A (zh) 2018-12-14 2020-10-16 荷蘭商Asm Ip私人控股有限公司 形成裝置結構之方法、其所形成之結構及施行其之系統
TW202405220A (zh) 2019-01-17 2024-02-01 荷蘭商Asm Ip 私人控股有限公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
CN111593319B (zh) 2019-02-20 2023-05-30 Asm Ip私人控股有限公司 用于填充在衬底表面内形成的凹部的循环沉积方法和设备
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
JP2020136678A (ja) 2019-02-20 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための方法および装置
KR20200102357A (ko) 2019-02-20 2020-08-31 에이에스엠 아이피 홀딩 비.브이. 3-d nand 응용의 플러그 충진체 증착용 장치 및 방법
JP2020133004A (ja) 2019-02-22 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材を処理するための基材処理装置および方法
US11742198B2 (en) 2019-03-08 2023-08-29 Asm Ip Holding B.V. Structure including SiOCN layer and method of forming same
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
JP2020167398A (ja) 2019-03-28 2020-10-08 エーエスエム・アイピー・ホールディング・ベー・フェー ドアオープナーおよびドアオープナーが提供される基材処理装置
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
US11447864B2 (en) 2019-04-19 2022-09-20 Asm Ip Holding B.V. Layer forming method and apparatus
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
JP2020188254A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141002A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 배기 가스 분석을 포함한 기상 반응기 시스템을 사용하는 방법
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP7499079B2 (ja) 2019-07-09 2024-06-13 エーエスエム・アイピー・ホールディング・ベー・フェー 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
CN112242296A (zh) 2019-07-19 2021-01-19 Asm Ip私人控股有限公司 形成拓扑受控的无定形碳聚合物膜的方法
TW202113936A (zh) 2019-07-29 2021-04-01 荷蘭商Asm Ip私人控股有限公司 用於利用n型摻雜物及/或替代摻雜物選擇性沉積以達成高摻雜物併入之方法
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
CN112323048B (zh) 2019-08-05 2024-02-09 Asm Ip私人控股有限公司 用于化学源容器的液位传感器
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11972943B2 (en) 2019-09-20 2024-04-30 Applied Materials, Inc. Methods and apparatus for depositing dielectric material
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
CN112635282A (zh) 2019-10-08 2021-04-09 Asm Ip私人控股有限公司 具有连接板的基板处理装置、基板处理方法
KR20210042810A (ko) 2019-10-08 2021-04-20 에이에스엠 아이피 홀딩 비.브이. 활성 종을 이용하기 위한 가스 분배 어셈블리를 포함한 반응기 시스템 및 이를 사용하는 방법
KR20210043460A (ko) 2019-10-10 2021-04-21 에이에스엠 아이피 홀딩 비.브이. 포토레지스트 하부층을 형성하기 위한 방법 및 이를 포함한 구조체
US12009241B2 (en) 2019-10-14 2024-06-11 Asm Ip Holding B.V. Vertical batch furnace assembly with detector to detect cassette
TWI834919B (zh) 2019-10-16 2024-03-11 荷蘭商Asm Ip私人控股有限公司 氧化矽之拓撲選擇性膜形成之方法
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
KR20210050453A (ko) 2019-10-25 2021-05-07 에이에스엠 아이피 홀딩 비.브이. 기판 표면 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
US11450529B2 (en) 2019-11-26 2022-09-20 Asm Ip Holding B.V. Methods for selectively forming a target film on a substrate comprising a first dielectric surface and a second metallic surface
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11885013B2 (en) 2019-12-17 2024-01-30 Asm Ip Holding B.V. Method of forming vanadium nitride layer and structure including the vanadium nitride layer
KR20210080214A (ko) 2019-12-19 2021-06-30 에이에스엠 아이피 홀딩 비.브이. 기판 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
TW202140135A (zh) 2020-01-06 2021-11-01 荷蘭商Asm Ip私人控股有限公司 氣體供應總成以及閥板總成
US11993847B2 (en) 2020-01-08 2024-05-28 Asm Ip Holding B.V. Injector
TW202129068A (zh) 2020-01-20 2021-08-01 荷蘭商Asm Ip控股公司 形成薄膜之方法及修飾薄膜表面之方法
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
TW202146882A (zh) 2020-02-04 2021-12-16 荷蘭商Asm Ip私人控股有限公司 驗證一物品之方法、用於驗證一物品之設備、及用於驗證一反應室之系統
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
TW202146715A (zh) 2020-02-17 2021-12-16 荷蘭商Asm Ip私人控股有限公司 用於生長磷摻雜矽層之方法及其系統
TW202203344A (zh) 2020-02-28 2022-01-16 荷蘭商Asm Ip控股公司 專用於零件清潔的系統
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
KR20210116249A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 록아웃 태그아웃 어셈블리 및 시스템 그리고 이의 사용 방법
CN113394086A (zh) 2020-03-12 2021-09-14 Asm Ip私人控股有限公司 用于制造具有目标拓扑轮廓的层结构的方法
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
US11996289B2 (en) 2020-04-16 2024-05-28 Asm Ip Holding B.V. Methods of forming structures including silicon germanium and silicon layers, devices formed using the methods, and systems for performing the methods
TW202140831A (zh) 2020-04-24 2021-11-01 荷蘭商Asm Ip私人控股有限公司 形成含氮化釩層及包含該層的結構之方法
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
TW202146831A (zh) 2020-04-24 2021-12-16 荷蘭商Asm Ip私人控股有限公司 垂直批式熔爐總成、及用於冷卻垂直批式熔爐之方法
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
KR20210143653A (ko) 2020-05-19 2021-11-29 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
KR20210145080A (ko) 2020-05-22 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 과산화수소를 사용하여 박막을 증착하기 위한 장치
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
KR20220006455A (ko) 2020-07-08 2022-01-17 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
KR20220010438A (ko) 2020-07-17 2022-01-25 에이에스엠 아이피 홀딩 비.브이. 포토리소그래피에 사용하기 위한 구조체 및 방법
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
US11725280B2 (en) 2020-08-26 2023-08-15 Asm Ip Holding B.V. Method for forming metal silicon oxide and metal silicon oxynitride layers
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
US12009224B2 (en) 2020-09-29 2024-06-11 Asm Ip Holding B.V. Apparatus and method for etching metal nitrides
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
TW202217037A (zh) 2020-10-22 2022-05-01 荷蘭商Asm Ip私人控股有限公司 沉積釩金屬的方法、結構、裝置及沉積總成
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
KR20220076343A (ko) 2020-11-30 2022-06-08 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치의 반응 챔버 내에 배열되도록 구성된 인젝터
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate

Citations (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO1992012535A1 (en) * 1991-01-08 1992-07-23 Fujitsu Limited Process for forming silicon oxide film

Family Cites Families (55)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4303632A (en) 1979-12-14 1981-12-01 E. I. Du Pont De Nemours And Company Preparation of hydrogen peroxide
US4845054A (en) 1985-06-14 1989-07-04 Focus Semiconductor Systems, Inc. Low temperature chemical vapor deposition of silicon dioxide films
US4789648A (en) 1985-10-28 1988-12-06 International Business Machines Corporation Method for producing coplanar multi-level metal/insulator films on a substrate and for forming patterned conductive lines simultaneously with stud vias
US5000113A (en) 1986-12-19 1991-03-19 Applied Materials, Inc. Thermal CVD/PECVD reactor and use for thermal chemical vapor deposition of silicon dioxide and in-situ multi-step planarized process
CA1317740C (en) 1988-10-24 1993-05-18 Karl T. Chuang Production of hydrogen peroxide
JP3319014B2 (ja) * 1992-04-03 2002-08-26 ソニー株式会社 成膜方法、成膜装置、及び半導体装置の製造方法
JP2934353B2 (ja) 1992-06-24 1999-08-16 三菱電機株式会社 半導体装置およびその製造方法
US5739579A (en) 1992-06-29 1998-04-14 Intel Corporation Method for forming interconnections for semiconductor fabrication and semiconductor device having such interconnections
JP3262334B2 (ja) 1992-07-04 2002-03-04 トリコン ホルディングズ リミテッド 半導体ウエハーを処理する方法
JP3688726B2 (ja) * 1992-07-17 2005-08-31 株式会社東芝 半導体装置の製造方法
US5271972A (en) 1992-08-17 1993-12-21 Applied Materials, Inc. Method for depositing ozone/TEOS silicon oxide films of reduced surface sensitivity
JP2826787B2 (ja) * 1992-08-26 1998-11-18 富士通株式会社 半導体装置
JPH0795548B2 (ja) 1992-09-10 1995-10-11 アプライド マテリアルズ インコーポレイテッド 二酸化珪素膜の気相成長法
US5610105A (en) 1992-10-23 1997-03-11 Vlsi Technology, Inc. Densification in an intermetal dielectric film
JP2684942B2 (ja) 1992-11-30 1997-12-03 日本電気株式会社 化学気相成長法と化学気相成長装置および多層配線の製造方法
GB9402486D0 (en) 1994-02-09 1994-03-30 Electrotech Ltd Forming a layer
US5503882A (en) * 1994-04-18 1996-04-02 Advanced Micro Devices, Inc. Method for planarizing an integrated circuit topography
US5858880A (en) 1994-05-14 1999-01-12 Trikon Equipment Limited Method of treating a semi-conductor wafer
JPH0855913A (ja) 1994-06-07 1996-02-27 Texas Instr Inc <Ti> サブミクロン相互接続の選択的空隙充填方法
US5492736A (en) 1994-11-28 1996-02-20 Air Products And Chemicals, Inc. Fluorine doped silicon oxide process
JPH08181276A (ja) 1994-12-26 1996-07-12 Toshiba Corp 半導体装置の製造方法
JPH08181210A (ja) 1994-12-26 1996-07-12 Toshiba Corp 半導体装置の製造方法
TW285753B (ja) 1995-01-04 1996-09-11 Air Prod & Chem
US5534462A (en) 1995-02-24 1996-07-09 Motorola, Inc. Method for forming a plug and semiconductor device having the same
FR2734402B1 (fr) 1995-05-15 1997-07-18 Brouquet Pierre Procede pour l'isolement electrique en micro-electronique, applicable aux cavites etroites, par depot d'oxyde a l'etat visqueux et dispositif correspondant
JP3463416B2 (ja) 1995-06-23 2003-11-05 ソニー株式会社 絶縁膜の製造方法および半導体装置
JPH09116011A (ja) 1995-10-23 1997-05-02 Mitsubishi Electric Corp 半導体装置およびその製造方法
TW328971B (en) 1995-10-30 1998-04-01 Dow Corning Method for depositing Si-O containing coatings
JPH09237785A (ja) 1995-12-28 1997-09-09 Toshiba Corp 半導体装置およびその製造方法
JPH09212535A (ja) 1996-01-31 1997-08-15 Hitachi Ltd プリント基板への部品実装設計方法およびその支援装置
JPH09260369A (ja) * 1996-03-25 1997-10-03 Toshiba Corp 絶縁膜の形成方法
US5710079A (en) * 1996-05-24 1998-01-20 Lsi Logic Corporation Method and apparatus for forming dielectric films
US6313035B1 (en) * 1996-05-31 2001-11-06 Micron Technology, Inc. Chemical vapor deposition using organometallic precursors
US5693563A (en) 1996-07-15 1997-12-02 Chartered Semiconductor Manufacturing Pte Ltd. Etch stop for copper damascene process
US5807785A (en) 1996-08-02 1998-09-15 Applied Materials, Inc. Low dielectric constant silicon dioxide sandwich layer
WO1998008249A1 (en) 1996-08-24 1998-02-26 Trikon Equipments Limited Method and apparatus for depositing a planarized dielectric layer on a semiconductor substrate
GB9618620D0 (en) 1996-09-06 1996-10-16 Electrotech Equipments Ltd A method of forming a layer
US5812403A (en) 1996-11-13 1998-09-22 Applied Materials, Inc. Methods and apparatus for cleaning surfaces in a substrate processing system
US5691247A (en) 1996-12-19 1997-11-25 Tower Semiconductor Ltd. Method for depositing a flow fill layer on an integrated circuit wafer
JPH10242143A (ja) * 1997-02-27 1998-09-11 Mitsubishi Electric Corp 半導体装置、半導体装置の製造方法及び半導体装置の絶縁膜形成方法
US6080526A (en) * 1997-03-24 2000-06-27 Alliedsignal Inc. Integration of low-k polymers into interlevel dielectrics using controlled electron-beam radiation
JPH10313003A (ja) * 1997-05-13 1998-11-24 Sony Corp 酸化シリコン系誘電体膜の形成方法
EP0885983A1 (en) 1997-06-19 1998-12-23 N.V. Bekaert S.A. Method for coating a substrate with a diamond like nanocomposite composition
JPH1116904A (ja) * 1997-06-26 1999-01-22 Mitsubishi Electric Corp 半導体装置及びその製造方法
DE19804375B4 (de) 1997-06-26 2005-05-19 Mitsubishi Denki K.K. Verfahren zur Herstellung eines Zwischenschichtisolierfilmes
US5891799A (en) 1997-08-18 1999-04-06 Industrial Technology Research Institute Method for making stacked and borderless via structures for multilevel metal interconnections on semiconductor substrates
US6140226A (en) 1998-01-16 2000-10-31 International Business Machines Corporation Dual damascene processing for semiconductor chip interconnects
US6042994A (en) * 1998-01-20 2000-03-28 Alliedsignal Inc. Nanoporous silica dielectric films modified by electron beam exposure and having low dielectric constant and low water content
US6303523B2 (en) 1998-02-11 2001-10-16 Applied Materials, Inc. Plasma processes for depositing low dielectric constant films
US6054379A (en) * 1998-02-11 2000-04-25 Applied Materials, Inc. Method of depositing a low k dielectric with organo silane
US6114032A (en) * 1998-04-10 2000-09-05 The University Of North Texas Films for use in microelectronic devices and methods of producing same
US6068884A (en) 1998-04-28 2000-05-30 Silcon Valley Group Thermal Systems, Llc Method of making low κ dielectric inorganic/organic hybrid films
US6159871A (en) 1998-05-29 2000-12-12 Dow Corning Corporation Method for producing hydrogenated silicon oxycarbide films having low dielectric constant
US6255221B1 (en) * 1998-12-17 2001-07-03 Lam Research Corporation Methods for running a high density plasma etcher to achieve reduced transistor device damage
JP3088714B1 (ja) * 1999-03-05 2000-09-18 キヤノン販売株式会社 基板表面の清浄化方法及び半導体装置の製造方法

Patent Citations (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO1992012535A1 (en) * 1991-01-08 1992-07-23 Fujitsu Limited Process for forming silicon oxide film

Cited By (51)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2006502586A (ja) * 2002-10-07 2006-01-19 アプライド マテリアルズ インコーポレイテッド 耐酸化性が良好な次世代ダマシンバリヤ適用のための二層膜
US8809161B2 (en) 2004-03-25 2014-08-19 Novellus Systems, Inc. Flowable film dielectric gap fill process
US9257302B1 (en) 2004-03-25 2016-02-09 Novellus Systems, Inc. CVD flowable gap fill
JP2007305981A (ja) * 2006-04-17 2007-11-22 Applied Materials Inc 総合プロセスモジュレーション(ipm)hdp−cvdによるギャップ充填のための新規な解決法
JP2009539265A (ja) * 2006-05-30 2009-11-12 アプライド マテリアルズ インコーポレイテッド ギャップ充填と共形のフィルムの適用のために低k膜を堆積させ硬化する方法
JP2009539266A (ja) * 2006-05-30 2009-11-12 アプライド マテリアルズ インコーポレイテッド 二酸化シリコンの膜質を高める新規な堆積プラズマ硬化サイクルプロセス
JP2009539268A (ja) * 2006-05-30 2009-11-12 アプライド マテリアルズ インコーポレイテッド シリコン含有前駆物質と原子酸素を用いた高品質流動状二酸化シリコンの化学気相堆積
JP2009542011A (ja) * 2006-06-22 2009-11-26 アプライド マテリアルズ インコーポレイテッド ボトムアップギャップ充填のための誘電堆積プロセスとエッチバックプロセス
JP2010507259A (ja) * 2006-10-16 2010-03-04 アプライド マテリアルズ インコーポレイテッド Sti用の二酸化シリコンの高品質誘電体膜の形成:harpii−遠隔プラズマ増強型堆積プロセス−のための異なるシロキサンベースの前駆物質の使用
US9245739B2 (en) 2006-11-01 2016-01-26 Lam Research Corporation Low-K oxide deposition by hydrolysis and condensation
US8357435B2 (en) 2008-05-09 2013-01-22 Applied Materials, Inc. Flowable dielectric equipment and processes
KR20100069603A (ko) * 2008-12-15 2010-06-24 노벨러스 시스템즈, 인코포레이티드 Pecvd 유동성 유전체 갭 충전
JP2010153859A (ja) * 2008-12-15 2010-07-08 Novellus Systems Inc Pecvdを用いた流動性誘電体による間隙の充填
KR101698595B1 (ko) * 2008-12-15 2017-01-20 노벨러스 시스템즈, 인코포레이티드 Pecvd 유동성 유전체 갭 충진
US8741788B2 (en) 2009-08-06 2014-06-03 Applied Materials, Inc. Formation of silicon oxide using non-carbon flowable CVD processes
US9064684B1 (en) 2009-09-24 2015-06-23 Novellus Systems, Inc. Flowable oxide deposition using rapid delivery of process gases
US8449942B2 (en) 2009-11-12 2013-05-28 Applied Materials, Inc. Methods of curing non-carbon flowable CVD films
US8980382B2 (en) 2009-12-02 2015-03-17 Applied Materials, Inc. Oxygen-doping for non-carbon radical-component CVD films
US8629067B2 (en) 2009-12-30 2014-01-14 Applied Materials, Inc. Dielectric film growth with radicals produced using flexible nitrogen/hydrogen ratio
US8329262B2 (en) 2010-01-05 2012-12-11 Applied Materials, Inc. Dielectric film formation using inert gas excitation
US8647992B2 (en) 2010-01-06 2014-02-11 Applied Materials, Inc. Flowable dielectric using oxide liner
US8563445B2 (en) 2010-03-05 2013-10-22 Applied Materials, Inc. Conformal layers by radical-component CVD
US9285168B2 (en) 2010-10-05 2016-03-15 Applied Materials, Inc. Module for ozone cure and post-cure moisture treatment
US8664127B2 (en) 2010-10-15 2014-03-04 Applied Materials, Inc. Two silicon-containing precursors for gapfill enhancing dielectric liner
US9719169B2 (en) 2010-12-20 2017-08-01 Novellus Systems, Inc. System and apparatus for flowable deposition in semiconductor fabrication
US10283321B2 (en) 2011-01-18 2019-05-07 Applied Materials, Inc. Semiconductor processing system and methods using capacitively coupled plasma
US8450191B2 (en) 2011-01-24 2013-05-28 Applied Materials, Inc. Polysilicon films by HDP-CVD
US8716154B2 (en) 2011-03-04 2014-05-06 Applied Materials, Inc. Reduced pattern loading using silicon oxide multi-layers
US8445078B2 (en) 2011-04-20 2013-05-21 Applied Materials, Inc. Low temperature silicon oxide conversion
US8466073B2 (en) 2011-06-03 2013-06-18 Applied Materials, Inc. Capping layer for reduced outgassing
US9404178B2 (en) 2011-07-15 2016-08-02 Applied Materials, Inc. Surface treatment and deposition for reduced outgassing
US8617989B2 (en) 2011-09-26 2013-12-31 Applied Materials, Inc. Liner property improvement
US8551891B2 (en) 2011-10-04 2013-10-08 Applied Materials, Inc. Remote plasma burn-in
US8846536B2 (en) 2012-03-05 2014-09-30 Novellus Systems, Inc. Flowable oxide film with tunable wet etch rate
US9299559B2 (en) 2012-03-05 2016-03-29 Novellus Systems, Inc. Flowable oxide film with tunable wet etch rate
US8889566B2 (en) 2012-09-11 2014-11-18 Applied Materials, Inc. Low cost flowable dielectric films
US9018108B2 (en) 2013-01-25 2015-04-28 Applied Materials, Inc. Low shrinkage dielectric films
JP2019096888A (ja) * 2013-09-30 2019-06-20 ラム リサーチ コーポレーションLam Research Corporation パルス化された低周波数rf電力による高選択性かつ低応力のカーボンハードマスク
JP2015073096A (ja) * 2013-09-30 2015-04-16 ラム リサーチ コーポレーションLam Research Corporation パルス化された低周波数rf電力による高選択性かつ低応力のカーボンハードマスク
US9847222B2 (en) 2013-10-25 2017-12-19 Lam Research Corporation Treatment for flowable dielectric deposition on substrate surfaces
US9412581B2 (en) 2014-07-16 2016-08-09 Applied Materials, Inc. Low-K dielectric gapfill by flowable deposition
US10049921B2 (en) 2014-08-20 2018-08-14 Lam Research Corporation Method for selectively sealing ultra low-k porous dielectric layer using flowable dielectric film formed from vapor phase dielectric precursor
US9916977B2 (en) 2015-11-16 2018-03-13 Lam Research Corporation Low k dielectric deposition via UV driven photopolymerization
US10388546B2 (en) 2015-11-16 2019-08-20 Lam Research Corporation Apparatus for UV flowable dielectric
US11270896B2 (en) 2015-11-16 2022-03-08 Lam Research Corporation Apparatus for UV flowable dielectric
KR20210043746A (ko) * 2018-09-17 2021-04-21 어플라이드 머티어리얼스, 인코포레이티드 유전체 재료를 증착하기 위한 방법들
JP2022500867A (ja) * 2018-09-17 2022-01-04 アプライド マテリアルズ インコーポレイテッドApplied Materials, Incorporated 誘電体材料の堆積方法
JP7176106B2 (ja) 2018-09-17 2022-11-21 アプライド マテリアルズ インコーポレイテッド 誘電体材料の堆積方法
KR102514465B1 (ko) * 2018-09-17 2023-03-24 어플라이드 머티어리얼스, 인코포레이티드 유전체 재료를 증착하기 위한 방법들
US11837441B2 (en) 2019-05-29 2023-12-05 Lam Research Corporation Depositing a carbon hardmask by high power pulsed low frequency RF
WO2022230944A1 (ja) * 2021-04-29 2022-11-03 東ソー株式会社 平坦化膜の製造方法、平坦化膜用材料および平坦化膜

Also Published As

Publication number Publication date
KR100787657B1 (ko) 2007-12-21
US6413583B1 (en) 2002-07-02
EP1063692A1 (en) 2000-12-27
TW457630B (en) 2001-10-01
JP2011071555A (ja) 2011-04-07
KR20010069210A (ko) 2001-07-23

Similar Documents

Publication Publication Date Title
JP2001148382A (ja) 有機珪素化合物とヒドロキシル形成化合物との反応による液状シリカ層の形成
US6596627B2 (en) Very low dielectric constant plasma-enhanced CVD films
EP1055012B1 (en) Plasma processes for depositing low dielectric constant films
US6593247B1 (en) Method of depositing low k films using an oxidizing plasma
US7547643B2 (en) Techniques promoting adhesion of porous low K film to underlying barrier layer
US6660656B2 (en) Plasma processes for depositing low dielectric constant films
US7422776B2 (en) Low temperature process to produce low-K dielectrics with low stress by plasma-enhanced chemical vapor deposition (PECVD)
JP5090430B2 (ja) 低誘電率膜の堆積処理方法、基板処理システム、デュアルダマシン構造の形成方法、およびデュアルダマシン構造
US6448187B2 (en) Method of improving moisture resistance of low dielectric constant films
US20080107573A1 (en) Method for forming an ultra low dielectric film by forming an organosilicon matrix and large porogens as a template for increased porosity
KR20010080287A (ko) Cvd 나노포러스 실리카 저유전상수 막
KR100605770B1 (ko) 저 유전상수 필름을 증착하는 플라즈마 방법

Legal Events

Date Code Title Description
A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20070621

A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20100120

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20100126

A601 Written request for extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A601

Effective date: 20100426

A602 Written permission of extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A602

Effective date: 20100430

A601 Written request for extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A601

Effective date: 20100526

A602 Written permission of extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A602

Effective date: 20100531

A601 Written request for extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A601

Effective date: 20100628

A602 Written permission of extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A602

Effective date: 20100701

A02 Decision of refusal

Free format text: JAPANESE INTERMEDIATE CODE: A02

Effective date: 20100928

RD03 Notification of appointment of power of attorney

Free format text: JAPANESE INTERMEDIATE CODE: A7423

Effective date: 20101130

A761 Written withdrawal of application

Free format text: JAPANESE INTERMEDIATE CODE: A761

Effective date: 20110204