KR20100069603A - Pecvd 유동성 유전체 갭 충전 - Google Patents

Pecvd 유동성 유전체 갭 충전 Download PDF

Info

Publication number
KR20100069603A
KR20100069603A KR1020090124466A KR20090124466A KR20100069603A KR 20100069603 A KR20100069603 A KR 20100069603A KR 1020090124466 A KR1020090124466 A KR 1020090124466A KR 20090124466 A KR20090124466 A KR 20090124466A KR 20100069603 A KR20100069603 A KR 20100069603A
Authority
KR
South Korea
Prior art keywords
gap
film
substrate
deposition
flowable
Prior art date
Application number
KR1020090124466A
Other languages
English (en)
Other versions
KR101698595B1 (ko
Inventor
조지 앤드류 안토넬리
바트 반 슈라벤디지크
Original Assignee
노벨러스 시스템즈, 인코포레이티드
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 노벨러스 시스템즈, 인코포레이티드 filed Critical 노벨러스 시스템즈, 인코포레이티드
Publication of KR20100069603A publication Critical patent/KR20100069603A/ko
Application granted granted Critical
Publication of KR101698595B1 publication Critical patent/KR101698595B1/ko

Links

Images

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/04Coating on selected surface areas, e.g. using masks
    • C23C16/045Coating cavities or hollow spaces, e.g. interior of tubes; Infiltration of porous substrates
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/50Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges
    • C23C16/505Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges using radio frequency discharges
    • C23C16/509Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges using radio frequency discharges using internal electrodes
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/56After-treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02164Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon oxide, e.g. SiO2
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02205Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
    • H01L21/02208Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si
    • H01L21/02214Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound comprising silicon and oxygen
    • H01L21/02216Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound comprising silicon and oxygen the compound being a molecule comprising at least one silicon-oxygen bond and the compound having hydrogen or an organic group attached to the silicon or oxygen, e.g. a siloxane
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/02274Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition in the presence of a plasma [PECVD]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02318Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment
    • H01L21/02337Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment treatment by exposure to a gas or vapour
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02318Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment
    • H01L21/02337Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment treatment by exposure to a gas or vapour
    • H01L21/0234Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment treatment by exposure to a gas or vapour treatment by exposure to a plasma
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02318Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment
    • H01L21/02345Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment treatment by exposure to radiation, e.g. visible light
    • H01L21/02348Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment treatment by exposure to radiation, e.g. visible light treatment by exposure to UV light
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/76Making of isolation regions between components
    • H01L21/762Dielectric regions, e.g. EPIC dielectric isolation, LOCOS; Trench refilling techniques, SOI technology, use of channel stoppers
    • H01L21/76224Dielectric regions, e.g. EPIC dielectric isolation, LOCOS; Trench refilling techniques, SOI technology, use of channel stoppers using trench refilling with dielectric materials
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76822Modification of the material of dielectric layers, e.g. grading, after-treatment to improve the stability of the layers, to increase their density etc.
    • H01L21/76825Modification of the material of dielectric layers, e.g. grading, after-treatment to improve the stability of the layers, to increase their density etc. by exposing the layer to particle radiation, e.g. ion implantation, irradiation with UV light or electrons etc.
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76822Modification of the material of dielectric layers, e.g. grading, after-treatment to improve the stability of the layers, to increase their density etc.
    • H01L21/76826Modification of the material of dielectric layers, e.g. grading, after-treatment to improve the stability of the layers, to increase their density etc. by contacting the layer with gases, liquids or plasmas
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76822Modification of the material of dielectric layers, e.g. grading, after-treatment to improve the stability of the layers, to increase their density etc.
    • H01L21/76828Modification of the material of dielectric layers, e.g. grading, after-treatment to improve the stability of the layers, to increase their density etc. thermal treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76837Filling up the space between adjacent conductive structures; Gap-filling properties of dielectrics
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/532Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body characterised by the materials
    • H01L23/5329Insulating materials
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/0001Technical content checked by a classifier
    • H01L2924/0002Not covered by any one of groups H01L24/00, H01L24/00 and H01L2224/00

Abstract

유전성 물질(dielectric material)로 갭(gap)을 충전하는 신규한 방법이 제공된다. 상기 방법은 갭에 유동성 중합된 막을 플라스마-보강형 화학적 기상 증착(PECVD)하고, 인-시추(in situ) 처리하여 상기 막을 유전성 물질로 변환하는 것을 포함한다. 다양한 실시예에 따르면, 인 시추 처리는 순수 열 처리 공정, 또는 플라스마 처리 공정일 수 있다. 막을 등각 공정으로 증착하는 종래의 고체 물질의 PECVD 공정과 달리, 증착이 갭의 하부-상부 충전(bottom-up fill)을 야기한다. 특정 실시예에서, 증착 - 인 시추 처리 - 증착 - 인 시추 처리 공정이 수행되어, 갭 내에 유전체 층을 형성할 수 있다. 갭의 하부-상부 충전을 위해 필요한 만큼 시퀀스가 반복된다. 또한 특정 실시예에서, 갭 충전이 완료된 후, 엑스 시추 후-처리 공정이 수행된다. (인 시추 처리와, (특정 경우) 엑스 시추 처리를 통해) 유동성 막을 증착하고 처리하는 상기 PECVD 방법은, 희망 전기적 및 기계적 속성을 갖는 막을 획득하도록 조정된다. 예를 들어, 특정 실시예에서, 3.0 이하의 유전 상수를 갖는 막이 형성된다. 또한 상기 공정은 프론트엔드 갭충전 및 백엔드 갭충전에 적용가능하다.

Description

PECVD 유동성 유전체 갭 충전{PECVD FLOWABLE DIELECTRIC GAP FILL}
본 발명은 전자 소자 제조 공정에 관한 것이다. 구체적으로, 본 발명은 높은 종횡비의 좁은 폭의 오목한 특징부에 유전체 층을 형성하기 위한 화학 기상 증착 공정에 관한 것이다.
때때로 반도체 공정에서 높은 종횡비의 갭(gap)을 절연 물질로 충전하는 것이 요구된다. STI(shallow trench isolation), IMD 층(inter-metal dielectric layer), 패시베이션 층(passivation layer) 등의 경우가 그것이다. 소자의 지오메트리(geometry)가 축소되고, 열적 부담(thermal budget)이 감소됨에 따라, 기존 증착 공정의 한계 때문에, 높은 종횡비(가령, AR>8:1) 공간의 보이드(void) 없는 충전이 점점 더 어려워지고 있다.
대부분의 증착 방법은, 측벽의 하부 영역보다 상부 영역에 더 많은 물질을 증착하거나, 갭의 입구(entry)에 탑-햇(top-hat)을 형성한다. 따라서 상기 갭의 하부 부분에 보이드를 남기면서, 높은 종횡비 구조물의 상부 부분이 너무 이르게 폐쇄된다. 이 문제는 작은 특징부에서 더 악화된다. 덧붙이자면, 종횡비가 증가할 때, 갭 자체의 형태가 문제에 기여할 수 있다. 높은 종횡비의 갭은 종종 요각( 凹角) 형태 특징부(reentrant feature)를 보여주며, 이는 갭 충전을 훨씬 더 어렵게 만든다. 이러한 문제적 요각 형태 특징부는 갭의 상부에서 좁은 폭을 갖는다. 에칭된 측벽은 상기 갭의 상부 가까이서 안쪽으로 경사진다. 주어진 종횡비의 특징부에 대하여, 이는 증착 동안 전구체 종에 의해 보이는 갭 접근 면적에 대한 갭 체적의 비를 증가시킨다. 이들 조건 하에서 보이드(void)와 심(seam) 형성이 발생하기 더 쉽다. 더 많은 막이 갭 내에 증착될 수 있기 전에 상기 갭의 상부가 너무 이르게 폐쇄되는 경우, 갭을 다시 개방하기 위해 화학적 에칭이 필요하다.
갭을 충전하기 위한 한 가지 접근법은 고밀도 플라스마 화학 기상 증착(HDP CVD)법이다. HDP CVD는 높은 종횡비 갭-충전을 위해 사용되는 지향성(하부-상부) CVD 공정이다. 본 발명의 방법은 높은 종횡비의 구조물의 측벽보다 하부에 더 많은 물질을 증착한다. 이는, 대전된 유전체 전구물질 종을 갭의 하부까지 아래로 향하게 함으로써, 이뤄진다. 그럼에도 불구하고, 약간의 오버행, 또는 탑-햇 형성이, 충전될 갭의 입구 영역에 여전히 나타난다. 이는 플라스마 반응기의 중성 종의 비-지향성 증착 반응과 스퍼터링/재증착(redeposition) 공정으로부터 도출된다. 지향성 양태의 증착 공정은, 하부 충전물을 스퍼터링시켜버리는 높은 운동량의 대전된 종을 도출한다. 스퍼터링된 물질은 측벽에 재증착되는 경향이 있다. 충전될 갭의 폭이 감소하고 종횡비가 증가함에 따라, 오버행 형성으로 인한 한계가 더 심해진다.
HDP CVD 공정은 측벽 증착물과 탑-햇을 제거하기 위한 플라스마 에칭 단계에 의존한다. 통상적으로, NF3 등의 불소 종이 유전체 막 증착 단계 사이에서 막을 에칭하기 위해 사용된다. 유전체 층이 기판 상의 갭을 부분적으로 충전한 후, 불소-함유 플라스마가 층을 에칭하여, 탑-햇을 제거하고, 추가적인 증착을 위해 갭을 개방한다. 그러나 이들 에칭 단계가 일부 경우에서는 부적절할 수 있다.
소자의 지오메트리(geometry)가 축소되고, 열적 부담(thermal budget)이 감소됨에 따라, 기존 증착 공정의 한계 때문에, 높은 종횡비(가령, AR>8:1) 공간의 보이드(void) 없는 충전이 점점 더 어려워지고 있다. 대부분의 증착 방법은, 측벽의 하부 영역보다 상부 영역에 더 많은 물질을 증착하거나, 갭의 입구(entry)에 탑-햇(top-hat)을 형성한다. 따라서 상기 갭의 하부 부분에 보이드를 남기면서, 높은 종횡비 구조물의 상부 부분이 너무 이르게 폐쇄된다. 이 문제는 작은 특징부에서 더 악화된다.
유전성 물질(dielectric layer)로 갭(gap)을 충전하는 신규한 방법이 제공된다. 상기 방법은 갭에 유동성 중합된 막을 플라스마-보강형 화학적 기상 증착(PECVD)하고, 인-시추(in situ) 처리하여 상기 막을 유전성 물질로 변환하는 것을 포함한다. 다양한 실시예에 따르면, 인 시추 처리는 순수 열 처리 공정, 또는 플라스마 처리 공정일 수 있다. 막을 등각 공정으로 증착하는 종래의 고체 물질의 PECVD 공정과 달리, 증착이 갭의 하부-상부 충전(bottom-up fill)을 야기한다. 특정 실시예에서, 증착 - 인 시추 처리 - 증착 - 인 시추 처리 공정이 수행되어, 갭 내에 유전체 층을 형성할 수 있다. 갭의 하부-상부 충전을 위해 필요한 만큼 시퀀스가 반복된다. 또한 특정 실시예에서, 갭 충전이 완료된 후, 엑스 시추 후-처리 공정이 수행된다.
(인 시추 처리와, (특정 경우) 엑스 시추 처리를 통해) 유동성 막을 증착하고 처리하는 상기 PECVD 방법은, 희망 전기적 및 기계적 속성을 갖는 막을 획득하도록 조정된다. 예를 들어, 특정 실시예에서, 3.0 이하의 유전 상수를 갖는 막이 형성된다. 또한 상기 공정은 프론트엔드 갭충전 및 백엔드 갭충전에 적용가능하다.
소개
본 발명은 높은 종횡비의 좁은 폭의 갭의 완전한 충전을 제공하는 증착 공정에 관한 것이다. 다양한 실시예에 따르면, 본 발명의 방법은, 더 낮은 열적 부담에서조차, 15:1 이상의 종횡비를 갖는 갭을 충전하기 위해 사용될 수 있다.
대부분의 증착 방법은 측벽의 하부 영역보다 상부 영역 상에 더 많은 물질을 증착하거나, 갭의 입구에 (탑-햇이라고도 일컬어지는) 커스프(cusp)를 형성한다. 측벽과 탑-햇 증착물을 제거하고, 추가적인 증착을 위해 갭을 개방된 채로 유지하기 위해, 종래의 HDP CVP 공정이 다중-사이클 증착 공정-에칭 공정을 사용하는 것이 통상적이다. 각각이 사이클은 증착 단계와 이에 뒤따르는 에칭 단계를 포함한다. 에칭 단계에서 불소 종이 사용되는 것이 통상적이다. 이들 불소 에칭 단계는, 다수의 반응기를 필요로 하는 일부 경우에서 비용이 높고, 시간 소모적이다.
도 1은 종래의 HDP CVD 방법에 의해 부분적으로 충전된 트렌치의 대략적인 도시를 나타낸다. 도면부호(101)는 트렌치의 하부로부터 스퍼터링되고 트렌치의 측벽 상에 재-증착되는 막으로부터 측벽이 형성된 곳을 가리킨다. 이들 측벽은 핀치 오프(pinch off)되어, 추가적인 증착이 방해된다. 유전체 막이 트렌치 내부에 증착될 수 있기 전에, 상기 트렌치를 다시 개방하기 위해 화학적 에칭이 필요하다. 높은 종횡비의 트렌치, 또는 그 밖의 다른 특징부를 충전하기 위해, 다수의 증착 - 에칭 - 증착 사이클이 필요하다. 도면부호(103)는 취약 스팟(weak spot)을 가리킨다. 종래의 갭 충진 방법은 종종, 유전체 막의 취약 스팟의 형성을 초래한다. 취약 스팟은, 갭이 폐쇄됨에 따른 증가된 갭 접근 면적에 대한 갭 체적의 결과로서 형성될 수 있으며, 갭에서 보이드(void), 또는 심(seam)을 초래할 수 있고, 궁극적으로는 소자의 오류를 초래할 수 있다. 그 밖의 다른 알려진 갭-충전 방법은 다중 사이클의 증착 - 에칭 단계에 의존하며, 갭의 상부의 핀치-오프(pinch off), 갭 내 보이드 및 심 형성에 영향받기 쉽다.
이러한, 또는 그 밖의 다른 이유 때문에, 로직 및 메모리 적용예에서의 STI(shallow trench isolation), PMD(pre-metal dielectric) 및 층간 유전체(ILD)를 위한 갭 충진 기법은, 축소되는 특징부 크기 때문에, 계속하여 도전과제가 된다. HDP, 또는 SACVD(sub-atmospheric chemical vapor deposition)는 산업에서 원하는 종횡비를 획득할 수 없다. 이러한 이유로, 스핀-온(spin-on)뿐 아니라, 증착, 에칭-백(etch-back) 및 재-증착을 포함하는 복합적인 방식이 조사된다. 상기 복합적인 방식은 비용이 많이 들고, 복잡하다. 이는 물론 바람직하지 않다. 현재 스핀-온 방법은, 질소 함유량을 제거하기 위한 긴 고온 어닐링과 연결되는 폴리실리젠(polysilizane) 등의 화학물질에 의존한다. 이 공정에서 요구되는 큰 열적 부담은 특정 경우에서 바람직하지 않을 수 있다.
본원에서 기재된 방법은 높은 종횡비 특징부의 하부-상부 갭 충전을 제공한다. 상기 방법은 바람직한 전기적 특성(가령, 2.7 이하의 유전 상수)을 갖는 막을 증착하도록, 또는 기계적 속성을 증가하도록 조정될 수 있다. 또한 상기 방법은, 낮은, 또는 높은 열적 부담의 경우에서 사용될 수 있다는 점에서, 유연하다.
다양한 실시예에 따르면, 상기 방법은, 높은 종횡비의 구조물을 충전할 수 있는 PECVD를 이용하여 유동성 SiO2를 생성하기 위한 다중 단계 공정을 포함한다. PECVD 증착 동안, 웨이퍼 상에 증착된 물질은 유동성을 가지며, 높은 종횡비의 특징부를 충전할 것이다. 증착 후에, 열 처리, 플라스마 처리, 자외선 열 공정(UVTP) 처리, 또는 그 밖의 다른 공정이 막에 적용되어, 탄소를 제거하고, 기계적 속성을 강화할 수 있다. 이하에서 기재될 바와 같이, 막의 열적 부담 및 희망 속성에 대해 경화 공정(curing process)이 맞춰질 수 있다.
공정
도 2는 본 발명의 일실시예에 따르는 방법을 도시한 공정 흐름도이다. 나타나다시피, 증착 공정은 단계(201)에서 시작하며, 상기 단계(201)에서, 갭을 포함하는 기판이 반응 챔버(reaction chamber)로 제공된다. 반응기에 기판을 제공하는 것 은 기판을 챔버 내 페데스탈(pedestal), 또는 그 밖의 다른 지지대에 클램핑-고정하는 것을 포함한다. 이러한 목적을 위해, 정전 척(electrostatic chuck), 또는 기계적 척이 사용될 수 있다.
기판이 반응 챔버에 제공된 후, 단계(203)에서 공정 기체가 도입된다. 상기 공정 기체는 실리콘-함유 화합물 및 산화제를 포함한다. 또한 기체는 하나 이상의 도펀트 전구체를 포함할 수 있다. 종종, 필수는 아닐지라도, 비활성 운반기체가 존재한다. 특정 실시예에서, 기체는 액체 주입 시스템(liquid injection system)을 이용하여 도입된다. 실리콘 함유 화합물 및 산화제는, 서로 동일한, 또는 개별적인 입구를 통해 도입된다. 단계(205)에서, 병렬 플레이트 RF 플라스마 발생기를 이용하여, 용량성-결합된 플라스마가 점화(strike)된다. 그 후, 단계(207)에서 유동성 폴리머 막이 갭 내부에 증착되어, 갭을 부분적으로 충전할 수 있다. 반응기 내부의 조건은, 실리콘-함유 화합물 및 산화제가 반응하고, 기판 상에서 응축(condense)되도록 정해진다. (상기 방법은 특정 반응 메커니즘에 국한되지 않는다. 예를 들어, 반응 메커니즘으로는, 응축 반응, 또는 응축되는 증기 상태 산물을 생성하는 증기 상태 반응, 또는 반응 전 반응제 중 하나 이상의 응축, 또는 이들의 조합이 있다) 증착 공정은 안정된 충전을 제공하는 바람직한 유동 특성을 갖는 점성의 비정질 폴리머 막을 형성한다.
반응기에서의 공정 조건은, 반응 산물이 표면 상에서 응축되도록 정해지며, 이는 이하에서 기재되는 바와 같이 0 내지 100℃의 페데스탈 온도를 포함한다. 특정 실시예에서, 증착만 이뤄진 상태의(as-deposited) 막은 Si-O, Si-OH, Si-CH3 및 Si-CHx 결합을 포함하며, Si-H 결합은 거의, 또는 실질적으로 전혀, 없다.
유동성 막이 갭 내부에 증착된 후, 증착만 이뤄진 상태의 유동성 막이 인 시추(in situ) 단계(209)에서 고체 실리콘 옥사이드 유전체 막으로 변환된다. 이러한 적용예의 목적을 위해, 인 시추(in situ)는 증착 반응과 동일한 반응 챔버에서 수행되는 것을 일컫는다. 즉, 증착과 인 시추 처리 사이에 어떠한 공기 침입도 없음을 의미한다. 상기 인 시추 처리는 플라스마-기반 처리이거나, 순수하게 열 공정일 수 있다. 그 밖의 다른 타입의 경화 공정, 예를 들어, 빠른 열 공정(rapid thermal processing), 자외선 섬광 경화(ultra-violet flash cure) 등도 사용될 수 있다. 다수의 실시예에서, 공정이 인 시추 공정이기 때문에, 처리는 플라스마-기방 처리이거나, 열 어닐링이며, 이 둘 모두 PECVD 증착 장치에서 수행되기에 적합하다. 비활성, 또는 산화 분위기에서 처리가 이뤄질 수 있다. 특정 처리가 이하에서 추가로 논의된다. 처리에 따라서, 다양한 탄소 양이 막에 남아있을 수 있다.
그 후, 갭을 충전하기 위해 필요한 만큼 유동성 막의 증착 및 처리 공정이 반복된다. 블록(211)을 참조하라. 특정 실시예에서, 증착 전에 플라스마를 점화하는 것이 또한 필수적일 수 있다. 그 밖의 다른 실시예에서, 처리와 증착 간의 전환과 그 반대의 전환은 실리콘-함유 전구 유동체(그리고 필요한 경우 산화제 유동체)를 바꾸는 것을 포함할 수 있다. 그러나 그 밖의 다른 경우는 플라스마를 유지한다. 도 3은 2번의 증착/처리 사이클 후의 높은 종횡비 특징부(300)를 대략적 으로 도시한다. 층(303)은 두 번째 증착/처리 사이클에서 형성된 고체 유전체 물질을 나타낸다. 층들 사이에 경계부(307)가 나타난다. 후-처리 공정에 따라서, 상기 경계부에서 검출가능한 화학적 서명이 존재할 수 있다. 기재된 바와 같이, (단일 증착 단계보다는) 다중-사이클 공정으로 막을 증착함으로써, 뒤 따르는 처리 공정이 증착만 이뤄진 막을 균일하게 치밀화(densify)할 수 있다. 이는 높은 종횡비에서, 단일 사이클 증착을 이용하여 이뤄지기는 어려울 수 있다. 밀도-변화(density-gradient) 없는 막을 증착하는 다중-사이클 공정의 추가적인 세부사항은 US 특허 출원 제11/834,581호에서 발견될 수 있으며, 상기 특허 출원은 본원에서 참조로서 인용된다. 도 2가 특정 실시예에서 다중-사이클 증착 공정을 도시하지만, 막은 하나의 단일 증착 작업으로 증착되고, 하나 이상의 뒤 따르는 처리 작업에서 고형 물질로 변형될 수 있다. 예를 들어, 긴 및/또는 높은 열적 부담 경화 공정을 가능하게 하는 낮은 종횡비의 막, 또는 공정에 대하여, 단일 사이클이 사용될 수 있다.
갭을 완전히 충전하기 위해, 또는 갭을 희망 종점까지 부분적으로 충전하기 위해 필요한 만큼 증착 및 처리 작업이 반복된다. 공정에 의해, 증착된 막의 두께에 대한 미세 제어가 가능하기 때문에, 특징부가 부분적으로 충전될 수 있다. 예를 들면, 깊이의 절반까지 충전될 수 있다. 이로써, 요구되는 경우에 특징부의 나머지 부분은 종래의 HDP-기반 갭 충전을 이용하여 충전될 수 있다. 특정 실시예에서, 기판은 서로 다른 종횡비의 특징부를 갖는다. 그 후 증착 사이클 시간은 가장 높은 종횡비 특징부 및 상기 특징부에 대한 희망 사이클 횟수를 기초로 한다.
도 2에서 기재된 공정의 하부-상부(bottom-up) 속성 때문에, 부분적으로 충전된 특징부의 종횡비가 미충전 특징부보다 작으며, 이로 인해서, 높은 종횡비의 특징부를 충전하기에 적합하지 않는 다른 등각 기법(conformal technique)이 사용될 수 있다. (이는 종횡비가 고르게 유지되거나 증가할 수 있는 등각 공정과 다르다.) 특정 실시예에서, 갭의 나머지 부분은 종래의 PECVD를 이용하여 충전되며, 상기 종래의 PECVD는 고체이며 대체로 등각인 막을 갭 내부에 증착한다.
갭이 유전체 물질로 충전된 후, 특정 실시예에서, 단계(213)에서 기판이 엑스 시추(ex situ) 경화 챔버로 이동된다. 본원에서 사용될 때, 용어 엑스 시추(ex situ)는 증착 챔버의 외부를 일컫는다. 단계(215)에서 그 곳에서 엑스 시추 처리 공정이 수행된다. 이 단계는 막의 추가적인 치밀화, 탄소 제거, 기계적 강도 증가 및 유전 상수 낮추기 중 임의의 것을 수행하기 위한 것일 수 있다. 다양한 실시예에 따르면, UV-보조형 열 공정, 고온 열 어닐링, 빠른 열 공정, 또는 그 밖의 다른 섬광 경화가 사용된다.
특정 실시예에서, 표면이 유동성 막을 더 끌어당기도록 만드는 에이전트를 이용하여 표면이 전처리(pre-treat)될 수 있다. 이는 증착 챔버에서 발생하거나(즉, 도 2의 흐름도의 단계(201)와 단계(203) 사이에서 발생), 증착 챔버에 위치하기 전에 발생할 수 있다. 특정 실시예에서, 전처리는 기판을 플라스마 처리 공정, 가령, H2, NH3, 또는 O2 플라스마 처리에 노출시키는 단계를 포함한다. 또 다른 실시예에서, 기판을 HMDS 등의 실라잔(silazane) 증기에 노출시키는 등의 화학적 전 처리가 수행될 수 있다.
본원에서 기재된 실시예 중 다수에서, 순환적 증착(증착 - 처리 - 증착 - 처리 등) 공정이 기재된다. 도 3의 설명에서 나타나는 바와 같이, 각각의 사이클에서 증착되는 막 사이의 경계부가 도출된다. 고품질 막을 얻기 위해, 특정 실시예에서 다수의 층을 갖는 것이 발견되었다. 특정 실시예에서, 충전된 특징부는 둘 이상의 이러한 경계부, 또는 셋 이상의 이러한 경계부, 또는 넷 이상의 이러한 경계부를 포함한다. 각각의 층은 전체 갭 모두를 한 번에 변환/치밀화하지 않고, 다음 층이 증착되기 전에, 낮은 습식 에칭 속도로, 증착만 이뤄진 상태의 폴리머에서 꽤 높은 품질의 옥사이드로 변환될 수 있다. 갭 내부의 물질은 후처리(post treatment) 전에 변환되기 때문에, 후처리가 특징부의 상부 근방의 기계적 및 화학적 속성을 추가로 강화한다. 또한 특정 실시예에서, 플라스마 증착 공정은 펄스형 RF 플라스마를 이용한다. 이는 경계부의 개수와 막의 품질을 증가시키는 효과를 갖는다.
공정 매개변수
공정 기체
공정 기체는 실리콘-함유 화합물과 산화제를 포함한다. 적합한 실리콘-함유 화합물은 플라스마 중합 반응이 가능한 화합물이고, 유기 실란 및 유기 실록산을 포함한다. 특정 실시예에서, 실리콘-함유 화합물은 일반적으로 이용 가능한 액상 실리콘 공급원이다. 예를 들자면, TOMCTS, OMCTS, TEOS, 트리-에톡시 실란(TES), TMS, MTEOS, TMOS, MTMOS, DMDMOS 디에톡시 실란(DES), 트리페닐에톡시실란, 1-(트 리에톡시실릴), 2-(디에톡시메틸실릴)에탄, 트리-티-부톡실실라놀(tri-t-butoxylsilanol), 테트라메톡시 실란이 있다. 특정 예로는 테트라메틸실란(4MS), 테트라에톡시실란(TEOS) 및 옥타메틸테트라실록산(OMCTS)이 있다. 적합한 산화제의 예로는, 오존, 하이드로젠 페록사이드, 산소, 물 및 알코올(가령, 메탄올, 에탄올, 이소프로포날)이 있다.
일부 실시예에서, 실리콘-함유 화합물 및 산화제는 챔버로의 유입을 위해 액체를 기화시키는 액체 주입 시스템을 통해 반응 챔버로 전달된다. 통상적으로, 반응제는 챔버에 따로 따로 전달된다. 액체 주입 시스템으로 유입되는 액체의 통상적인 유량은 반응제 당 0.1 내지 5.0㎖/min이다. 물론, 해당 업계 종사자라면 최적 유량이 특정 반응제, 희망 증착 속도, 반응 속도 및 그 밖의 다른 공정 조건에 따라 달라짐을 이해할 것이다. 허용가능한 산화제:실리콘 함유 화합물의 비는 2:1 내지 1:3이다.
증착 반응 조건
반응 조건은, 실리콘-함유 화합물 및 산화제가 기판 표면 상에 응축되어 유동성 막을 형성할 수 있는 응축 반응을 겪도록 정해진다. 앞서 언급된 바와 같이, 상기 방법은 특정 반응 수단으로 국한되지 않는다. 온도는 통상의 PECVD 반응보다 낮다.
기판 온도는 약 0 내지 100℃인 것이 통상적이다. 유동성 막을 증착하기 위해 요구되는 기판 온도는 사용되는 전구체에 따라 일부 좌우된다. 온도가 낮을수록 더 액체 상태에 가까운 막이 도출된다. 종횡비가 증가할수록, 특징부를 충전하기 위해 필수적인 유동성을 획득하기 위해, 온도가 더 낮다. 챔버 압력은 약 0.5 내지 10Torr일 수 있으며, 특정 실시예에서, 0.5 내지 5Torr이다. 특정 실시예에서, 챔버 압력은 약 1Torr이다.
이하에서 더 설명될 바와 같이, 출원인은 특정 전구체를 이용한 증착 동안 플라스마를 사용함으로써, 기대치 않게도, 열 CVD의 경우에서 가능한 것보다 더 고온에서 증착이 수행될 수 있음을 발견했다. 특정 실시예에서, 온도는 약 60 내지 100℃, 가령 90℃이다. TEOS에 대하여, 예를 들어, 열-전용(thermal-only) CVD를 이용하여 유동성 막이 증착될 수 있는 최대 온도는 실온 근처(20-25℃)이다. 테트라메틸실란(4MS), 트리에틸실란(3MS), HMDSO, SiH4 등의 그 밖의 다른 전구체가 열 CVD를 이용하지만 실온보다 훨씬 더 낮은 온도에서 유동성 막을 증착하기 위해 사용될 수 있다. 이러한 경우, 보통, 유동성 막을 증착하기 위해 a<0 ℃ 페데스탈이 필요하다. 그러나 더 높은 온도에서, 가령, 75℃에서, 플라스마를 이용하여, 유동성 막이 증착된다.
플라스마에 의해 제공되는 증가되는 에너지 때문에, 플라스마-보강형 공정은 열 전용 공정보다 더 낮은 온도 체제에서 동작하는 것이 통상적이기 때문에, 플라스마-보강형 CVD를 이용하여 더 높은 온도 체제에 접근하는 것은 예상하지 못한 것이다. 덧붙이자면, 특정 실시예에서, 본원에서 기재된 방법은 폴리머 구조를 갖는 유동성 막을 생산한다. 이는, 막이 중합되지 않는 저온 열 CVD 공정에 의해 증착되 는 유동성 막과 다르다.
특정 실시예에서, 전구체 선택, 온도 범위 및 플라스마의 사용이 비정질 폴리머 겔을 표면 상에 증착하는 중합 반응을 야기한다. 저온 열 전용 공정을 이용하는 이전 공정은 중합 반응을 제공하지 않는다. 특정 실시예에서, 본원에서 기재된 플라스마-보강형 증착 막의 폴리머 구조에 의해 희망 기계적 및 전기적 속성을 획득하기 위해 요구되는 바대로, 증착 후에 막을 수정하기 위한 더 높은 기회가 제공된다. 이는 인 시추 및 엑스 시추 처리 공정에 의해 폴리머 막이 가교결합될 수 있기 때문이다.
앞서 나타난 바와 같이, 다크 조건(dark condition)에서 PECVD 공정은 CVD 공정보다 훨씬 더 높은 온도에서의 증착이 가능하게 한다. 이는 이전 공정을 이용하여 획득될 수 없는 다양한 이점을 제공한다. 반응기에서 존재하는 입자는 가장 차가운 표면에 고착될 것이다. 전면과 후면 오염이 이러한 저온 공정(cold process)에서 상당한 문제가 될 수 있다. 이러한 저온 페데스탈 반응기를 세정하는 것도 매우 어려울 수 있다. 이러한 어려움은 본원에서 기재된 플라스마-보강형 공정에 의해 경감되거나 회피된다.
이러한 기재(hardware)적 이점에 추가로, 특정 실시예에서 본 발명의 공정은 더 바람직한 막 균일성을 제공한다. 챔버 내 대량 수송 및 열 경계 조건이, 열 CVD와 PECVD 공정 모두에 대해 증착된 막의 균일성을 판단하는 중요한 인자이다. 그러나 PECVD에서는 플라스마의 균일성이 막 균일성에 강하게 영향을 미친다. 상기 플라스마는 플라스마가 대량 수송, 또는 열 구배(thermal gradient)에서 결함을 매끄 럽게 할 수 있기 때문에, 웨이퍼간 균일성(cross-wafer uniformity)을 개선시킬 수 있다. 따라서 기재는 약간 더 관대해진다.
특히, 약 60 내지 100℃에서의 산소와의 플라스마-보강된 CVD 반응에서, TEOS, OMCTS 및 TOMCTS를 이용하는 실시예는 중합 반응을 야기한다. OMCTS가 전구체인 특정 실시예에서 발생한 중합 반응의 간단한 예로는
[SiO(CH3)2]4 + O2 + RF 에너지 -> -[SiO(CH3)2]- N가 있으며, 이때, N은 중합도이다. 이는 발생할 수 있는 반응의 하나의 예에 불과하다. 이하에서 더 설명되겠지만, 겔은 많은 실시예에서 다수의 서로 다른 길이의 폴리머를 갖는다. 상기 폴리머는 브랜치 폴리머이거나 선형 폴리머일 수 있다. 폴리머 체인의 길이는 실질적으로, 모노머 세그먼트로 구성된 다수의 브랜치에 따라, 또는 플라스마에서 생성된 더 작은 단편(fragment)에 따라 달라질 수 있다. 유사한 방식으로, TOMCTS 및 TEOS를 포함하는 또 다른 전구체가 중합되어, -[SiOR]- N(이때, R은 하나 이상의 알킬 그룹)을 포함하는 브랜치 폴리머, 또는 선형 폴리머 체인을 형성할 수 있다.
증착만 이뤄진 상태의(as-deposited) 막 조성물이 이하에서 추가로 설명된다.
노출 시간은 반응 조건뿐 아니라 희망 막 두께에 따라 달라진다. 증착 속도는 약 100옹스트롬/분(min) 내지 1㎜/분(min)인 것이 통상적이다. 앞서 설명된 바와 같이, 다수의 실시예에서, 증착 사이클 동안 갭은 부분적으로만 충전된다. 노출 시간에 추가로, 온도를 이용하여 사이클 동안 증착되는 막의 크기가 조정될 수 있다.
RF 파워는 앞서 기재된 조건 하에서 플라스마를 점화하기에 충분해야 한다. 고주파수 RF(2-60㎒, 예를 들면 약 13.56㎒) 공급원이 사용된다. 유동성 물질의 증착 동안 저주파수 RF는 사용되지 않아야 한다. 이는 과도한 이온 충돌이, 증착 동안 물질이 표면에 순응하는 방식을 크게 변경하기 때문이다. (증착 상태가 완료된 후, 이온 충돌이 허용되며, 증착만 이뤄진 상태의 폴리머의 밀도를 강화하기 위해 바람직할 수 있다. 저주파수 공급원(약 100㎑ 내지 1㎒, 가령, 400㎑)이 사용되어, 총 파워의 10 내지 20%를 공급할 수 있다.)
증착만 이뤄진 상태의 막 조성물( as - deposited film composition )
도 4는 앞서 기재된 PECVD 공정을 통해 증착만 이뤄진 상태의 유동성 막의 FTIR 스펙트럼을 나타낸다. Si-CHx 피크(peak)는, x=2 또는 3에 대한 CH2 및 CH3 그룹에서, 3100㎝-1 내지 2800㎝-1의 영역의 클러스터이다. Si-O 피크는 1250㎝-1 내지 950㎝-1의 클러스터이다. (3개의 주요한 피크가 있다: 약 1135㎝-1에서의 피크(cage), 약 1063㎝-1에서의 피크(network), 약 1023㎝-1에서의 피크(sub-oxide)). Si-O 영역은 이들 모두가 조합된 것이다. Si-O 피크에 대한 Si-CHx 피크의 비는 약 4%이다. Si-O 피크에 대한 1273㎝-1에서의 Si-CH3 피크의 비 는 약 7%이다.
증착만 이뤄진 상태의 막은, 용융 전이를 갖는(그러나 어떠한 투명 유리 전이는 없음) 겔 형태의 비정질 폴리머로서 특징지워질 수 있다. 증착만 이뤄진 상태의 막의 기계적 속성은 꽤 약한데, 예를 들어, 도 4에서 나타난 스펙트럼에 의해 특징지워진 막에 대해, 경도와 모듈러스는 각각 0.09Gpa와 1.79GPa였다.
도 5A 및 5B는 각각, 증착만 이뤄진 상태의 막의 열 중량측정치와 디지털 스캐닝 열량측정치 분석값을 나타낸다. 이들은 막이 다중상태(multiphase)(다중 체인 길이) 비정질 폴리머로서 특징지워질 수 있음을 나타낸다. 어떠한 결정화도 관찰되지 않고, 용융점 온도의 범위는 폴리머 체인의 체인 길이가 가변적임을 나타낸다.
인 시추 처리( in situ treatment )
기판 상에 유동성 막이 증착된 후, 이를 처리함으로써, 고형화되고 수축된다. 앞서 설명된 바와 같이, 다수의 실시예에서, 이는 증착 공정과 동일한 챔버에서 이뤄진다. (또한 다중-스테이션 챔버의 경우 증착 공정과 동일한 스테이션에서 이뤄질 수 있다.) 증착과 처리 단계를 동일한 챔버에서 수행함으로써, 앞서 기재된 다중-사이클 증착/처리가 촉진된다.
다양한 실시예에 따라, 순수 열 어닐, 또는 플라스마에의 노출에 의해, 막이 고체 옥사이드 막으로 변환될 수 있다. 열 어닐 온도는 (열적 부담에 따라) 300℃이상일 수 있다. 어닐은 비활성 분위기(Ar, He 등)에서, 또는 잠재적 반응성 분위 기에서 수행될 수 있다. (O2, N2O, O3, H2O, H2O2 등을 이용하는) 산화 분위기가 사용될 수 있지만, 특정 상황에서 막에 질소가 포함되는 것을 방지하기 위해 질소-함유 화합물이 피해질 것이다.
특정 실시예에서, 막은 플라스마에 노출됨으로써 처리된다. 이로 인해서, 유동성 막의 고체 막으로의 탑-다운 변형(top-down conversion)이 야기된다. 상기 플라스마는 비활성이거나 반응성일 수 있다. 헬륨 및 아르곤 플라스마가 비활성 플라스마의 예이며, 산소 및 수증기 플라스마가 (예를 들어, 요구될 때 탄소를 제거하기 위해 사용되는) 산화 플라스마의 예이다. 최종 유전체 막에 질소가 포함되는 것이 바람직하지 않는 경우, 질소-함유 플라스마는 피해져야 한다. 플라스마 노출 동안 온도는 약 200℃ 이상인 것이 통상적이다. 특정 실시예에서, 산소, 또는 산소-함유 플라스마가 사용되어 탄소를 제거할 수 있다.
인 시추 공정 동안의 온도는 0 내지 550℃일 수 있으며, 온도 범위의 상한은 특정 공정 단계에서의 열적 부담에 의해 결정된다. 압력은 0.1 내지 10Torr일 수 있으며, 탄소를 제거하기 위해 고 산화제 압력이 사용된다.
막을 고형화하고 수축하기 위해, 또 다른 어닐링 공정, 예를 들어, RTP(rapid thermal processing)도 사용될 수 있다. 이하의 표 1은 압력 1.5Torr와 페데스탈 온도 200℃의 산화 분위기에서의 인 시추 플라스마 처리(13.56㎒; 1500 W HFRF 파워)의 결과를 나타낸다.
표 1 : 인 시추 플라스마 처리
경화 시간(분) 기체 기체 유량(sccm) He 유량(sccm) 수축률(%) 응력(MPa) SiCHx/SiO 제거율 (%) SiCH3/SiO 제거율(%)
1 O2 200 2000 13.5 37.4 40.1 42.9
1 O2 2000 2000 23.1 53.5 68.1 68.3
1 O2 15000 0 13.2 40.6 50.9 53.3
인 시추 산화 플라스마 처리는 FTIR에 의해 측정됐을 때, 물질 내 탄소의 양을 성공적으로 감소시켰다.
다중-사이클 단계에서 증착 단계 사이에서의 인 시추 처리가 겔을 고체로 응축하고, 최종 유전체의 희망 속성에 따라, 탄소를 제거하고 막을 치밀화할 수 있다.
엑스 시추 처리( ex situ treatment )
특정 실시예에서, 상기 막을 완전하게 치밀화하고 상기 막에서 탄소를 제거하도록, 갭이 충전된 후 엑스 시추 공정이 수행된다. 엑스 시추 처리는 증착과는 다른 툴 상에서 수행되기 때문에, 더 높은 온도와 또 다른 에너지 공급원이 사용될 수 있다. 엑스 시추 처리는 N2, O2, H2O 및 He 등의 대기에서 고온 처리 어닐(700-1000℃)을 포함한다.
특정 실시예에서, 엑스 시추 처리는, 예를 들어, 자외선 열 공정(UVTP: ultraviolet thermal processing)에서 막을 자외선 복사에 노출시키는 단계를 포함한다. 예를 들어, UV 노출과 함께, 400℃ 이상의 온도가 막을 경화시키기 위해 사용될 수 있다. 또 다른 섬광 경화 공정, 예를 들어, RTP가 엑스 시추 처리를 위해서도 사용될 수 있다.
이하의 표 2는 400℃의 페데스탈 온도에서의 산소 분위기의 UVTP 공정의 결과를 나타낸다.
표 2 : 엑스 시추 UVTP 처리
경화 시간(분) 압력(Torr) 수축률(%) 응력(MPa) SiCHx/SiO 제거율(%) SiCH3/SiO 제거율(%) 경도(GPa) 탄성계수(GPa)
5 50 14.8 94.1 78.4 59.6 - -
10 50 21.5 113.2 85.0 69.3 - -
15 50 27.2 122.6 90.8 77.9 - -
5 650 24.4 110.8 89.6 76.9 1.46 11.59
10 650 29.0 104.8 100 100 1.74 20.13
15 650 33.1 94.8 100 100 1.64 20.65
고압(650Torr) 공정이 가장 높은 수준의 탄소 제거를 제공했다. 하나의 예에서, 650Torr에서의 산소 분위기의 15분 UVTP 처리가, 증착만 이뤄진 상태보다 거의 15배의 경도를 갖는 막을 생성했다. 1분 N2O 플라스마 처리가 거의 5분 UVTP 처리만큼 탄소의 양을 제거한다.
앞서 설명된 바와 같이, 본원에서 기재된 공정은 특정 희망 전기적 속성 및/또는 기계적 속성을 획득하도록 조정될 수 있다. 특정 실시예에서, 약 3.0 이하의 유전 상수가 획득된다. 상기 방법은 비-반응성 대기 기체(예를 들어, He, Ar 등)에서 수행되는 엑스 시추 UVTP 공정, 열 공정, 또는 e-빔(e-beam) 공정을 포함한다. 유동성 막으로의 서로 다른 노출 시간을 갖는 이러한 경화 공정의 결과의 예가 표 3에서 제공된다. 페데스탈 온도는 400℃였다.
표 3 : 엑스 시추 UVTP 처리 및 유전 상수
경화 시간(분) 수축률(%) 응력(MPa) SiCHx/SiO 제거율(%) SiCH3/SiO제거율(%) k 경도(GPa) 탄성계수(GPa)
5 17.58 56.40 49.82 36.72 2.72 0.53 3.70
10 24.36 67.23 61.86 48.89 2.69 0.94 6.25
15 27.75 66.33 69.36 57.28 2.75 1.16 8.33
막의 유전 상수는 2.75 수준이며, 탄성 계수 및 경도가 증착만 이뤄진 상태의 물질보다 훨씬 더 개선된다. 대량 생산에서, 관찰된 수축률 및 응력은 다른 로우-k(low-k) 공정과 유사하다. 이들 막은 현재의 HDP SiO2, 또는 SiOF 기술을 이용하여 얻을 수 있는 것보다 훨씬 더 낮은 전기적 속성을 갖는다. 따라서 본원에서 기재된 방법을 이용할 때, 특정 실시예에서, 3.0 이하의 유전 상수, 또는 심지어 2.8이나 2.7 이하의 유전 상수를 갖는 높은 종횡비의 특징부 내 유전체 막을 획득하는 것이 가능하다.
적용예
본원 발명의 방법은, STI(shallow trench isolation), PMD(pre-metal dielectric), ILD(inter-level dielectric) 및 IMD(inter-metal dielectric) 적용예 등의 다양한 적용예에 대한 것일 수 있다.
다양한 실시예에 따르면, 엑스 시추 처리는 특정 적용예에 대해 맞춰진다. 예를 들어, 하나의 실시예에서, 본 방법이 STI 공정에서 사용된다. STI 공정에서, 기판 상의 얕은 트렌치(shallow trench)가 생성되고, 그 후, 트렌치 내부에 유동성 막이 증착되어, 트렌치를 유전성 물질로 충전할 수 있다. 통상적으로, 종래의 공정은 STI용으로 HDP-CVD를 이용한다. 종횡비는 10:1, 또는 그 이상일 수 있다. 막이 증착된 후, 특정 실시예에서, 고온 엑스 시추 처리, 가령 1000℃의 온도를 이용하는 노 어닐(furnace anneal), 또는 고속 열 공정이 수행된다.
또 하나의 실시예에서, PMD, 또는 ILD 공정에서 유동성 막 증착 공정이 사용된다. 증착 및 인 시추 처리의 필수 횟수의 사이클 후에, 막이 UVTP 공정을 통해 처리된다. 또는, 저온(400 내지 500℃) 열 어닐을 통해, 상기 막이 처리된다. 이들 공정은 요구되는 더 낮은 열적 부담에서 희망 속성을 갖는 유전성 막을 생성한다.
또 다른 실시예에서, 알루미늄 삭제 공정(aluminum subtractive process)을 위한 백엔드 갭충전 기술에서 상기 공정이 사용된다. 높은 종횡비의 특징부를 충전하기 위해, 알루미늄 삭제 야금은 고밀도 플라스마(HDP) SiO2, 또는 SiOF 막에 의존한다. 이들 막은 3.7의 비교적 높은 유전 상수를 갖는다. 유전 상수를 변경하는 것 외에, 막에 불소를 첨가하는 것이 많은 통합 문제, 가령 디라미네이션(delamination)을 야기할 수 있다.
장치
본 발명의 방법은 광범위한 반응 챔버 상에서 수행될 수 있다. 상기 방법은 HDP-CVD 반응기, PECVD 반응기, CVD 반응을 위해 구비된 임의의 챔버, PDL(pulsed deposition layer)용으로 사용되는 챔버 등의 유전체 막의 증착을 위해 구비된 임의의 챔버 상에서 구현될 수 있다.
이러한 반응기는 여러 다른 형태를 취할 수 있다. 일반적으로, 상기 장치는 하나 이상의 웨이퍼를 하우징하고 웨이퍼 공정에 적합한 하나 이상의 챔버, 또는 “반응기"(때로는 상기 챔버, 또는 반응기가 다수의 스테이션을 포함)를 포함할 것이다. 각각의 챔버는 공정을 위한 하나 이상의 웨이퍼를 하우징할 수 있다. 하나 이상의 챔버는 웨이퍼를 지정된 위치로 유지한다(이때 상기 위치 내에서 운동(가령, 회전, 진동, 또는 그 밖의 다른 교반)하거나, 운동하지 않음). 공정 중에, 각각의 웨이퍼는 페데스탈, 웨이퍼 척 및/또는 그 밖의 다른 웨이퍼 고정 장치에 의해, 하나의 위치로 고정된다. 웨이퍼를 가열할 특정 작업을 위해, 상기 장치는 가열기, 예를 들어 가열 플레이트(heating plate)를 포함할 수 있다.
특정 실시예에서, 본 발명은 HDP CVD 반응기에서 구현될 수 있다. 적합한 반응기의 예로는 Novellus Systems사(소재지: 미국, 캘리포니아, 산 호세)의 SpeedTM 반응기가 있다. 특정 실시예에서, 본 발명은 PECVD 반응기에서 구현될 수 있다. 적합한 반응기의 예로는 Novellus Systems사(소재지: 미국, 캘리포니아, 산 호세)의 SequelTM 반응기 및 VectorTM 반응기가 있다. 특정 실시예에서, 본 발명은 금속 및/또는 유전체 증착을 위해 구비된 CVD 챔버에서 구현될 수 있다. 적합한 반응기의 예로는 Novellus Systems사(소재지: 미국, 캘리포니아, 산 호세)의 AltusTM 반응기가 있다. 특정 실시예에서, 본 발명은 원자 층 증착(ALD: atomic layer deposition), 펄스형 증착 층(PDL: pulsed deposition layer), 또는 펄스형 핵생성 층(PNL: plused nucleation layer) 반응을 위해 구비된 챔버에서 구현될 수 있다. 이러한 반응기의 예로는 Novellus Systems사(소재지: 미국, 캘리포니아, 산 호세)의 PNL 반응기를 포함하는 AltusTM이 있다.
특정 실시예에서, 동일한 반응 챔버에서 증착 및 변환 작업이 수행된다. 또 다른 실시예에서, 증착이 제 1 챔버에서 수행되고, 그 후, 열, 또는 플라스마 어닐을 위해 제 2 챔버로 이동될 수 있다. 예를 들어, 플라스마 반응을 위해 구성된 반응기가 증착과 플라스마 어닐 작업 모두를 위해 사용될 수 있다. 증착 및 열 어닐 작업을 위해 또 다른 반응기가 사용될 수 있다.
도 8은 본 발명의 특정 실시예에 따라 사용될 수 있는 반응기의 예를 도시한다. 도 8에서 나타난 반응기는 다크 증착(dark deposition) 및 (가령, 플라스마 어닐에 의한) 고체 막으로의 변환 모두에 적합하다. 나타나다시피, 반응기(800)는 공정 챔버(824)를 포함하며, 상기 공정 챔버(824)는 반응기의 다른 구성요소를 내포하고, 접지된 가열기 블록(820)과 연계되어 작동하는 샤워헤드(814)를 포함하는 커패시터 타입 시스템에 의해 발생된 플라스마를 내포하도록 기능한다. 저주파수 RF 발생기(802) 및 고주파수 RF 발생기(804)가 샤워헤드(814)로 연결된다. 파워 및 주파수는 공정 기체로부터 플라스마를 생성하기에 충분하다. 가령, 400-700W의 총 에너지이다. 본 발명의 구현예에서, 상기 발생기는 유동성 막의 다크 증착 동안은 사용되지 않는다. 플라스마 어닐 단계 동안, 하나의 발생기, 또는 둘 모두의 발생기가 사용될 수 있다. 예를 들어, 통상의 공정에서, 고주파수 RF 성분은 2 내지 60㎒인 것이 일반적이며, 바람직한 실시예에서, 상기 성분은 13.56㎒이다.
반응기 내에서, 웨이퍼 페데스탈(8181)은 기판(816)을 지지한다. 상기 페데스탈은, 증착 및/또는 플라스마 처리 반응 동안, 또는 그 사이에 기판을 고정하고 이동시키기 위한 척(chuck), 또는 포크(fork), 또는 리프트 핀(lift pin)을 포함한다. 상기 척은 산업 및/또는 연구 분야에서 사용될 수 있는 정전기 척, 또는 기계적 척, 또는 그 밖의 다른 다양한 타입의 척일 수 있다.
공정 기체는 입구(812)를 통해 유입된다. 다수의 공급원 기체 라인(810)이 다기관(808)으로 연결된다. 상기 기체는 미리 혼합될 수도 있고, 그렇지 않을 수도 있다. 혼합 볼(mixing bowl)/다기관 라인의 온도는 반응 온도보다 높은 수준으로 유지되어야 한다. 보통 약 80℃ 이상의 온도와 약 20Torr 이하의 압력이 충분하다. 공정의 증착 및 플라스마 처리 단계 동안 올바른 기체가 전달됨을 보장하기 위해, 적정한 밸빙(valving) 수단 및 흐름 제어 수단이 사용된다. 전구 화학물질이 액체 형태로 전달되는 경우, 액체 흐름 제어 수단이 사용된다. 그 후, 증착 챔버에 도달하기 전, 기화점 이상으로 가열된 다기관에서의 이동 동안, 상기 액체가 기화되고, 다른 공정 기체와 혼합된다.
공정 기체가 출구(822)를 통해 챔버(800)를 빠져나간다. 진공 펌프(826)(가령, 하나 이상의 스테이지의 기계적 건식 펌프 및/또는 터보분자 펌프(turbomolecular pump))는, 폐쇄 루프에 의해 제어되는 흐름 제어 장치(가령, 스로틀 밸브, 또는 펜듈럼 밸브)에 의해, 공정 기체를 빼내고, 반응기 내부를 적합한 낮은 압력으로 유지한다.
도 8에서 도시된 장치는 본 발명을 구현하기 위해 사용될 수 있는 장치의 하나의 예에 불과하다.
실험
다음의 예시는 본 발명의 형태를 설명하는 세부사항을 제공한다. 이들 예시들은 본 발명의 이러한 형태의 예를 들고, 보다 명확한 설명을 위해 제공되는 것이지, 제한하기 위해 제공되는 것이 아니다.
OMCTS가 전구체로서, 그리고 O2가 산화제로서, 2:1의 비로 사용되었다. 이 공정에 대하여, 반응기 압력은 2T로 고정되었고, 페데스탈 온도는 <200℃였다. 플라스마를 여기시키기 위해, 13.65㎒의 무선 주파수 공급원이 사용되었다. 이 웨이퍼는 패턴처리된 TEOS SiO2 막의 상부 상에서 성장된 LPCVD SiN 막을 가졌다. (SEM에서 더 바람직한 콘트라스트를 얻기 위해, 샘플을 횡-절단(cross-sectioning)한 후 에칭을 가능하게 하기 위해 유동성 막의 물질은 PECVD SiN 막으로 캡핑(capping)되었다.) 도 6은 내부가 부분적으로 충전된 특징부의 이미지를 나타낸다. 트렌치의 하부에서 유동성 막이 선명하게 보일 수 있다. 물질은 보통 PECVD 막이 그러는 것처럼 등각 방식으로 증착되지 않았다. 대신, 유동성 충전물은 구리 전기도금 공정과 유사하게, BUF(bottom up fill)의 특성을 갖는다.
도 7은 트렌치 내부에 증착되는 유동성 막의 2개의 단면도 이미지(701 및 702)를 나타낸다. 나타나다시피, 상기 막은 보통 HDP에 의해 충전되는 높은 종횡비 특징부(가령, 이미지(702)의 특징부는 8:1의 종횡비를 갖는다)를 충전할 수 있다.
도 9는, 1) O2 플라스마를 포함하는 실리콘-함유 전구체(HF RF 파워 전용), 그 후, He 플라스마(HF 및 LF RF 파워)로서 OMCTS를 이용하는 또 다른 증착 - 인 시추 처리 - 증착 - 인 시추 처리 공정에서 증착된 유동성 막, 2) 건조 공기에서 700℃에서 30분의 엑스 시추 어닐 후의 막, 3) 실리콘 웨이퍼를 900℃에서 수증기 어닐링함으로써 생성된 기준 열 옥사이드(SiO2) 막의 FTIR 스펙트럼을 도시한다. 도면이 나타내는 바와 같이, 유동성 물질은 열 옥사이드(thermal oxide)와 거의 동일한 IR 흡수 대역을 갖는 물질로 변환되었다. 각각의 증착 후의 2 단계 인 시추 처리에 의해, 제 1 처리(O2 플라스마, HF 전용 RF)가 층의 산화를 제공하고, 제 2 처리(He 플라스마, HF 및 LF RF)가 층을 치밀화(densify)한다는 2가지 효과가 제공된다.
도 1은 공지된 방법에 의해 부분적으로 충전되는 트렌치의 대략적인 단면도이다.
도 2는 특정 실시예에 따르는 방법을 도시하는 공정 흐름도이다.
도 3은 특정 실시예에 따르는 방법에 의해 부분적으로 충전된 트렌치의 단면도이다.
도 4는 특정 실시예에 따르는 PECVD를 통해 증착만 이뤄진 상태의 유동성 막의 FTIR 스펙트럼을 도시한다.
도 5A는 플라스마-보조 반응에 의해 증착된 증착만 이뤄진 상태의 유동성 막의 열 중량측정 분석값을 보여주는 도표이다.
도 5B는 플라스마-보조 반응에 의해 증착된 증착만 이뤄진 상태의 유동성 막의 디지털 스캐닝 열량측정 분석을 보여주는 도표이다.
도 6은 본 발명의 특정 실시예에 따르는 방법에 의해 부분적으로 충전된 특징부의 이미지를 도시한다.
도 7은 본 발명의 특정 실시예에 따르는 방법에 의해 트렌치에 증착된 2개의 유동성 막의 이미지를 도시한다.
도 8은 본 발명의 특정 실시예에 따라 사용될 수 있는 반응기를 도시한다.
도 9는 증착 - 인 시추 처리 - 증착 - 인 시추 처리 공정에서 증착된 유동성 막의 FTIR 스펙트럼을, 증착만 이뤄진 상태와 엑스 시추 어닐 후를 기준 열 옥사이 드(SiO2) 막에 비교하여 나타내는 도표이다.

Claims (19)

  1. 기판 상의 갭(gap)을 유전성 물질로 충전하는 방법에 있어서, 상기 방법은
    반응 챔버에 기판을 위치시키는 단계와,
    실리콘-함유 화합물과 산화제를 포함하는 공정 기체를 상기 반응 챔버에 유입시키는 단계와,
    용량성 결합된 플라스마 보조 반응(capacitatively-coupled plasma-assisted reaction)을 통해, 비정질 유동성 유기-실리콘 폴리머 막이 상기 갭을 부분적으로 충전하도록, 상기 기판을 상기 공정 기체에 노출시키는 단계와,
    인 시추(in situ) 처리 공정을 통해 상기 유동성 막을 유전성 물질로 변환시키는 단계와,
    노출과 변환 단계를 1회 이상 반복하여 상기 갭을 충전하는 단계
    를 포함하는 것을 특징으로 하는 기판 상의 갭을 유전성 물질로 충전하는 방법.
  2. 제 1 항에 있어서,
    기판을 경화 챔버로 이동시켜서 엑스 시추(ex situ) 처리 공정을 수행하는 단계
    를 더 포함하는 것을 특징으로 하는 기판 상의 갭을 유전성 물질로 충전하는 방법.
  3. 제 2 항에 있어서, 상기 인 시추 처리 공정과 엑스 시추 처리 공정은 증착만 이뤄진 상태(as-deposited)의 유동성 유기-실리콘 막에서 탄소를 모두 제거하는 것을 특징으로 하는 기판 상의 갭을 유전성 물질로 충전하는 방법.
  4. 제 2 항에 있어서, 상기 엑스 시추 공정은 400℃ 이상의 기판 온도에서의 자외선 열 처리 단계를 포함하는 것을 특징으로 하는 기판 상의 갭을 유전성 물질로 충전하는 방법.
  5. 제 2 항에 있어서, 상기 엑스 시추 처리 공정은 700℃ 이상의 기판 온도에서의 열 처리 단계를 포함하는 것을 특징으로 하는 기판 상의 갭을 유전성 물질로 충전하는 방법.
  6. 제 1 항에 있어서, 용량성 결합된 플라스마 보조 반응(capacitatively-coupled plasma-assisted reaction)을 통해 갭을 부분적으로 충전하도록 유동성 유기-실리콘 폴리머 막이 증착되는 조건 하에서, 기판을 공정 기체에 노출시키는 단계는 상기 노출 동안 기판 온도를 0 내지 100℃의 기판 온도를 유지하는 단계를 포함하는 것을 특징으로 하는 기판 상의 갭을 유전성 물질로 충전하는 방법.
  7. 제 1 항 내지 제 6 항 중 어느 한 항에 있어서, 상기 실리콘-함유 화합물은 유기-실란, 또는 유기-실록산임을 특징으로 하는 기판 상의 갭을 유전성 물질로 충전하는 방법.
  8. 제 1 항 내지 제 6 항 중 어느 한 항에 있어서, 하나 이상의 갭이 10:1 이상의 종횡비를 갖는 것을 특징으로 하는 기판 상의 갭을 유전성 물질로 충전하는 방법.
  9. 제 1 항 내지 제 6 항 중 어느 한 항에 있어서, 하나 이상의 갭이 15:1 이상의 종횡비를 갖는 것을 특징으로 하는 기판 상의 갭을 유전성 물질로 충전하는 방법.
  10. 제 1 항 내지 제 6 항 중 어느 한 항에 있어서, 상기 인 시추 처리 공정은 막을 비활성 플라스마에 노출시키는 단계를 포함하는 것을 특징으로 하는 기판 상의 갭을 유전성 물질로 충전하는 방법.
  11. 제 1 항 내지 제 6 항 중 어느 한 항에 있어서, 상기 인 시추 처리 공정은 막을 산화 플라스마에 노출시키는 단계를 포함하는 것을 특징으로 하는 기판 상의 갭을 유전성 물질로 충전하는 방법.
  12. 제 1 항 내지 제 6 항 중 어느 한 항에 있어서, 상기 인 시추 처리 공정은 유동성 유기-실리콘 막에서 탄소 중 일부, 또는 전부를 제거하는 것을 특징으로 하는 기판 상의 갭을 유전성 물질로 충전하는 방법.
  13. 제 1 항 내지 제 6 항 중 어느 한 항에 있어서, 용량성 결합된 플라스마 보조 반응을 통해 갭을 부분적으로 충전하도록 유동성 유기-실리콘 폴리머 막이 증착되는 조건 하에서, 상기 기판을 공정 기체에 노출시키는 단계는 RF 주파수를 펄스화(pulsing)하는 단계를 포함하는 것을 특징으로 하는 기판 상의 갭을 유전성 물질로 충전하는 방법.
  14. 제 1 항 내지 제 6 항 중 어느 한 항에 있어서, 갭 내부에 증착된 막은 상기 갭 내부에 순차적으로 증착되는 층들 사이의 경계부를 포함하는 것을 특징으로 하는 기판 상의 갭을 유전성 물질로 충전하는 방법.
  15. 제 14 항에 있어서, 각각의 갭 내부의 막은 4개 이상의 경계부를 포함하는 것을 특징으로 하는 기판 상의 갭을 유전성 물질로 충전하는 방법.
  16. 제 1 항 내지 제 6 항 중 어느 한 항에 있어서, 상기 막의 유전 상수는 2.7 내지 3.0인 것을 특징으로 하는 기판 상의 갭을 유전성 물질로 충전하는 방법.
  17. 제 1 항 내지 제 6 항 중 어느 한 항에 있어서, Si-O 피크(peak)에 대한 S- CHx 피크의 비는 증착만 이뤄진 상태(as-deposited)의 유동성 유기-실리콘 폴리머의 4%인 것을 특징으로 하는 기판 상의 갭을 유전성 물질로 충전하는 방법.
  18. 제 1 항 내지 제 6 항 중 어느 한 항에 있어서, Si-O 피크(peak)에 대한 S-CHx 피크의 비는 증착만 이뤄진 상태(as-deposited)의 유동성 유기-실리콘 폴리머의 7%인 것을 특징으로 하는 기판 상의 갭을 유전성 물질로 충전하는 방법.
  19. 제 1 항 내지 제 6 항 중 어느 한 항에 있어서,
    유동성 막의 증착 전에 기판을 전처리(pre-treat)하는 단계
    를 더 포함하는 것을 특징으로 하는 기판 상의 갭을 유전성 물질로 충전하는 방법.
KR1020090124466A 2008-12-15 2009-12-15 Pecvd 유동성 유전체 갭 충진 KR101698595B1 (ko)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US12/334,726 2008-12-15
US12/334,726 US8557712B1 (en) 2008-12-15 2008-12-15 PECVD flowable dielectric gap fill

Publications (2)

Publication Number Publication Date
KR20100069603A true KR20100069603A (ko) 2010-06-24
KR101698595B1 KR101698595B1 (ko) 2017-01-20

Family

ID=42367633

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020090124466A KR101698595B1 (ko) 2008-12-15 2009-12-15 Pecvd 유동성 유전체 갭 충진

Country Status (3)

Country Link
US (1) US8557712B1 (ko)
JP (1) JP2010153859A (ko)
KR (1) KR101698595B1 (ko)

Cited By (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20180058740A (ko) * 2015-09-24 2018-06-01 유로플라즈마 엔브이 중합체 코팅물 및 중합체 코팅물을 도포하기 위한 방법.
CN110431660A (zh) * 2017-04-07 2019-11-08 应用材料公司 表面改性以改进非晶硅间隙填充
CN110476222A (zh) * 2017-04-04 2019-11-19 应用材料公司 用于硅间隙填充的两步工艺

Families Citing this family (333)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9257302B1 (en) 2004-03-25 2016-02-09 Novellus Systems, Inc. CVD flowable gap fill
US7524735B1 (en) 2004-03-25 2009-04-28 Novellus Systems, Inc Flowable film dielectric gap fill process
US9245739B2 (en) 2006-11-01 2016-01-26 Lam Research Corporation Low-K oxide deposition by hydrolysis and condensation
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
US8802201B2 (en) 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
US8278224B1 (en) 2009-09-24 2012-10-02 Novellus Systems, Inc. Flowable oxide deposition using rapid delivery of process gases
US8741394B2 (en) * 2010-03-25 2014-06-03 Novellus Systems, Inc. In-situ deposition of film stacks
US8685867B1 (en) 2010-12-09 2014-04-01 Novellus Systems, Inc. Premetal dielectric integration process
US9719169B2 (en) 2010-12-20 2017-08-01 Novellus Systems, Inc. System and apparatus for flowable deposition in semiconductor fabrication
US9312155B2 (en) 2011-06-06 2016-04-12 Asm Japan K.K. High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules
US10854498B2 (en) 2011-07-15 2020-12-01 Asm Ip Holding B.V. Wafer-supporting device and method for producing same
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
US8846536B2 (en) 2012-03-05 2014-09-30 Novellus Systems, Inc. Flowable oxide film with tunable wet etch rate
US8889566B2 (en) * 2012-09-11 2014-11-18 Applied Materials, Inc. Low cost flowable dielectric films
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
CN104425343B (zh) * 2013-08-28 2018-12-21 中芯国际集成电路制造(上海)有限公司 浅沟槽隔离结构的形成方法
US9093265B2 (en) * 2013-10-15 2015-07-28 Taiwan Semiconductor Manufacturing Co., Ltd. High UV curing efficiency for low-k dielectrics
US9847222B2 (en) 2013-10-25 2017-12-19 Lam Research Corporation Treatment for flowable dielectric deposition on substrate surfaces
US9312168B2 (en) * 2013-12-16 2016-04-12 Applied Materials, Inc. Air gap structure integration using a processing system
TWI654333B (zh) 2013-12-18 2019-03-21 美商蘭姆研究公司 具有均勻性折流板之半導體基板處理設備
US9406547B2 (en) * 2013-12-24 2016-08-02 Intel Corporation Techniques for trench isolation using flowable dielectric materials
US9219006B2 (en) * 2014-01-13 2015-12-22 Applied Materials, Inc. Flowable carbon film by FCVD hardware using remote plasma PECVD
US9290843B2 (en) 2014-02-11 2016-03-22 Lam Research Corporation Ball screw showerhead module adjuster assembly for showerhead module of semiconductor substrate processing apparatus
US10683571B2 (en) 2014-02-25 2020-06-16 Asm Ip Holding B.V. Gas supply manifold and method of supplying gases to chamber using same
US10167557B2 (en) 2014-03-18 2019-01-01 Asm Ip Holding B.V. Gas distribution system, reactor including the system, and methods of using the same
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US10049921B2 (en) 2014-08-20 2018-08-14 Lam Research Corporation Method for selectively sealing ultra low-k porous dielectric layer using flowable dielectric film formed from vapor phase dielectric precursor
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US9657845B2 (en) 2014-10-07 2017-05-23 Asm Ip Holding B.V. Variable conductance gas distribution apparatus and method
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US9777378B2 (en) 2015-01-07 2017-10-03 Applied Materials, Inc. Advanced process flow for high quality FCVD films
JP6761807B2 (ja) * 2015-02-23 2020-09-30 アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated 高品質薄膜を形成するための周期的連続処理
US9570289B2 (en) 2015-03-06 2017-02-14 Lam Research Corporation Method and apparatus to minimize seam effect during TEOS oxide film deposition
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
TWI701357B (zh) * 2015-03-17 2020-08-11 美商應用材料股份有限公司 用於膜沉積的脈衝化電漿
US9711390B2 (en) * 2015-05-21 2017-07-18 Sandisk Technologies Llc Shallow trench isolation trenches and methods for NAND memory
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10600673B2 (en) 2015-07-07 2020-03-24 Asm Ip Holding B.V. Magnetic susceptor to baseplate seal
US9871100B2 (en) * 2015-07-29 2018-01-16 Taiwan Semiconductor Manufacturing Co., Ltd. Trench structure of semiconductor device having uneven nitrogen distribution liner
US9640423B2 (en) 2015-07-30 2017-05-02 GlobalFoundries, Inc. Integrated circuits and methods for their fabrication
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US9916977B2 (en) 2015-11-16 2018-03-13 Lam Research Corporation Low k dielectric deposition via UV driven photopolymerization
US10388546B2 (en) 2015-11-16 2019-08-20 Lam Research Corporation Apparatus for UV flowable dielectric
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10533251B2 (en) 2015-12-31 2020-01-14 Lam Research Corporation Actuator to dynamically adjust showerhead tilt in a semiconductor processing apparatus
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10192775B2 (en) 2016-03-17 2019-01-29 Applied Materials, Inc. Methods for gapfill in high aspect ratio structures
US11008431B2 (en) * 2016-03-31 2021-05-18 Lg Chem, Ltd. Method for preparing a barrier film
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
US10032628B2 (en) 2016-05-02 2018-07-24 Asm Ip Holding B.V. Source/drain performance through conformal solid state doping
US10115586B2 (en) * 2016-05-08 2018-10-30 Tokyo Electron Limited Method for depositing a planarization layer using polymerization chemical vapor deposition
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US20170372919A1 (en) * 2016-06-25 2017-12-28 Applied Materials, Inc. Flowable Amorphous Silicon Films For Gapfill Applications
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US11515149B2 (en) * 2016-07-19 2022-11-29 Applied Materials, Inc. Deposition of flowable silicon-containing films
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
CN107799421B (zh) * 2016-09-05 2021-04-02 中芯国际集成电路制造(上海)有限公司 半导体器件的形成方法
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10643904B2 (en) 2016-11-01 2020-05-05 Asm Ip Holdings B.V. Methods for forming a semiconductor device and related semiconductor device structures
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10134757B2 (en) 2016-11-07 2018-11-20 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by using the method
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
KR20180070971A (ko) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
KR101914038B1 (ko) * 2017-02-02 2018-11-01 주식회사 에이치피에스피 3차원 플래시 메모리 소자의 제조방법
US10655221B2 (en) 2017-02-09 2020-05-19 Asm Ip Holding B.V. Method for depositing oxide film by thermal ALD and PEALD
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
JP6807775B2 (ja) 2017-02-28 2021-01-06 東京エレクトロン株式会社 成膜方法及びプラズマ処理装置
US10224224B2 (en) 2017-03-10 2019-03-05 Micromaterials, LLC High pressure wafer processing systems and related methods
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
USD876504S1 (en) 2017-04-03 2020-02-25 Asm Ip Holding B.V. Exhaust flow control ring for semiconductor deposition apparatus
US11011384B2 (en) 2017-04-07 2021-05-18 Applied Materials, Inc. Gapfill using reactive anneal
KR102457289B1 (ko) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
CN110622298B (zh) * 2017-05-13 2023-09-22 应用材料公司 用于高质量间隙填充方案的循环可流动沉积和高密度等离子体处理处理
US10847360B2 (en) 2017-05-25 2020-11-24 Applied Materials, Inc. High pressure treatment of silicon nitride film
US10622214B2 (en) 2017-05-25 2020-04-14 Applied Materials, Inc. Tungsten defluorination by high pressure treatment
JP7190450B2 (ja) 2017-06-02 2022-12-15 アプライド マテリアルズ インコーポレイテッド 炭化ホウ素ハードマスクのドライストリッピング
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US10685834B2 (en) 2017-07-05 2020-06-16 Asm Ip Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
US10269571B2 (en) 2017-07-12 2019-04-23 Applied Materials, Inc. Methods for fabricating nanowire for semiconductor applications
US10234630B2 (en) 2017-07-12 2019-03-19 Applied Materials, Inc. Method for creating a high refractive index wave guide
US10179941B1 (en) 2017-07-14 2019-01-15 Applied Materials, Inc. Gas delivery system for high pressure processing chamber
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10190216B1 (en) 2017-07-25 2019-01-29 Lam Research Corporation Showerhead tilt mechanism
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US10249524B2 (en) 2017-08-09 2019-04-02 Asm Ip Holding B.V. Cassette holder assembly for a substrate cassette and holding member for use in such assembly
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
KR102405723B1 (ko) 2017-08-18 2022-06-07 어플라이드 머티어리얼스, 인코포레이티드 고압 및 고온 어닐링 챔버
US10276411B2 (en) 2017-08-18 2019-04-30 Applied Materials, Inc. High pressure and high temperature anneal chamber
US10096516B1 (en) 2017-08-18 2018-10-09 Applied Materials, Inc. Method of forming a barrier layer for through via applications
USD900036S1 (en) 2017-08-24 2020-10-27 Asm Ip Holding B.V. Heater electrical connector and adapter
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111095524B (zh) 2017-09-12 2023-10-03 应用材料公司 用于使用保护阻挡物层制造半导体结构的设备和方法
KR102630301B1 (ko) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10319588B2 (en) 2017-10-10 2019-06-11 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
US10643867B2 (en) 2017-11-03 2020-05-05 Applied Materials, Inc. Annealing system and method
CN117936420A (zh) 2017-11-11 2024-04-26 微材料有限责任公司 用于高压处理腔室的气体输送系统
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
SG11202003438QA (en) 2017-11-16 2020-05-28 Applied Materials Inc High pressure steam anneal processing apparatus
KR102443047B1 (ko) 2017-11-16 2022-09-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 방법 및 그에 의해 제조된 장치
KR20200075892A (ko) 2017-11-17 2020-06-26 어플라이드 머티어리얼스, 인코포레이티드 고압 처리 시스템을 위한 컨덴서 시스템
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
JP7214724B2 (ja) 2017-11-27 2023-01-30 エーエスエム アイピー ホールディング ビー.ブイ. バッチ炉で利用されるウェハカセットを収納するための収納装置
JP7206265B2 (ja) 2017-11-27 2023-01-17 エーエスエム アイピー ホールディング ビー.ブイ. クリーン・ミニエンバイロメントを備える装置
US10410928B2 (en) 2017-11-28 2019-09-10 International Business Machines Corporation Homogeneous densification of fill layers for controlled reveal of vertical fins
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
TW202325889A (zh) 2018-01-19 2023-07-01 荷蘭商Asm 智慧財產控股公司 沈積方法
KR20200108016A (ko) * 2018-01-19 2020-09-16 에이에스엠 아이피 홀딩 비.브이. 플라즈마 보조 증착에 의해 갭 충진 층을 증착하는 방법
USD903477S1 (en) 2018-01-24 2020-12-01 Asm Ip Holdings B.V. Metal clamp
CN111699549A (zh) 2018-01-24 2020-09-22 应用材料公司 使用高压退火的接缝弥合
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
EP3737779A1 (en) 2018-02-14 2020-11-18 ASM IP Holding B.V. A method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10658181B2 (en) 2018-02-20 2020-05-19 Asm Ip Holding B.V. Method of spacer-defined direct patterning in semiconductor fabrication
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
CN111902929A (zh) 2018-03-09 2020-11-06 应用材料公司 用于含金属材料的高压退火处理
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102501472B1 (ko) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
US10714331B2 (en) 2018-04-04 2020-07-14 Applied Materials, Inc. Method to fabricate thermally stable low K-FinFET spacer
TW202344708A (zh) 2018-05-08 2023-11-16 荷蘭商Asm Ip私人控股有限公司 藉由循環沉積製程於基板上沉積氧化物膜之方法及相關裝置結構
US10950429B2 (en) 2018-05-08 2021-03-16 Applied Materials, Inc. Methods of forming amorphous carbon hard mask layers and hard mask layers formed therefrom
TWI816783B (zh) 2018-05-11 2023-10-01 荷蘭商Asm 智慧財產控股公司 用於基板上形成摻雜金屬碳化物薄膜之方法及相關半導體元件結構
US10566188B2 (en) 2018-05-17 2020-02-18 Applied Materials, Inc. Method to improve film stability
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US10704141B2 (en) 2018-06-01 2020-07-07 Applied Materials, Inc. In-situ CVD and ALD coating of chamber to control metal contamination
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
CN112385013A (zh) * 2018-06-20 2021-02-19 应用材料公司 碳间隙填充膜
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
US11499222B2 (en) 2018-06-27 2022-11-15 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
TWI819010B (zh) 2018-06-27 2023-10-21 荷蘭商Asm Ip私人控股有限公司 用於形成含金屬材料及包含含金屬材料的膜及結構之循環沉積方法
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
KR20200002519A (ko) 2018-06-29 2020-01-08 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US10748783B2 (en) 2018-07-25 2020-08-18 Applied Materials, Inc. Gas delivery module
US10675581B2 (en) 2018-08-06 2020-06-09 Applied Materials, Inc. Gas abatement apparatus
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
US10847365B2 (en) 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
US10692925B2 (en) 2018-10-12 2020-06-23 International Business Machines Corporation Dielectric fill for memory pillar elements
US10811256B2 (en) 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
US10714536B2 (en) 2018-10-23 2020-07-14 Taiwan Semiconductor Manufacturing Co., Ltd. Method to form memory cells separated by a void-free dielectric structure
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
WO2020092002A1 (en) 2018-10-30 2020-05-07 Applied Materials, Inc. Methods for etching a structure for semiconductor applications
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
CN112996950B (zh) 2018-11-16 2024-04-05 应用材料公司 使用增强扩散工艺的膜沉积
US10559458B1 (en) 2018-11-26 2020-02-11 Asm Ip Holding B.V. Method of forming oxynitride film
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
KR20210088729A (ko) 2018-12-04 2021-07-14 어플라이드 머티어리얼스, 인코포레이티드 Si-하이드록실 결합들을 가교시키기 위한 경화 방법들
WO2020117462A1 (en) 2018-12-07 2020-06-11 Applied Materials, Inc. Semiconductor processing system
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
JP2020096183A (ja) 2018-12-14 2020-06-18 エーエスエム・アイピー・ホールディング・ベー・フェー 窒化ガリウムの選択的堆積を用いてデバイス構造体を形成する方法及びそのためのシステム
US11038153B2 (en) 2019-01-15 2021-06-15 Applied Materials, Inc. Methods for HMDSO thermal stability
TWI819180B (zh) 2019-01-17 2023-10-21 荷蘭商Asm 智慧財產控股公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
JP2020136678A (ja) 2019-02-20 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための方法および装置
JP2020136677A (ja) 2019-02-20 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための周期的堆積方法および装置
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
KR20200102357A (ko) 2019-02-20 2020-08-31 에이에스엠 아이피 홀딩 비.브이. 3-d nand 응용의 플러그 충진체 증착용 장치 및 방법
TW202100794A (zh) 2019-02-22 2021-01-01 荷蘭商Asm Ip私人控股有限公司 基材處理設備及處理基材之方法
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
KR20200108248A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOCN 층을 포함한 구조체 및 이의 형성 방법
KR20200116033A (ko) 2019-03-28 2020-10-08 에이에스엠 아이피 홀딩 비.브이. 도어 개방기 및 이를 구비한 기판 처리 장치
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
US11447864B2 (en) 2019-04-19 2022-09-20 Asm Ip Holding B.V. Layer forming method and apparatus
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141003A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 가스 감지기를 포함하는 기상 반응기 시스템
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
TW202117802A (zh) * 2019-07-02 2021-05-01 美商應用材料股份有限公司 固化介電質材料的方法與設備
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP2021015791A (ja) 2019-07-09 2021-02-12 エーエスエム アイピー ホールディング ビー.ブイ. 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
JP2021019198A (ja) * 2019-07-19 2021-02-15 エーエスエム・アイピー・ホールディング・ベー・フェー トポロジー制御されたアモルファスカーボンポリマー膜の形成方法
CN112309843A (zh) 2019-07-29 2021-02-02 Asm Ip私人控股有限公司 实现高掺杂剂掺入的选择性沉积方法
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
KR20210018759A (ko) 2019-08-05 2021-02-18 에이에스엠 아이피 홀딩 비.브이. 화학물질 공급원 용기를 위한 액체 레벨 센서
JP7246284B2 (ja) * 2019-08-15 2023-03-27 東京エレクトロン株式会社 成膜方法
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11133178B2 (en) 2019-09-20 2021-09-28 Applied Materials, Inc. Seamless gapfill with dielectric ALD films
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
TW202129060A (zh) 2019-10-08 2021-08-01 荷蘭商Asm Ip控股公司 基板處理裝置、及基板處理方法
KR20210043460A (ko) 2019-10-10 2021-04-21 에이에스엠 아이피 홀딩 비.브이. 포토레지스트 하부층을 형성하기 위한 방법 및 이를 포함한 구조체
KR20210045930A (ko) 2019-10-16 2021-04-27 에이에스엠 아이피 홀딩 비.브이. 실리콘 산화물의 토폴로지-선택적 막의 형성 방법
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
KR20210065848A (ko) 2019-11-26 2021-06-04 에이에스엠 아이피 홀딩 비.브이. 제1 유전체 표면과 제2 금속성 표면을 포함한 기판 상에 타겟 막을 선택적으로 형성하기 위한 방법
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN112992667A (zh) 2019-12-17 2021-06-18 Asm Ip私人控股有限公司 形成氮化钒层的方法和包括氮化钒层的结构
US11527403B2 (en) 2019-12-19 2022-12-13 Asm Ip Holding B.V. Methods for filling a gap feature on a substrate surface and related semiconductor structures
US11411013B2 (en) 2020-01-08 2022-08-09 Micron Technology, Inc. Microelectronic devices including stair step structures, and related electronic devices and methods
KR20210095050A (ko) 2020-01-20 2021-07-30 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법 및 박막 표면 개질 방법
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
KR20210100010A (ko) 2020-02-04 2021-08-13 에이에스엠 아이피 홀딩 비.브이. 대형 물품의 투과율 측정을 위한 방법 및 장치
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
US11781243B2 (en) 2020-02-17 2023-10-10 Asm Ip Holding B.V. Method for depositing low temperature phosphorous-doped silicon
US11901222B2 (en) 2020-02-17 2024-02-13 Applied Materials, Inc. Multi-step process for flowable gap-fill film
US20210265158A1 (en) * 2020-02-25 2021-08-26 Asm Ip Holding B.V. Method of forming low-k material layer, structure including the layer, and system for forming same
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
US11876356B2 (en) 2020-03-11 2024-01-16 Asm Ip Holding B.V. Lockout tagout assembly and system and method of using same
CN113394086A (zh) 2020-03-12 2021-09-14 Asm Ip私人控股有限公司 用于制造具有目标拓扑轮廓的层结构的方法
US11101128B1 (en) * 2020-03-12 2021-08-24 Applied Materials, Inc. Methods for gapfill in substrates
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
US11898243B2 (en) 2020-04-24 2024-02-13 Asm Ip Holding B.V. Method of forming vanadium nitride-containing layer
KR20210132605A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 냉각 가스 공급부를 포함한 수직형 배치 퍼니스 어셈블리
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
KR20210143653A (ko) 2020-05-19 2021-11-29 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
KR20220010438A (ko) 2020-07-17 2022-01-25 에이에스엠 아이피 홀딩 비.브이. 포토리소그래피에 사용하기 위한 구조체 및 방법
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
TW202212623A (zh) 2020-08-26 2022-04-01 荷蘭商Asm Ip私人控股有限公司 形成金屬氧化矽層及金屬氮氧化矽層的方法、半導體結構、及系統
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
TW202217037A (zh) 2020-10-22 2022-05-01 荷蘭商Asm Ip私人控股有限公司 沉積釩金屬的方法、結構、裝置及沉積總成
US11655537B2 (en) 2020-10-26 2023-05-23 Applied Materials, Inc. HDP sacrificial carbon gapfill
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
KR20220076343A (ko) 2020-11-30 2022-06-08 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치의 반응 챔버 내에 배열되도록 구성된 인젝터
CN114639631A (zh) 2020-12-16 2022-06-17 Asm Ip私人控股有限公司 跳动和摆动测量固定装置
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate

Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2001148382A (ja) * 1999-06-22 2001-05-29 Applied Materials Inc 有機珪素化合物とヒドロキシル形成化合物との反応による液状シリカ層の形成
KR20070104591A (ko) * 2005-02-16 2007-10-26 인터내셔널 비지네스 머신즈 코포레이션 개선된 낮은 유전 상수의 유기 실리콘 플라즈마 화학 기상증착 박막
WO2007140376A2 (en) * 2006-05-30 2007-12-06 Applied Materials, Inc. A method for depositing and curing low-k films for gapfill and conformal film applications
WO2007140424A2 (en) * 2006-05-30 2007-12-06 Applied Materials, Inc. Chemical vapor deposition of high quality flow-like silicon dioxide using a silicon containing precursor and atomic oxygen

Family Cites Families (76)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
GB1483144A (en) 1975-04-07 1977-08-17 British Petroleum Co Protective films
JPS618945A (ja) 1984-06-25 1986-01-16 Nec Corp 半導体集積回路装置
CA2009518C (en) 1990-02-07 2000-10-17 Luc Ouellet Spin-on glass processing technique for the fabrication of semiconductor device
US5932289A (en) 1991-05-28 1999-08-03 Trikon Technologies Limited Method for filling substrate recesses using pressure and heat treatment
US5387546A (en) 1992-06-22 1995-02-07 Canon Sales Co., Inc. Method for manufacturing a semiconductor device
JP3262334B2 (ja) 1992-07-04 2002-03-04 トリコン ホルディングズ リミテッド 半導体ウエハーを処理する方法
CA2131668C (en) 1993-12-23 1999-03-02 Carol Galli Isolation structure using liquid phase oxide deposition
US5858880A (en) 1994-05-14 1999-01-12 Trikon Equipment Limited Method of treating a semi-conductor wafer
US5534731A (en) 1994-10-28 1996-07-09 Advanced Micro Devices, Incorporated Layered low dielectric constant technology
JPH08153784A (ja) 1994-11-28 1996-06-11 Nec Corp 半導体装置の製造方法
US5960300A (en) 1994-12-20 1999-09-28 Matsushita Electric Industrial Co., Ltd. Method of manufacturing semiconductor device
KR0183886B1 (ko) 1996-06-17 1999-04-15 김광호 반도체장치의 트렌치 소자분리 방법
DE19781956T1 (de) 1996-08-24 1999-07-08 Trikon Equip Ltd Verfahren und Vorrichtung zum Aufbringen einer planarisierten dielektrischen Schicht auf einem Halbleitersubstrat
US5866945A (en) 1997-10-16 1999-02-02 Advanced Micro Devices Borderless vias with HSQ gap filled patterned metal layers
TW354417B (en) 1997-10-18 1999-03-11 United Microelectronics Corp A method for forming a planarized dielectric layer
GB9801359D0 (en) 1998-01-23 1998-03-18 Poulton Limited Methods and apparatus for treating a substrate
GB9801655D0 (en) 1998-01-28 1998-03-25 Trikon Equip Ltd Method and apparatus for treating a substrate
US6593247B1 (en) 1998-02-11 2003-07-15 Applied Materials, Inc. Method of depositing low k films using an oxidizing plasma
US6218268B1 (en) 1998-05-05 2001-04-17 Applied Materials, Inc. Two-step borophosphosilicate glass deposition process and related devices and apparatus
TW405223B (en) 1998-07-28 2000-09-11 United Microelectronics Corp Method for avoiding the poisoning at the trench of the dual damascene structure and the dielectric hole
US6316052B1 (en) 1998-08-19 2001-11-13 Anelva Corporation Method for the surface treatment of vacuum materials and surface treated vacuum
US6383951B1 (en) 1998-09-03 2002-05-07 Micron Technology, Inc. Low dielectric constant material for integrated circuit fabrication
GB2361809B (en) 1999-02-26 2003-11-05 Trikon Holdings Ltd A method of processing a polymer layer
ATE327741T1 (de) 1999-06-21 2006-06-15 Kuhnil Pharmaceutical Co Ltd Propofol enthaltende anästhetische zusammensetzung zur intravenösen injektion
GB2354528B (en) 1999-09-25 2004-03-10 Trikon Holdings Ltd Delivery of liquid precursors to semiconductor processing reactors
US6114259A (en) 1999-07-27 2000-09-05 Lsi Logic Corporation Process for treating exposed surfaces of a low dielectric constant carbon doped silicon oxide dielectric material to protect the material from damage
US6300219B1 (en) 1999-08-30 2001-10-09 Micron Technology, Inc. Method of forming trench isolation regions
TW439194B (en) 2000-01-24 2001-06-07 United Microelectronics Corp Manufacturing method of shallow trench isolation region
EP1139404A1 (en) 2000-03-31 2001-10-04 Applied Materials, Inc. Low thermal budget solution for PMD application using SACVD layer
US6309933B1 (en) 2000-06-05 2001-10-30 Chartered Semiconductor Manufacturing Ltd. Method of fabricating T-shaped recessed polysilicon gate transistors
JP4654544B2 (ja) 2000-07-12 2011-03-23 日産化学工業株式会社 リソグラフィー用ギャップフィル材形成組成物
US7001778B2 (en) 2001-03-09 2006-02-21 Symetrix Corporation Method of making layered superlattice material with improved microstructure
US6902947B2 (en) 2001-05-07 2005-06-07 Applied Materials, Inc. Integrated method for release and passivation of MEMS structures
US6828162B1 (en) 2001-06-28 2004-12-07 Advanced Micro Devices, Inc. System and method for active control of BPSG deposition
US6620745B2 (en) 2001-10-19 2003-09-16 Taiwan Semiconductor Manufacturing Co., Ltd Method for forming a blocking layer
DE10211544C1 (de) 2002-03-15 2003-11-27 Infineon Technologies Ag Verfahren zur Herstellung einer Kondensatorelektrode eines Grabenkondensators aus flüssiger Phase
JP4959921B2 (ja) 2002-03-28 2012-06-27 プレジデント アンド フェロウズ オブ ハーバード カレッジ 二酸化珪素ナノラミネートの蒸着
US6743736B2 (en) 2002-04-11 2004-06-01 Micron Technology, Inc. Reactive gaseous deposition precursor feed apparatus
US7056560B2 (en) * 2002-05-08 2006-06-06 Applies Materials Inc. Ultra low dielectric materials based on hybrid system of linear silicon precursor and organic porogen by plasma-enhanced chemical vapor deposition (PECVD)
US20060014384A1 (en) 2002-06-05 2006-01-19 Jong-Cheol Lee Method of forming a layer and forming a capacitor of a semiconductor device having the same layer
AU2003296988A1 (en) 2002-12-19 2004-07-29 Matrix Semiconductor, Inc An improved method for making high-density nonvolatile memory
US7205248B2 (en) 2003-02-04 2007-04-17 Micron Technology, Inc. Method of eliminating residual carbon from flowable oxide fill
US7238604B2 (en) 2003-04-24 2007-07-03 Intel Corporation Forming thin hard mask over air gap or porous dielectric
US6693050B1 (en) 2003-05-06 2004-02-17 Applied Materials Inc. Gapfill process using a combination of spin-on-glass deposition and chemical vapor deposition techniques
US20040229452A1 (en) 2003-05-15 2004-11-18 Johnston Steven W. Densifying a relatively porous material
US20050136684A1 (en) * 2003-12-23 2005-06-23 Applied Materials, Inc. Gap-fill techniques
JP2005260040A (ja) 2004-02-12 2005-09-22 Sony Corp ドーピング方法、半導体装置の製造方法および電子応用装置の製造方法
US7582555B1 (en) 2005-12-29 2009-09-01 Novellus Systems, Inc. CVD flowable gap fill
US7524735B1 (en) 2004-03-25 2009-04-28 Novellus Systems, Inc Flowable film dielectric gap fill process
US7074690B1 (en) 2004-03-25 2006-07-11 Novellus Systems, Inc. Selective gap-fill process
US7033945B2 (en) 2004-06-01 2006-04-25 Applied Materials Gap filling with a composite layer
US7521378B2 (en) 2004-07-01 2009-04-21 Micron Technology, Inc. Low temperature process for polysilazane oxidation/densification
US7153783B2 (en) 2004-07-07 2006-12-26 Honeywell International Inc. Materials with enhanced properties for shallow trench isolation/premetal dielectric applications
KR100546161B1 (ko) 2004-07-13 2006-01-24 주식회사 하이닉스반도체 반도체 소자의 소자 분리막 제조 방법
US8598044B2 (en) 2005-03-25 2013-12-03 Renesas Electronics Corporation Method of fabricating a semiconductor device
US7585704B2 (en) 2005-04-01 2009-09-08 International Business Machines Corporation Method of producing highly strained PECVD silicon nitride thin films at low temperature
US8129290B2 (en) 2005-05-26 2012-03-06 Applied Materials, Inc. Method to increase tensile stress of silicon nitride films using a post PECVD deposition UV cure
US7566655B2 (en) 2005-05-26 2009-07-28 Applied Materials, Inc. Integration process for fabricating stressed transistor structure
US8138104B2 (en) 2005-05-26 2012-03-20 Applied Materials, Inc. Method to increase silicon nitride tensile stress using nitrogen plasma in-situ treatment and ex-situ UV cure
US20070054505A1 (en) 2005-09-02 2007-03-08 Antonelli George A PECVD processes for silicon dioxide films
JPWO2007080944A1 (ja) 2006-01-13 2009-06-11 東京エレクトロン株式会社 多孔質膜の成膜方法およびコンピュータ可読記録媒体
US7498273B2 (en) * 2006-05-30 2009-03-03 Applied Materials, Inc. Formation of high quality dielectric films of silicon dioxide for STI: usage of different siloxane-based precursors for harp II—remote plasma enhanced deposition processes
US8232176B2 (en) 2006-06-22 2012-07-31 Applied Materials, Inc. Dielectric deposition and etch back processes for bottom up gapfill
KR100839529B1 (ko) 2006-09-29 2008-06-19 주식회사 하이닉스반도체 반도체소자의 소자분리막 형성 방법
US7888273B1 (en) 2006-11-01 2011-02-15 Novellus Systems, Inc. Density gradient-free gap fill
US7629198B2 (en) 2007-03-05 2009-12-08 Intermolecular, Inc. Methods for forming nonvolatile memory elements with resistive-switching metal oxides
KR101284146B1 (ko) 2007-07-19 2013-07-10 삼성전자주식회사 트렌치 소자분리 영역을 갖는 반도체소자 및 그 제조방법
US7745352B2 (en) 2007-08-27 2010-06-29 Applied Materials, Inc. Curing methods for silicon dioxide thin films deposited from alkoxysilane precursor with harp II process
US7803722B2 (en) 2007-10-22 2010-09-28 Applied Materials, Inc Methods for forming a dielectric layer within trenches
US7541297B2 (en) 2007-10-22 2009-06-02 Applied Materials, Inc. Method and system for improving dielectric film quality for void free gap fill
US7622369B1 (en) 2008-05-30 2009-11-24 Asm Japan K.K. Device isolation technology on semiconductor substrate
US20100109155A1 (en) 2008-11-05 2010-05-06 Chartered Semiconductor Manufacturing, Ltd. Reliable interconnect integration
KR101561862B1 (ko) 2008-12-26 2015-10-21 삼성전자 주식회사 반도체 집적 회로 장치의 제조 방법
US8278224B1 (en) 2009-09-24 2012-10-02 Novellus Systems, Inc. Flowable oxide deposition using rapid delivery of process gases
TWI579916B (zh) 2009-12-09 2017-04-21 諾菲勒斯系統公司 整合可流動氧化物及頂蓋氧化物之新穎間隙填充
US20120149213A1 (en) 2010-12-09 2012-06-14 Lakshminarayana Nittala Bottom up fill in high aspect ratio trenches

Patent Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2001148382A (ja) * 1999-06-22 2001-05-29 Applied Materials Inc 有機珪素化合物とヒドロキシル形成化合物との反応による液状シリカ層の形成
KR20070104591A (ko) * 2005-02-16 2007-10-26 인터내셔널 비지네스 머신즈 코포레이션 개선된 낮은 유전 상수의 유기 실리콘 플라즈마 화학 기상증착 박막
WO2007140376A2 (en) * 2006-05-30 2007-12-06 Applied Materials, Inc. A method for depositing and curing low-k films for gapfill and conformal film applications
WO2007140424A2 (en) * 2006-05-30 2007-12-06 Applied Materials, Inc. Chemical vapor deposition of high quality flow-like silicon dioxide using a silicon containing precursor and atomic oxygen

Cited By (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20180058740A (ko) * 2015-09-24 2018-06-01 유로플라즈마 엔브이 중합체 코팅물 및 중합체 코팅물을 도포하기 위한 방법.
CN110476222A (zh) * 2017-04-04 2019-11-19 应用材料公司 用于硅间隙填充的两步工艺
CN110431660A (zh) * 2017-04-07 2019-11-08 应用材料公司 表面改性以改进非晶硅间隙填充
CN110431660B (zh) * 2017-04-07 2023-09-29 应用材料公司 表面改性以改进非晶硅间隙填充

Also Published As

Publication number Publication date
KR101698595B1 (ko) 2017-01-20
US8557712B1 (en) 2013-10-15
JP2010153859A (ja) 2010-07-08

Similar Documents

Publication Publication Date Title
KR101698595B1 (ko) Pecvd 유동성 유전체 갭 충진
US7888273B1 (en) Density gradient-free gap fill
JP5455626B2 (ja) ボトムアップギャップ充填のための誘電堆積プロセスとエッチバックプロセス
KR102291889B1 (ko) 유기아미노실란 어닐링을 이용한 SiOCH 막의 형성 방법
US6582777B1 (en) Electron beam modification of CVD deposited low dielectric constant materials
TWI579916B (zh) 整合可流動氧化物及頂蓋氧化物之新穎間隙填充
US9029272B1 (en) Method for treating SiOCH film with hydrogen plasma
US7422776B2 (en) Low temperature process to produce low-K dielectrics with low stress by plasma-enhanced chemical vapor deposition (PECVD)
JP4272424B2 (ja) 半導体素子のレベル内またはレベル間誘電体としての超低誘電率材料、その製造方法、およびそれを含む電子デバイス
TWI608121B (zh) 用於至少部分地轉換薄膜為二氧化矽及/或於蒸氣中使用紫外線硬化來改善薄膜品質以及於氨中使用紫外線硬化來改善薄膜密實化之系統及方法
US20110151677A1 (en) Wet oxidation process performed on a dielectric material formed from a flowable cvd process
US7309662B1 (en) Method and apparatus for forming a film on a substrate
US7001854B1 (en) Hydrogen-based phosphosilicate glass process for gap fill of high aspect ratio structures
WO2015116350A1 (en) Low temperature cure modulus enhancement
US20040266216A1 (en) Method for improving uniformity in deposited low k dielectric material
US20100087062A1 (en) High temperature bd development for memory applications
US11469100B2 (en) Methods of post treating dielectric films with microwave radiation
US11133177B2 (en) Oxidation reduction for SiOC film
WO2023167031A1 (ja) 絶縁膜の形成方法および基板処理システム

Legal Events

Date Code Title Description
A201 Request for examination
AMND Amendment
E902 Notification of reason for refusal
AMND Amendment
E601 Decision to refuse application
AMND Amendment
X701 Decision to grant (after re-examination)
GRNT Written decision to grant