CN107799421B - 半导体器件的形成方法 - Google Patents

半导体器件的形成方法 Download PDF

Info

Publication number
CN107799421B
CN107799421B CN201610803018.4A CN201610803018A CN107799421B CN 107799421 B CN107799421 B CN 107799421B CN 201610803018 A CN201610803018 A CN 201610803018A CN 107799421 B CN107799421 B CN 107799421B
Authority
CN
China
Prior art keywords
well region
well
forming
semiconductor substrate
isolation film
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Active
Application number
CN201610803018.4A
Other languages
English (en)
Other versions
CN107799421A (zh
Inventor
周飞
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Semiconductor Manufacturing International Shanghai Corp
Semiconductor Manufacturing International Beijing Corp
Original Assignee
Semiconductor Manufacturing International Shanghai Corp
Semiconductor Manufacturing International Beijing Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Semiconductor Manufacturing International Shanghai Corp, Semiconductor Manufacturing International Beijing Corp filed Critical Semiconductor Manufacturing International Shanghai Corp
Priority to CN201610803018.4A priority Critical patent/CN107799421B/zh
Priority to US15/685,820 priority patent/US10586713B2/en
Priority to EP17188710.2A priority patent/EP3291291B1/en
Publication of CN107799421A publication Critical patent/CN107799421A/zh
Application granted granted Critical
Publication of CN107799421B publication Critical patent/CN107799421B/zh
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/8238Complementary field-effect transistors, e.g. CMOS
    • H01L21/823821Complementary field-effect transistors, e.g. CMOS with a particular manufacturing method of transistors with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02164Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon oxide, e.g. SiO2
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02205Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
    • H01L21/02208Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si
    • H01L21/02219Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound comprising silicon and nitrogen
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02318Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment
    • H01L21/02321Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment introduction of substances into an already existing insulating layer
    • H01L21/02323Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment introduction of substances into an already existing insulating layer introduction of oxygen
    • H01L21/02326Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment introduction of substances into an already existing insulating layer introduction of oxygen into a nitride layer, e.g. changing SiN to SiON
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02318Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment
    • H01L21/02337Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment treatment by exposure to a gas or vapour
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/324Thermal treatment for modifying the properties of semiconductor bodies, e.g. annealing, sintering
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/823431MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type with a particular manufacturing method of transistors with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/823462MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type with a particular manufacturing method of the gate insulating layers, e.g. different gate insulating layer thicknesses, particular gate insulator materials or particular gate insulator implants
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/8238Complementary field-effect transistors, e.g. CMOS
    • H01L21/823878Complementary field-effect transistors, e.g. CMOS isolation region manufacturing related aspects, e.g. to avoid interaction of isolation region with adjacent structure
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/8238Complementary field-effect transistors, e.g. CMOS
    • H01L21/823892Complementary field-effect transistors, e.g. CMOS with a particular manufacturing method of the wells or tubs, e.g. twin tubs, high energy well implants, buried implanted layers for lateral isolation [BILLI]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/532Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body characterised by the materials
    • H01L23/53204Conductive materials
    • H01L23/53271Conductive materials containing semiconductor material, e.g. polysilicon
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers
    • H01L27/04Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body
    • H01L27/08Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind
    • H01L27/085Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only
    • H01L27/088Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate
    • H01L27/0886Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate including transistors with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers
    • H01L27/04Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body
    • H01L27/08Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind
    • H01L27/085Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only
    • H01L27/088Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate
    • H01L27/092Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate complementary MIS field-effect transistors
    • H01L27/0924Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate complementary MIS field-effect transistors including transistors with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/06Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions
    • H01L29/0603Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions characterised by particular constructional design considerations, e.g. for preventing surface leakage, for controlling electric field concentration or for internal isolations regions
    • H01L29/0642Isolation within the component, i.e. internal isolation
    • H01L29/0649Dielectric regions, e.g. SiO2 regions, air gaps
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/06Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions
    • H01L29/0684Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions characterised by the shape, relative sizes or dispositions of the semiconductor regions or junctions between the regions
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66787Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel
    • H01L29/66795Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET

Landscapes

  • Engineering & Computer Science (AREA)
  • Power Engineering (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Manufacturing & Machinery (AREA)
  • Ceramic Engineering (AREA)
  • Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Element Separation (AREA)
  • Metal-Oxide And Bipolar Metal-Oxide Semiconductor Integrated Circuits (AREA)
  • Insulated Gate Type Field-Effect Transistor (AREA)

Abstract

一种半导体器件的形成方法,包括:提供半导体衬底,所述半导体衬底表面具有鳍部;在所述半导体衬底上形成覆盖鳍部的隔离流体层;对所述隔离流体层进行第一退火,使隔离流体层形成隔离膜;进行第一退火后,在鳍部和半导体衬底中形成第一阱区和第二阱区,第二阱区位于第一阱区两侧且与第一阱区邻接,第一阱区中具有第一阱离子,第二阱区中具有第二阱离子,第二阱离子的导电类型与第一阱离子的导电类型相反。所述半导体器件的形成方法能够提高半导体器件的电学性能。

Description

半导体器件的形成方法
技术领域
本发明涉及半导体制造领域,尤其涉及一种半导体器件的形成方法。
背景技术
MOS(金属-氧化物-半导体)晶体管,是现代集成电路中最重要的元件之一,MOS晶体管的基本结构包括:半导体衬底;位于半导体衬底表面的栅极结构,所述栅极结构包括:位于半导体衬底表面的栅介质层以及位于栅介质层表面的栅电极层;位于栅极结构两侧半导体衬底中的源漏掺杂区。
随着半导体技术的发展,传统的平面式的MOS晶体管对沟道电流的控制能力变弱,造成严重的漏电流。鳍式场效应晶体管(Fin FET)是一种新兴的多栅器件,它一般包括凸出于半导体衬底表面的鳍部,覆盖部分所述鳍部的顶部表面和侧壁的栅极结构,位于栅极结构两侧的鳍部中的源漏掺杂区。
然而,现有技术中鳍式场效应晶体管形成的半导体器件的电学性能有待提高。
发明内容
本发明解决的问题是提供一种半导体器件的形成方法,以提高半导体器件的电学性能。
为解决上述问题,本发明提供一种半导体器件的形成方法,包括:提供半导体衬底,所述半导体衬底表面具有鳍部;在所述半导体衬底上形成覆盖鳍部的隔离流体层;对所述隔离流体层进行第一退火,使隔离流体层形成隔离膜;进行第一退火后,在鳍部和半导体衬底中形成第一阱区和第二阱区,第二阱区位于第一阱区两侧且与第一阱区邻接,第一阱区中具有第一阱离子,第二阱区中具有第二阱离子,第二阱离子的导电类型与第一阱离子的导电类型相反。
可选的,所述第一退火的参数包括:采用的气体包括氧气、臭氧和气态水,退火温度为350摄氏度~750摄氏度,退火时间为25分钟~35分钟。
可选的,在形成第一阱区和第二阱区之前,还包括:对所述隔离膜进行致密化退火。
可选的,所述致密化退火的参数包括:采用的气体包括N2,退火温度为850摄氏度~1050摄氏度,退火时间为30分钟~120分钟。
可选的,形成第一阱区和第二阱区之前,还包括:平坦化所述隔离膜直至暴露出鳍部的顶部表面。
可选的,还包括:回刻蚀所述隔离膜,使隔离膜的表面低于鳍部的顶部表面。
可选的,所述半导体衬底包括第一区和第二区;所述鳍部分别位于第一区和第二区的半导体衬底上;所述半导体器件的形成方法还包括:在平坦化所述隔离膜后,去除第二区的鳍部和隔离膜,形成开口;在所述开口中形成附加隔离膜;形成所述附加隔离膜后,在第一区的鳍部和半导体衬底中形成第一阱区和第二阱区。
可选的,还包括:回刻蚀所述隔离膜和附加隔离膜,使隔离膜和附加隔离膜的表面低于鳍部的顶部表面。
可选的,形成第一阱区后,形成第二阱区;或者:形成第二阱区后,形成第一阱区。
可选的,形成第一阱区的步骤包括:在所述隔离膜和鳍部上形成图形化的第一掩膜层;以所述第一掩膜层为掩膜,采用第一离子注入工艺在半导体衬底和鳍部中注入第一阱离子,从而形成第一阱区。
可选的,形成第二阱区的步骤包括:在所述隔离膜和鳍部上形成图形化的第二掩膜层;以所述第二掩膜层为掩膜,采用第二离子注入工艺在半导体衬底和鳍部中注入第二阱离子,从而形成第二阱区。
可选的,形成第一阱区和第二阱区后,还包括:进行阱退火。
可选的,所述阱退火的参数包括:采用的气体包括N2,退火温度为900摄氏度~1050摄氏度,退火时间为0秒~20秒。
可选的,在进行第一退火后,且在形成第一阱区和第二阱区之前,还包括:在半导体衬底中注入第三阱离子,从而在半导体衬底中形成第三阱区,第三阱离子的导电类型与第一阱离子的导电类型相同;形成第一阱区和第二阱区后,第三阱区位于第一阱区和第二阱区的底部。
可选的,第一阱离子和第三阱离子的导电类型为P型,第二阱离子的导电类型为N型;或者:第一阱离子和第三阱离子的导电类型为N型,第二阱离子的导电类型为P型。
与现有技术相比,本发明的技术方案具有以下优点:
本发明提供的半导体器件的形成方法,由于对所述隔离流体层进行第一退火后,在鳍部和半导体衬底中形成第一阱区和第二阱区,因此使得第一退火不会作用于第一阱区和第二阱区。因此能够避免第二阱区中的第二阱离子受到第一退火的影响而向第一阱区底部发生严重的扩散。由于第二阱离子向第一阱区底部的区域发生扩散的程度较小,因此第二阱区在第一阱区底部的区域不易发生穿通。从而提高了半导体器件的电学性能。
附图说明
图1至图13为本发明一实施例中半导体器件形成过程的结构示意图。
具体实施方式
正如背景技术所述,现有技术形成的半导体器件的电学性能较差。
一种半导体器件的形成方法,包括:提供半导体衬底,所述半导体衬底表面具有鳍部;在所述半导体衬底和鳍部中形成N型阱和位于N型阱两侧且与N型阱邻接的P型阱;采用流体化学气相沉积工艺在所述半导体衬底上形成覆盖鳍部的隔离膜,所述流体化学气相沉积工艺包括水汽退火。所述隔离膜用于形成隔离结构。
具体的,形成隔离膜采用的流体化学气相沉积工艺包括:在半导体衬底上形成覆盖鳍部的隔离流体层;对所述隔离流体层进行水汽退火,使隔离流体层形成隔离膜。所述水汽退火用于氧化所述隔离流体层,并使得隔离流体层从流体状转变为固态状,从而形成隔离膜。
然而,上述方法形成的半导体器件的电学性能较差,经研究发现,原因在于:
由于先形成P型阱和N型阱,后进行水汽退火,因此在水汽退火的过程中,水汽退火会作用于P型阱。由于水汽退火的温度较高且退火时间较长,因此P型阱在水汽退火的扩散较为严重。由于在P型阱底部的半导体衬底之间的区域没有N型阱,因此导致P型阱底部容易发生穿通。为了减小P型阱底部发生穿通的几率,通常会增加N型阱中N型离子的浓度,使得N型阱扩散的纵向深度大于P型阱扩散的纵向深度。但是若增加N型阱中N型离子的浓度,当后续在N型阱中形成对应的源漏区后,N型阱中的源漏区和源漏区周围的N型阱之间的结电容较大,导致漏电流较大。
在此基础上,本发明提供一种半导体器件的形成方法,包括:提供半导体衬底,所述半导体衬底表面具有鳍部;在所述半导体衬底上形成覆盖鳍部的隔离流体层;对所述隔离流体层进行第一退火,使隔离流体层形成隔离膜;进行第一退火后,在鳍部和半导体衬底中形成第一阱区和第二阱区,第二阱区位于第一阱区两侧且与第一阱区邻接,第一阱区中具有第一阱离子,第二阱区中具有第二阱离子,第二阱离子的导电类型与第一阱离子的导电类型相反。
由于对所述隔离流体层进行第一退火后,在鳍部和半导体衬底中形成第一阱区和第二阱区,因此使得第一退火不会作用于第一阱区和第二阱区。因此能够避免第二阱区中的第二阱离子受到第一退火的影响而向第一阱区底部发生严重的扩散。由于第二阱离子向第一阱区底部的区域发生扩散的程度较小,因此第二阱区在第一阱区底部的区域不易发生穿通。从而提高了半导体器件的电学性能。
为使本发明的上述目的、特征和优点能够更为明显易懂,下面结合附图对本发明的具体实施例做详细的说明。
图1至图13为本发明一实施例中半导体器件形成过程的结构示意图。
参考图1,提供半导体衬底100,所述半导体衬底100表面具有鳍部110。
所述半导体衬底100为后续形成半导体器件提供工艺平台。
所述半导体衬底100的材料可以是单晶硅、多晶硅或非晶硅。半导体衬底100的材料也可以是硅、锗、锗化硅、砷化镓等半导体材料。本实施例中,所述半导体衬底100的材料为单晶硅。
本实施例中,鳍部110通过刻蚀半导体衬底100而形成。具体的,在所述半导体衬底100上形成掩膜层120,所述掩膜层120定义出鳍部110的位置;以所述掩膜层120为掩膜,刻蚀部分半导体衬底100,从而形成鳍部110。
所述掩膜层120的材料为氮化硅或者氮氧化硅。
需要说明的是,形成鳍部110后,保留位于鳍部110顶部表面的掩膜层120。在其它实施例中,形成鳍部后,去除掩膜层。
需要说明的是,在其它实施例中,也可以是:在所述半导体衬底上形成鳍部材料层(未图示);图形化所述鳍部材料层,从而形成鳍部。
本实施例中,所述鳍部110的材料为单晶硅。在其它实施例中,所述鳍部的材料为单晶锗或单晶锗化硅。
本实施例中,所述半导体衬底100包括第一区和第二区,所述鳍部110分别位于第一区和第二区的半导体衬底100上。
参考图2,在所述半导体衬底100上形成覆盖鳍部110的隔离流体层130。
本实施例中,由于保留了掩膜层120,故所述隔离流体层130还覆盖掩膜层120。
所述隔离流体层130中含有大量的氢元素,且隔离流体层130为流体状。
形成所述隔离流体层130的参数包括:采用的气体包括NH3和(SiH3)3N,NH3的流量为1sccm~1000sccm,(SiH3)3N的流量为3sccm~800sccm,温度为50摄氏度~100摄氏度。
参考图3,对所述隔离流体层130(参考图2)进行第一退火,使隔离流体层130形成隔离膜131。
本实施例中,所述隔离膜131的材料为氧化硅。
第一退火的参数包括:采用的气体包括氧气、臭氧和气态水,退火温度为350摄氏度~750摄氏度,退火时间为25分钟~35分钟。
在所述第一退火中,分别采用氧气、臭氧和气态水对所述隔离流体层130在350摄氏度~750摄氏度下进行处理。一方面,氧气、臭氧和气态水中的氧元素取代隔离流体层130中的部分氢元素或者全部氢元素,减少隔离流体层130中的氢元素含量;另一方面,在350摄氏度~750摄氏度下,使得隔离流体层130从流体状转变为固态状,从而形成隔离膜131。
本实施例中,还包括:对所述隔离膜131进行致密化退火。
所述致密化退火的参数包括:采用的气体包括N2,退火温度为850摄氏度~1050摄氏度,退火时间为30分钟~120分钟。
所述致密化退火处理能够将隔离膜131的内部组织结构致密化。另外,若所述隔离膜131中还残留氢元素,所述致密化退火处理能够进一步去除隔离膜131中的氢元素。
接着,参考图4,平坦化隔离膜131直至暴露出掩膜层120的顶部表面。
若没有保留掩膜层120时,平坦化所述隔离膜131直至暴露出鳍部110的顶部表面。
所述平坦化工艺包括化学机械研磨工艺。
参考图5,平坦化隔离膜131后,刻蚀隔离膜131,使隔离膜131的表面与掩膜层120的底部表面齐平。
平坦化隔离膜131后,刻蚀隔离膜131,使隔离膜131的表面与掩膜层120的底部表面齐平,一方面,使得掩膜层120的顶部表面和侧壁均暴露出来,后续能够更容易的去除掩膜层120,避免残留掩膜层120,另一方面,后续去除掩膜层120后,避免在隔离膜131中形成凹坑。
在其它实施例中,平坦化隔离膜后,可以不进行刻蚀隔离膜以使隔离膜的表面与掩膜层的底部表面齐平的步骤。
接着,去除第二区的鳍部110和隔离膜131,形成开口。
具体的,参考图6,图6为在图5基础上形成的示意图,形成图形化的光刻胶层140,所述图形化的光刻胶层140覆盖第一区的掩膜层120和第一区的隔离膜131,且所述图形化的光刻胶层140暴露出第二区的掩膜层120和第二区的隔离膜131;参考图7,以所述图形化的光刻胶层140为掩膜,刻蚀去除第二区的鳍部110、第二区的隔离膜131和第二区的掩膜层120,形成开口150;参考图8,形成开口150后,去除图形化的光刻胶层140(参考图7)。
当没有形成掩膜层时,形成图形化的光刻胶层,所述图形化的光刻胶层覆盖第一区的鳍部和第一区的隔离膜,且所述图形化的光刻胶层暴露出第二区的鳍部和第二区的隔离膜;以所述图形化的光刻胶层为掩膜,刻蚀去除第二区的鳍部和第二区的隔离膜,形成开口;形成开口后,去除图形化的光刻胶层。
所述开口暴露出半导体衬底100的表面。
去除部分鳍部110,使得分别位于开口150两侧的相邻的鳍部110之间的距离大于位于开口150一侧相邻的鳍部110之间的距离,以满足电路设计的空间需要。
参考图9,在所述开口150(参考图8)中形成附加隔离膜160。
本实施例中,由于隔离膜131的表面低于掩膜层120的顶部表面,所述附加隔离膜160还覆盖隔离膜131。在其它实施例中,当隔离膜的表面与掩膜层的顶部表面齐平时,所述附加隔离膜仅位于开口中。
在其它实施例中,也可以不去除第二区的鳍部和隔离膜,相应的,也不形成附加隔离膜。
接着,结合参考图10和图11,图11为沿着图10中切割线A-A1获得的示意图,在鳍部110和半导体衬底100中形成第一阱区171和第二阱区172,第二阱区172位于第一阱区171两侧且与第一阱区171邻接,第一阱区171中具有第一阱离子,第二阱区172中具有第二阱离子,第二阱离子的导电类型与第一阱离子的导电类型相反。
当第一阱离子的导电类型为P型时,第二阱离子的导电类型为N型;或者:当第一阱离子的导电类型为N型时,第二阱离子的导电类型为P型。
第一阱区171对应形成的鳍式场效应晶体管的类型和第二阱区172对应形成的鳍式场效应晶体管的类型相反。
可以先形成第一阱区171,后形成第二阱区172;也可以是:先形成第二阱区172,后形成第一阱区171。
具体的,形成第一阱区171的步骤包括:在所述隔离膜131和鳍部110上形成图形化的第一掩膜层(未图示);以所述第一掩膜层为掩膜,采用第一离子注入工艺在半导体衬底100和鳍部110中注入第一阱离子,从而形成第一阱区171。
具体的,形成第二阱区172的步骤包括:在所述隔离膜131和鳍部110上形成图形化的第二掩膜层(未图示);以所述第二掩膜层为掩膜,采用第二离子注入工艺在半导体衬底100和鳍部110中注入第二阱离子,从而形成第二阱区172。
形成第一阱区171和第二阱区172后,进行阱退火,以激活第一阱离子和第二阱离子。
所述阱退火的参数包括:采用的气体包括N2,退火温度为900摄氏度~1050摄氏度,退火时间为0秒~20秒。
需要说明的是,在其它实施例中,可以不进行平坦化所述隔离膜的步骤,即进行第一退火以形成隔离膜后,在鳍部和半导体衬底中形成第一阱区和第二阱区。
结合参考图12,图12为在图10基础上形成的示意图,回刻蚀所述隔离膜131和附加隔离膜160,使隔离膜131和附加隔离膜160的表面低于鳍部110的顶部表面。
回刻蚀所述隔离膜131和附加隔离膜160后,隔离膜131和附加隔离膜160的表面低于鳍部110的顶部表面,此时,隔离膜131和附加隔离膜160构成隔离结构。
参考图13,去除掩膜层120(参考图12)。
需要说明的是,当没有形成开口和附加隔离膜时,回刻蚀所述隔离膜,使隔离膜的表面低于鳍部的顶部表面。
本发明另一实施例还提供一种半导体器件的形成方法。本实施例与前一实施例的不同之处在于:在进行第一退火后,且在形成第一阱区和第二阱区之前,还包括:在半导体衬底中注入第三离子,从而在半导体衬底中形成第三阱区,第三阱离子的导电类型与第一阱离子的导电类型相同;形成第一阱区和第二阱区后,第三阱区位于第一阱区和第二阱区的底部。关于本实施例与前一实施例相同的部分,不再详述。
由于形成了第三阱区,第三阱区位于第一阱区和第二阱区的底部,且第三阱离子的导电类型与第一阱离子的导电类型相同,第三阱离子的导电类型与第二阱离子的导电类型相反,因此第三阱区能够进一步的抑制第二阱区底部的区域发生穿通。
虽然本发明披露如上,但本发明并非限定于此。任何本领域技术人员,在不脱离本发明的精神和范围内,均可作各种更动与修改,因此本发明的保护范围应当以权利要求所限定的范围为准。

Claims (12)

1.一种半导体器件的形成方法,其特征在于,包括:
提供半导体衬底,所述半导体衬底包括第一区和第二区,所述半导体衬底表面具有鳍部,所述鳍部分别位于第一区和第二区的半导体衬底上;
在所述半导体衬底上形成覆盖鳍部的隔离流体层;
对所述隔离流体层进行第一退火,使隔离流体层形成隔离膜;
平坦化所述隔离膜直至暴露出鳍部的顶部表面;
在平坦化所述隔离膜后,去除第二区的鳍部和隔离膜,形成开口;
在所述开口中形成附加隔离膜;
形成所述附加隔离膜后,在第一区的鳍部和半导体衬底中以离子注入形成第一阱区和第二阱区,第二阱区位于第一阱区两侧且与第一阱区邻接,第一阱区中具有第一阱离子,第二阱区中具有第二阱离子,第二阱离子的导电类型与第一阱离子的导电类型相反。
2.根据权利要求1所述的半导体器件的形成方法,其特征在于,所述第一退火的参数包括:采用的气体包括氧气、臭氧和气态水,退火温度为350摄氏度~750摄氏度,退火时间为25分钟~35分钟。
3.根据权利要求1所述的半导体器件的形成方法,其特征在于,在形成第一阱区和第二阱区之前,还包括:对所述隔离膜进行致密化退火。
4.根据权利要求3所述的半导体器件的形成方法,其特征在于,所述致密化退火的参数包括:采用的气体包括N2,退火温度为850摄氏度~1050摄氏度,退火时间为30分钟~120分钟。
5.根据权利要求1所述的半导体器件的形成方法,其特征在于,还包括:回刻蚀所述隔离膜和附加隔离膜,使隔离膜和附加隔离膜的表面低于鳍部的顶部表面。
6.根据权利要求1所述的半导体器件的形成方法,其特征在于,形成第一阱区后,形成第二阱区;或者:形成第二阱区后,形成第一阱区。
7.根据权利要求6所述的半导体器件的形成方法,其特征在于,形成第一阱区的步骤包括:在所述隔离膜和鳍部上形成图形化的第一掩膜层;以所述第一掩膜层为掩膜,采用第一离子注入工艺在半导体衬底和鳍部中注入第一阱离子,从而形成第一阱区。
8.根据权利要求6所述的半导体器件的形成方法,其特征在于,形成第二阱区的步骤包括:在所述隔离膜和鳍部上形成图形化的第二掩膜层;以所述第二掩膜层为掩膜,采用第二离子注入工艺在半导体衬底和鳍部中注入第二阱离子,从而形成第二阱区。
9.根据权利要求1所述的半导体器件的形成方法,其特征在于,形成第一阱区和第二阱区后,还包括:进行阱退火。
10.根据权利要求9所述的半导体器件的形成方法,其特征在于,所述阱退火的参数包括:采用的气体包括N2,退火温度为900摄氏度~1050摄氏度,退火时间为0秒~20秒。
11.根据权利要求1所述的半导体器件的形成方法,其特征在于,在进行第一退火后,且在形成第一阱区和第二阱区之前,还包括:在半导体衬底中注入第三阱离子,从而在半导体衬底中形成第三阱区,第三阱离子的导电类型与第一阱离子的导电类型相同;形成第一阱区和第二阱区后,第三阱区位于第一阱区和第二阱区的底部。
12.根据权利要求11所述的半导体器件的形成方法,其特征在于,第一阱离子和第三阱离子的导电类型为P型,第二阱离子的导电类型为N型;或者:第一阱离子和第三阱离子的导电类型为N型,第二阱离子的导电类型为P型。
CN201610803018.4A 2016-09-05 2016-09-05 半导体器件的形成方法 Active CN107799421B (zh)

Priority Applications (3)

Application Number Priority Date Filing Date Title
CN201610803018.4A CN107799421B (zh) 2016-09-05 2016-09-05 半导体器件的形成方法
US15/685,820 US10586713B2 (en) 2016-09-05 2017-08-24 Semiconductor device and fabrication method thereof
EP17188710.2A EP3291291B1 (en) 2016-09-05 2017-08-31 Semiconductor device and fabrication method thereof

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
CN201610803018.4A CN107799421B (zh) 2016-09-05 2016-09-05 半导体器件的形成方法

Publications (2)

Publication Number Publication Date
CN107799421A CN107799421A (zh) 2018-03-13
CN107799421B true CN107799421B (zh) 2021-04-02

Family

ID=59761775

Family Applications (1)

Application Number Title Priority Date Filing Date
CN201610803018.4A Active CN107799421B (zh) 2016-09-05 2016-09-05 半导体器件的形成方法

Country Status (3)

Country Link
US (1) US10586713B2 (zh)
EP (1) EP3291291B1 (zh)
CN (1) CN107799421B (zh)

Families Citing this family (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10818556B2 (en) * 2018-12-17 2020-10-27 United Microelectronics Corp. Method for forming a semiconductor structure

Citations (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN104733390A (zh) * 2013-12-20 2015-06-24 台湾积体电路制造股份有限公司 用于FinFET阱掺杂的机制

Family Cites Families (87)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
DE102005039365B4 (de) * 2005-08-19 2022-02-10 Infineon Technologies Ag Gate-gesteuertes Fin-Widerstandselement, welches als pinch - resistor arbeitet, zur Verwendung als ESD-Schutzelement in einem elektrischen Schaltkreis und Einrichtung zum Schutz vor elektrostatischen Entladungen in einem elektrischen Schaltkreis
KR100763542B1 (ko) * 2006-10-30 2007-10-05 삼성전자주식회사 다중 채널 모오스 트랜지스터를 포함하는 반도체 장치의제조 방법
US8557712B1 (en) 2008-12-15 2013-10-15 Novellus Systems, Inc. PECVD flowable dielectric gap fill
US8329587B2 (en) 2009-10-05 2012-12-11 Applied Materials, Inc. Post-planarization densification
US8848423B2 (en) * 2011-02-14 2014-09-30 Shine C. Chung Circuit and system of using FinFET for building programmable resistive devices
US9012286B2 (en) * 2012-04-12 2015-04-21 Globalfoundries Inc. Methods of forming FinFET semiconductor devices so as to tune the threshold voltage of such devices
US9023715B2 (en) * 2012-04-24 2015-05-05 Globalfoundries Inc. Methods of forming bulk FinFET devices so as to reduce punch through leakage currents
US9564367B2 (en) * 2012-09-13 2017-02-07 Globalfoundries Inc. Methods of forming different FinFET devices with different threshold voltages and integrated circuit products containing such devices
CN103811346B (zh) * 2012-11-09 2017-03-01 中国科学院微电子研究所 半导体器件及其制造方法
CN103811339B (zh) 2012-11-09 2016-12-21 中国科学院微电子研究所 半导体器件及其制造方法
US8815659B2 (en) * 2012-12-17 2014-08-26 Globalfoundries Inc. Methods of forming a FinFET semiconductor device by performing an epitaxial growth process
CN103928334B (zh) * 2013-01-15 2017-06-16 中国科学院微电子研究所 半导体器件及其制造方法
US10056380B2 (en) 2013-06-20 2018-08-21 Intel Corporation Non-planar semiconductor device having doped sub-fin region and method to fabricate same
US8993417B2 (en) 2013-06-28 2015-03-31 Taiwan Semiconductor Manufacturing Company, Ltd. FinFET fin bending reduction
US9093496B2 (en) * 2013-07-18 2015-07-28 Globalfoundries Inc. Process for faciltiating fin isolation schemes
CN104377132A (zh) * 2013-08-13 2015-02-25 中国科学院微电子研究所 半导体器件及其制造方法
US9099559B2 (en) * 2013-09-16 2015-08-04 Stmicroelectronics, Inc. Method to induce strain in finFET channels from an adjacent region
US9184089B2 (en) * 2013-10-04 2015-11-10 Taiwan Semiconductor Manufacturing Company, Ltd. Mechanism of forming a trench structure
US9412603B2 (en) * 2013-11-19 2016-08-09 Applied Materials, Inc. Trimming silicon fin width through oxidation and etch
US9406547B2 (en) * 2013-12-24 2016-08-02 Intel Corporation Techniques for trench isolation using flowable dielectric materials
US9786542B2 (en) * 2014-01-13 2017-10-10 Taiwan Semiconductor Manufacturing Co., Ltd. Mechanisms for forming semiconductor device having isolation structure
US20150255456A1 (en) * 2014-03-04 2015-09-10 Globalfoundries Inc. Replacement fin insolation in a semiconductor device
US9312364B2 (en) * 2014-05-27 2016-04-12 International Business Machines Corporation finFET with dielectric isolation after gate module for improved source and drain region epitaxial growth
US10170332B2 (en) * 2014-06-30 2019-01-01 Taiwan Semiconductor Manufacturing Company, Ltd. FinFET thermal protection methods and related structures
US9583598B2 (en) 2014-10-03 2017-02-28 Taiwan Semiconductor Manufacturing Company, Ltd. FETs and methods of forming FETs
US9548362B2 (en) * 2014-10-10 2017-01-17 Taiwan Semiconductor Manufacturing Company, Ltd. High mobility devices with anti-punch through layers and methods of forming same
US9929242B2 (en) * 2015-01-12 2018-03-27 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device and manufacturing method thereof
US9564528B2 (en) * 2015-01-15 2017-02-07 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device and manufacturing method thereof
US9748363B2 (en) * 2015-01-28 2017-08-29 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device including fin structures and manufacturing method thereof
US10026659B2 (en) * 2015-01-29 2018-07-17 Globalfoundries Inc. Methods of forming fin isolation regions under tensile-strained fins on FinFET semiconductor devices
US9673083B2 (en) * 2015-01-29 2017-06-06 Globalfoundries Inc. Methods of forming fin isolation regions on FinFET semiconductor devices by implantation of an oxidation-retarding material
US9331074B1 (en) * 2015-01-30 2016-05-03 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device and manufacturing method thereof
US9379182B1 (en) * 2015-02-03 2016-06-28 United Microelectronics Corp. Method for forming nanowire and semiconductor device formed with the nanowire
CN105990239B (zh) * 2015-02-06 2020-06-30 联华电子股份有限公司 半导体元件及其制作方法
CN106158831B (zh) * 2015-03-24 2018-12-21 中芯国际集成电路制造(上海)有限公司 一种半导体器件及其制作方法和电子装置
US9431425B1 (en) * 2015-03-31 2016-08-30 International Business Machines Corporation Directly forming SiGe fins on oxide
US9583626B2 (en) * 2015-04-29 2017-02-28 International Business Machines Corporation Silicon germanium alloy fins with reduced defects
US9607901B2 (en) * 2015-05-06 2017-03-28 Stmicroelectronics, Inc. Integrated tensile strained silicon NFET and compressive strained silicon-germanium PFET implemented in FINFET technology
KR102389813B1 (ko) * 2015-05-19 2022-04-22 삼성전자주식회사 반도체 소자
US11063559B2 (en) * 2015-06-05 2021-07-13 Taiwan Semiconductor Manufacturing Co., Ltd. High-implant channel semiconductor device and method for manufacturing the same
US9761584B2 (en) * 2015-06-05 2017-09-12 Taiwan Semiconductor Manufacturing Co., Ltd. Buried channel semiconductor device and method for manufacturing the same
US9698224B2 (en) * 2015-06-19 2017-07-04 International Business Machines Corporation Silicon germanium fin formation via condensation
US9685507B2 (en) * 2015-06-25 2017-06-20 International Business Machines Corporation FinFET devices
US9698225B2 (en) * 2015-07-07 2017-07-04 International Business Machines Corporation Localized and self-aligned punch through stopper doping for finFET
US9831098B2 (en) * 2015-07-13 2017-11-28 GlobalFoundries, Inc. Methods for fabricating integrated circuits using flowable chemical vapor deposition techniques with low-temperature thermal annealing
US9418900B1 (en) * 2015-07-15 2016-08-16 International Business Machines Corporation Silicon germanium and silicon fins on oxide from bulk wafer
US10192985B2 (en) * 2015-07-21 2019-01-29 Taiwan Semiconductor Manufacturing Co., Ltd. FinFET with doped isolation insulating layer
US9564437B1 (en) * 2015-08-25 2017-02-07 International Business Machines Corporation Method and structure for forming FinFET CMOS with dual doped STI regions
US9385189B1 (en) * 2015-08-26 2016-07-05 Globalfoundries Inc. Fin liner integration under aggressive pitch
CN106486378B (zh) * 2015-09-02 2019-07-02 中芯国际集成电路制造(上海)有限公司 鳍式场效应管的形成方法
US9905467B2 (en) * 2015-09-04 2018-02-27 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device and manufacturing method thereof
US9607838B1 (en) * 2015-09-18 2017-03-28 Taiwan Semiconductor Manufacturing Co., Ltd. Enhanced channel strain to reduce contact resistance in NMOS FET devices
US9368569B1 (en) * 2015-09-21 2016-06-14 International Business Machines Corporation Punch through stopper for semiconductor device
CN106611710A (zh) * 2015-10-22 2017-05-03 中芯国际集成电路制造(上海)有限公司 半导体结构的形成方法
US9870953B2 (en) * 2015-10-26 2018-01-16 International Business Machines Corporation System on chip material co-integration
US9449882B1 (en) * 2015-10-29 2016-09-20 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device and manufacturing method thereof
CN106816464B (zh) * 2015-12-01 2020-03-20 中芯国际集成电路制造(北京)有限公司 半导体装置的制造方法
CN106816467B (zh) * 2015-12-01 2019-10-08 中芯国际集成电路制造(北京)有限公司 半导体装置及其制造方法
CN106920776B (zh) * 2015-12-25 2019-12-03 中芯国际集成电路制造(上海)有限公司 鳍式晶体管的形成方法
US9805935B2 (en) * 2015-12-31 2017-10-31 International Business Machines Corporation Bottom source/drain silicidation for vertical field-effect transistor (FET)
CN107026083B (zh) * 2016-02-02 2020-03-31 中芯国际集成电路制造(上海)有限公司 半导体装置的制造方法
US9698266B1 (en) * 2016-03-09 2017-07-04 International Business Machines Corporation Semiconductor device strain relaxation buffer layer
CN107452679B (zh) * 2016-06-01 2020-05-05 中芯国际集成电路制造(上海)有限公司 半导体装置及其制造方法
US9960074B2 (en) * 2016-06-30 2018-05-01 Taiwan Semiconductor Manufacturing Company, Ltd. Integrated bi-layer STI deposition
CN107564953B (zh) * 2016-07-01 2021-07-30 中芯国际集成电路制造(上海)有限公司 变容晶体管及其制造方法
CN107591328A (zh) * 2016-07-07 2018-01-16 中芯国际集成电路制造(上海)有限公司 半导体结构及其形成方法
CN107680955B (zh) * 2016-08-02 2020-01-21 中芯国际集成电路制造(北京)有限公司 静电放电保护器件、半导体装置及制造方法
CN107706111B (zh) * 2016-08-09 2020-07-10 中芯国际集成电路制造(上海)有限公司 半导体器件的形成方法
CN107706112B (zh) * 2016-08-09 2020-07-10 中芯国际集成电路制造(上海)有限公司 半导体器件的形成方法
CN107731808B (zh) * 2016-08-12 2020-02-07 中芯国际集成电路制造(上海)有限公司 静电放电保护结构及其形成方法
US9947663B2 (en) * 2016-09-10 2018-04-17 International Business Machines Corporation FinFET CMOS with silicon fin N-channel FET and silicon germanium fin P-channel FET
CN107887272B (zh) * 2016-09-30 2021-05-04 中芯国际集成电路制造(上海)有限公司 半导体结构及其形成方法
CN107919325A (zh) * 2016-10-10 2018-04-17 中芯国际集成电路制造(上海)有限公司 鳍式场效应晶体管的制造方法
CN108022841B (zh) * 2016-10-31 2020-08-25 中芯国际集成电路制造(上海)有限公司 半导体装置的制造方法
US20180145131A1 (en) * 2016-11-18 2018-05-24 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor Device and Method
CN108091651B (zh) * 2016-11-23 2021-03-30 中芯国际集成电路制造(北京)有限公司 半导体装置及其制造方法
CN108573927B (zh) * 2017-03-07 2020-07-10 中芯国际集成电路制造(上海)有限公司 半导体结构及其形成方法
US10038079B1 (en) * 2017-04-07 2018-07-31 Taiwan Semicondutor Manufacturing Co., Ltd Semiconductor device and manufacturing method thereof
CN108695382B (zh) * 2017-04-07 2021-07-06 中芯国际集成电路制造(上海)有限公司 半导体装置及其制造方法
CN108735813B (zh) * 2017-04-24 2021-12-14 中芯国际集成电路制造(上海)有限公司 半导体结构及其形成方法
CN108807531B (zh) * 2017-04-26 2021-09-21 中芯国际集成电路制造(上海)有限公司 半导体装置及其制造方法
CN108807534A (zh) * 2017-05-03 2018-11-13 中芯国际集成电路制造(上海)有限公司 半导体结构及其形成方法
CN108962753A (zh) * 2017-05-19 2018-12-07 中芯国际集成电路制造(上海)有限公司 半导体结构及其形成方法
CN108962822A (zh) * 2017-05-19 2018-12-07 中芯国际集成电路制造(上海)有限公司 半导体装置以及制造方法
US10636910B2 (en) * 2017-05-30 2020-04-28 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device structure and method of forming the same
CN108987476B (zh) * 2017-06-01 2021-05-11 中芯国际集成电路制造(上海)有限公司 半导体结构及其形成方法
CN109148578B (zh) * 2017-06-16 2021-09-07 中芯国际集成电路制造(上海)有限公司 半导体结构及其形成方法

Patent Citations (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN104733390A (zh) * 2013-12-20 2015-06-24 台湾积体电路制造股份有限公司 用于FinFET阱掺杂的机制

Also Published As

Publication number Publication date
EP3291291A2 (en) 2018-03-07
US20180068866A1 (en) 2018-03-08
US10586713B2 (en) 2020-03-10
EP3291291A3 (en) 2018-04-25
CN107799421A (zh) 2018-03-13
EP3291291B1 (en) 2019-10-02

Similar Documents

Publication Publication Date Title
US9349831B2 (en) Integrated circuit device with well controlled surface proximity and method of manufacturing same
US8216906B2 (en) Method of manufacturing integrated circuit device with well controlled surface proximity
CN101677088B (zh) 半导体装置的制造方法
CN107799591B (zh) Ldmos及其形成方法
US8659089B2 (en) Nitrogen passivation of source and drain recesses
US10453741B2 (en) Method for forming semiconductor device contact
US20130260519A1 (en) Strained structure of semiconductor device
CN107706112B (zh) 半导体器件的形成方法
US8802523B2 (en) CMOS device and fabrication method
CN107919324B (zh) 半导体器件的形成方法
US20130230952A1 (en) Integrated circuit device and method of manufacturing same
CN104517901B (zh) Cmos晶体管的形成方法
KR20120042301A (ko) 반도체 장치의 제조방법
CN107591436B (zh) 鳍式场效应管及其形成方法
CN110164767B (zh) 半导体器件及其形成方法
CN104752228A (zh) 半导体器件结构及其制造方法
CN109087861B (zh) 半导体器件及其形成方法
CN111354641A (zh) 半导体器件及其形成方法
US9953876B1 (en) Method of forming a semiconductor device structure and semiconductor device structure
CN107785265B (zh) 半导体器件的形成方法
CN110391285B (zh) 半导体结构及其形成方法
CN107799421B (zh) 半导体器件的形成方法
CN109285778B (zh) 半导体器件及其形成方法
US8470664B2 (en) Methods of fabricating a dual polysilicon gate and methods of fabricating a semiconductor device using the same
CN107591327B (zh) 鳍式场效应管的形成方法

Legal Events

Date Code Title Description
PB01 Publication
PB01 Publication
SE01 Entry into force of request for substantive examination
SE01 Entry into force of request for substantive examination
GR01 Patent grant
GR01 Patent grant