CN107026083B - 半导体装置的制造方法 - Google Patents

半导体装置的制造方法 Download PDF

Info

Publication number
CN107026083B
CN107026083B CN201610073020.0A CN201610073020A CN107026083B CN 107026083 B CN107026083 B CN 107026083B CN 201610073020 A CN201610073020 A CN 201610073020A CN 107026083 B CN107026083 B CN 107026083B
Authority
CN
China
Prior art keywords
material layer
fins
layer
isolation material
remaining
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Active
Application number
CN201610073020.0A
Other languages
English (en)
Other versions
CN107026083A (zh
Inventor
周飞
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Semiconductor Manufacturing International Shanghai Corp
Semiconductor Manufacturing International Beijing Corp
Original Assignee
Semiconductor Manufacturing International Shanghai Corp
Semiconductor Manufacturing International Beijing Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Semiconductor Manufacturing International Shanghai Corp, Semiconductor Manufacturing International Beijing Corp filed Critical Semiconductor Manufacturing International Shanghai Corp
Priority to CN201610073020.0A priority Critical patent/CN107026083B/zh
Priority to US15/384,194 priority patent/US9985037B2/en
Priority to EP17153086.8A priority patent/EP3203508A1/en
Publication of CN107026083A publication Critical patent/CN107026083A/zh
Application granted granted Critical
Publication of CN107026083B publication Critical patent/CN107026083B/zh
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66484Unipolar field-effect transistors with an insulated gate, i.e. MISFET with multiple gate, at least one gate being an insulated gate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/8238Complementary field-effect transistors, e.g. CMOS
    • H01L21/823878Complementary field-effect transistors, e.g. CMOS isolation region manufacturing related aspects, e.g. to avoid interaction of isolation region with adjacent structure
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B10/00Static random access memory [SRAM] devices
    • H10B10/12Static random access memory [SRAM] devices comprising a MOSFET load element
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/22Diffusion of impurity materials, e.g. doping materials, electrode materials, into or out of a semiconductor body, or between semiconductor regions; Interactions between two or more impurities; Redistribution of impurities
    • H01L21/225Diffusion of impurity materials, e.g. doping materials, electrode materials, into or out of a semiconductor body, or between semiconductor regions; Interactions between two or more impurities; Redistribution of impurities using diffusion into or out of a solid from or into a solid phase, e.g. a doped oxide layer
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/22Diffusion of impurity materials, e.g. doping materials, electrode materials, into or out of a semiconductor body, or between semiconductor regions; Interactions between two or more impurities; Redistribution of impurities
    • H01L21/225Diffusion of impurity materials, e.g. doping materials, electrode materials, into or out of a semiconductor body, or between semiconductor regions; Interactions between two or more impurities; Redistribution of impurities using diffusion into or out of a solid from or into a solid phase, e.g. a doped oxide layer
    • H01L21/2251Diffusion into or out of group IV semiconductors
    • H01L21/2254Diffusion into or out of group IV semiconductors from or through or into an applied layer, e.g. photoresist, nitrides
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/26Bombardment with radiation
    • H01L21/263Bombardment with radiation with high-energy radiation
    • H01L21/265Bombardment with radiation with high-energy radiation producing ion implantation
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/308Chemical or electrical treatment, e.g. electrolytic etching using masks
    • H01L21/3081Chemical or electrical treatment, e.g. electrolytic etching using masks characterised by their composition, e.g. multilayer masks, materials
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/31051Planarisation of the insulating layers
    • H01L21/31053Planarisation of the insulating layers involving a dielectric removal step
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31105Etching inorganic layers
    • H01L21/31111Etching inorganic layers by chemical means
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/3115Doping the insulating layers
    • H01L21/31155Doping the insulating layers by ion implantation
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/8238Complementary field-effect transistors, e.g. CMOS
    • H01L21/823814Complementary field-effect transistors, e.g. CMOS with a particular manufacturing method of the source or drain structures, e.g. specific source or drain implants or silicided source or drain structures or raised source or drain structures
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/8238Complementary field-effect transistors, e.g. CMOS
    • H01L21/823821Complementary field-effect transistors, e.g. CMOS with a particular manufacturing method of transistors with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/8238Complementary field-effect transistors, e.g. CMOS
    • H01L21/823892Complementary field-effect transistors, e.g. CMOS with a particular manufacturing method of the wells or tubs, e.g. twin tubs, high energy well implants, buried implanted layers for lateral isolation [BILLI]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/12Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/16Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed including, apart from doping materials or other impurities, only elements of Group IV of the Periodic System
    • H01L29/167Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed including, apart from doping materials or other impurities, only elements of Group IV of the Periodic System further characterised by the doping material
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66787Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel
    • H01L29/66795Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66787Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel
    • H01L29/66795Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • H01L29/66803Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET with a step of doping the vertical sidewall, e.g. using tilted or multi-angled implants
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier
    • H01L27/04Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body
    • H01L27/08Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body including only semiconductor components of a single kind
    • H01L27/085Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only
    • H01L27/088Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate
    • H01L27/092Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate complementary MIS field-effect transistors
    • H01L27/0924Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate complementary MIS field-effect transistors including transistors with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET

Landscapes

  • Engineering & Computer Science (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Manufacturing & Machinery (AREA)
  • Ceramic Engineering (AREA)
  • Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • High Energy & Nuclear Physics (AREA)
  • General Chemical & Material Sciences (AREA)
  • Inorganic Chemistry (AREA)
  • Toxicology (AREA)
  • Health & Medical Sciences (AREA)
  • Element Separation (AREA)

Abstract

本发明公开了一种半导体装置的制造方法,涉及半导体技术领域。所述方法包括:提供衬底结构,所述衬底结构包括:衬底,位于所述衬底上的多个鳍片,所述鳍片包括半导体层和位于所述半导体层上的硬掩模层,覆盖各个鳍片并填充各个鳍片之间的空间的第一隔离材料层;对所述第一隔离材料层进行第一回刻蚀,以露出各个鳍片的半导体层的一部分;对剩余的第一隔离材料层进行离子注入,并使注入的离子扩散到各个鳍片的半导体层中以在各个鳍片的半导体层中形成杂质区;对剩余的第一隔离材料层进行第二回刻蚀,以去除所述剩余的第一隔离材料层中注入离子的部分;在剩余的第一隔离材料层上形成第二隔离材料层。

Description

半导体装置的制造方法
技术领域
本发明涉及半导体技术领域,尤其涉及一种半导体装置的制造方法。
背景技术
随着金属氧化物半导体场效应晶体管(Metal Oxide Semiconductor FieldEffect Transistor,MOSFET)关键尺寸的缩小,短沟道效应(Short Channel Effect,SCE)成为一个至关重要的问题。鳍式场效应晶体管(Fin Field Effect Transistor,FinFET)具有良好的栅控能力,能够有效地抑制短沟道效应。因此,在小尺寸的半导体元件设计中通常采用FinFET器件。
由于器件尺寸的减小,容易出现穿通效应(punch through effect)。为了抑制穿通效应,通常需要在鳍片的底部进行沟道停止离子注入(channel stop IMP)。然而对于N型金属氧化物半导体(N-Metal Oxide Semiconductor,NMOS)器件来说,在退火激活后,由于随机掺杂波动(Random Dopant Fluctuation,RDF),通过沟道停止离子注入掺入的杂质很容易扩散到沟道或鳍片间的隔离区中,从而造成阱注入的离子的损失。因此,用于NMOS器件的沟道停止离子注入通常需要更大的离子注入剂量。
但是,发明人发现,更大的注入剂量会导致在退火后使得所注入的离子扩散到P型金属氧化物半导体(P-Metal Oxide Semiconductor,PMOS)器件中,使得鳍片由N型变为P型,从而影响器件的性能。这一问题在鳍片尺寸很小的静态随机存取存储器(StaticRandom Access Memory,SRAM)中尤为严重。
发明内容
本公开的一个实施例的目的在于提出一种半导体装置的制造方法,以至少减轻上述问题。
根据本公开的一个实施例,提供了一种半导体装置的制造方法,包括:提供衬底结构,所述衬底结构包括:衬底,位于所述衬底上的多个鳍片,所述鳍片包括半导体层和位于所述半导体层上的硬掩模层,覆盖各个鳍片并填充各个鳍片之间的空间的第一隔离材料层;对所述第一隔离材料层进行第一回刻蚀,以露出各个鳍片的半导体层的一部分;对剩余的第一隔离材料层进行离子注入,并使注入的离子扩散到各个鳍片的半导体层中以在各个鳍片的半导体层中形成杂质区;对剩余的第一隔离材料层进行第二回刻蚀,以去除所述剩余的第一隔离材料层中注入离子的部分;在剩余的第一隔离材料层上形成第二隔离材料层。
在一个实施方式中,所述衬底包括P阱和N阱,所述多个鳍片包括位于P阱上的用于N沟道器件的第一组鳍片和位于N阱上的用于P沟道器件的第二组鳍片;所述对剩余的第一隔离材料层进行离子注入,以在各个鳍片中形成杂质区包括:对与第一组鳍片中的鳍片相邻的剩余的第一隔离材料层进行P型离子注入,以在第一组鳍片中的各鳍片中形成第一杂质区;对与第二组鳍片中的鳍片相邻的剩余的第一隔离材料层进行N型离子注入,以在第二组鳍片中的各鳍片中形成第二杂质区。
在一个实施方式中,所述P型离子注入所注入的离子包括硼离子或二氟化硼离子;所述N型离子注入所注入的离子包括砷离子。
在一个实施方式中,所述第一杂质区的掺杂浓度大于所述P阱的掺杂浓度;所述第二杂质区的掺杂浓度大于所述N阱的掺杂浓度。
在一个实施方式中,所述在剩余的第一隔离材料层上形成第二隔离材料层包括:沉积第二初始隔离材料层以覆盖各个鳍片和剩余的第一隔离材料层;对所述第二初始隔离材料层进行平坦化,以使剩余的第二初始隔离材料层的顶表面与所述硬掩模层的顶表面基本齐平;对剩余的第二初始隔离材料层进行回刻蚀,从而形成所述第二隔离材料层。
在一个实施方式中,所述第二回刻蚀使得剩余的第一隔离材料层的顶表面低于杂质区的底表面。
在一个实施方式中,所述第二隔离材料层的顶表面高于杂质区的顶表面。
在一个实施方式中,所述提供衬底结构的步骤包括:提供初始衬底,所述初始衬底包括初始半导体层;在所述初始半导体层上形成图案化的硬掩模层;以所述图案化的硬掩模层为掩模对所述初始半导体层进行刻蚀,从而形成所述多个鳍片;沉积第一隔离材料层以填充各个鳍片之间的空间并覆盖各个鳍片,从而形成所述衬底结构。
在一个实施方式中,还包括:执行退火工艺,以激活杂质区中的杂质。
在一个实施方式中,通过流体化学气相沉积形成所述第一隔离材料层;通过高纵深比制程技术形成所述第二隔离材料层。
通过以下参照附图对本公开的示例性实施例的详细描述,本公开的其它特征、方面及其优点将会变得清楚。
附图说明
附图构成本说明书的一部分,其描述了本公开的示例性实施例,并且连同说明书一起用于解释本发明的原理,在附图中:
图1是根据本公开一个实施例的半导体装置的制造方法的简化流程图;
图2是根据本公开一个实施例的衬底结构的示意图;
图3A-图3C是示出根据本公开一些实施例的形成图2所示衬底结构的工艺过程的示意图;
图4是根据本公开一个实施例的对第一隔离材料层进行第一回刻蚀的示意图;
图5是根据本公开一个实施例的形成杂质区的示意图;
图6是根据本公开一个实施例的对剩余的第一隔离材料层进行第二回刻蚀的示意图;
图7至图9是根据本公开一个实施例的在剩余的第一隔离材料层上形成第二隔离材料层的工艺过程的示意图;
图10是根据本公开一个实施例的去除硬掩模层的示意图。
具体实施方式
现在将参照附图来详细描述本公开的各种示例性实施例。应理解,除非另外具体说明,否则在这些实施例中阐述的部件和步骤的相对布置、数字表达式和数值不应被理解为对本发明范围的限制。
此外,应当理解,为了便于描述,附图中所示出的各个部件的尺寸并不必然按照实际的比例关系绘制,例如某些层的厚度或宽度可以相对于其他层有所夸大。
以下对示例性实施例的描述仅仅是说明性的,在任何意义上都不作为对本发明及其应用或使用的任何限制。
对于相关领域普通技术人员已知的技术、方法和装置可能不作详细讨论,但在适用这些技术、方法和装置情况下,这些技术、方法和装置应当被视为本说明书的一部分。
应注意,相似的标号和字母在下面的附图中表示类似项,因此,一旦某一项在一个附图中被定义或说明,则在随后的附图的说明中将不需要对其进行进一步讨论。
图1是根据本公开一个实施例的半导体装置的制造方法的简化流程图。如图1所示,在步骤101,提供衬底结构。图2示出了根据本公开一个实施例的衬底结构的示意图。如图2所示,该衬底结构包括衬底201,在一个实施例中,衬底201中可以形成有P阱211和N阱221。衬底结构还包括位于衬底201上的多个鳍片202,例如,多个鳍片202可以包括位于P阱211上的用于N沟道器件的第一组鳍片和位于N阱上的用于P沟道器件的第二组鳍片,每个鳍片202包括半导体层212和位于半导体层212上的硬掩模层222。衬底结构还包括覆盖各个鳍片202并填充各个鳍片202之间的空间的第一隔离材料层203。
图3A-图3C示出了根据本公开一个实施例的形成图2的衬底结构的工艺过程。
如图3A所示,提供初始衬底,该初始衬底包括初始半导体层301,初始半导体层301例如可以是硅(Si)层、锗(Ge)层或其他元素半导体层,或者是砷化镓(GaAs)等化合物半导体层。然而本公开并不限于此。之后,可以对初始半导体层301进行离子注入形成P阱211和N阱221。本公开并不限于此,例如,也可以先形成N阱221,在鳍片形成之后再形成P阱211。
如图3B所示,在初始半导体层301上形成图案化的硬掩模层222。该硬掩模层222例如可以是硅的氮化物、硅的氧化物、硅的氮氧化物等等。
如图3C所示,以图案化的硬掩模222为掩模对初始半导体层301进行刻蚀,例如干法刻蚀,以形成衬底201和位于衬底201上的多个鳍片202。
之后,沉积第一隔离材料层203以填充各个鳍片202之间的空间并覆盖各个鳍片202,从而形成图2所示的衬底结构。例如,可以通过诸如流式化学气相沉积(FlowableChemical Vapour Deposition,FCVD)的CVD技术等沉积第一隔离材料层203(例如电介质材料层)来填充各个鳍片202之间的空间并覆盖各个鳍片202,另外,在沉积第一隔离材料层203后还可以进行平坦化工艺。可选地,在沉积第一隔离材料层203之前,还可以在各个鳍片202的半导体层212的表面形成衬垫层(例如,通过热氧化形成氧化硅层,未示出),以修复在刻蚀形成鳍片时对鳍片202造成的表面损伤。这里,各个鳍片的表面的衬垫层的一部分可能会在随后对第一隔离材料层的回刻蚀工艺中被去除。
应理解,也可以根据现有的其他方式来形成上述衬底结构,在此不再赘述。
回到图1,在步骤103,对第一隔离材料层203进行第一回刻蚀,以露出各个鳍片的半导体层212的一部分,如图4所示。
在步骤105,对剩余的第一隔离材料层进行离子注入,并使注入的离子扩散到各个鳍片的半导体层中以在各个鳍片的半导体层中形成杂质区。
如图5所示,可以首先对与P阱211上的第一组鳍片中的鳍片相邻的剩余的第一隔离材料层401进行P型离子注入(例如,注入硼离子或二氟化硼离子等),注入的离子横向扩散(lateral straggle)到相邻的第一组鳍片中,以在第一组鳍片中的各鳍片中形成第一杂质区501,第一杂质区501可以用作沟道停止层;然后,对与第二组鳍片中的鳍片相邻的剩余的第一隔离材料层401进行N型离子注入(例如,注入砷离子等),注入的离子横向扩散(lateral straggle)到相邻的第二组鳍片中,以在第二组鳍片中的各鳍片中形成第二杂质区502,第二杂质区502可以用作沟道停止层。另外,也可以先进行N型离子注入,再进行P型离子注入。优选地,所形成的第一杂质区501的掺杂浓度大于P阱211的掺杂浓度;所形成的第二杂质区502的掺杂浓度大于N阱221的掺杂浓度。
继续参见图1,在步骤107,对剩余的第一隔离材料层进行第二回刻蚀,以去除所述剩余的第一隔离材料层中注入离子的部分。
如图6所示,通过第二回刻蚀工艺去除剩余的第一隔离材料层401中注入离子的部分,优选地,第二回刻蚀使得剩余的第一隔离材料层601的顶表面低于杂质区(第一杂质区501和第二杂质区502)的底表面,以尽可能减少或去除第一隔离材料层中注入离子的部分。
继续参见图1,在步骤109,在剩余的第一隔离材料层上形成第二隔离材料层。
下面结合图7至图9对根据本公开一个实施例的在剩余的第一隔离材料层上形成第二隔离材料层的工艺过程进行示例性说明。
首先,如图7所示,沉积第二初始隔离材料层701以覆盖各个鳍片202和剩余的第一隔离材料层203。优选地,可以通过高纵深比制程(HARP)技术形成第二隔离材料203,以使得形成的第二隔离材料层203的性能更优。
然后,如图8所示,对第二初始隔离材料层701进行平坦化,例如化学机械抛光(CMP),以使剩余的第二初始隔离材料层801的顶表面与硬掩模层222的顶表面基本齐平。
之后,如图9所示,对剩余的第二初始隔离材料层801进行回刻蚀,从而形成第二隔离材料层901。优选地,第二隔离材料层901的顶表面高于杂质区(第一杂质区501和第二杂质区502)的顶表面。
之后,可选地,还可以去除硬掩模层222,如图10所示。另外,可以执行退火工艺,以激活杂质区中的杂质。
如上,描述了本公开的半导体装置的制造方法。现有技术中,第一隔离材料层203中注入离子后,由于P型离子注入的剂量通常大于N型离子注入的剂量,因此,在后续的退火工艺中P型离子注入注入的离子有一部分会扩散到N阱221上的第二组鳍片中,使得第二组鳍片中与第一组鳍片相邻的鳍片由N型变成P型,从而影响PMOS器件的性能。而本公开在第一隔离材料层中进行沟道停止离子注入后,通过第二回刻蚀工艺将第一隔离材料层中注入离子的部分去除,之后再重新形成第二隔离材料层,从而减可以很好地避免上述问题。
至此,已经详细描述了根据本公开实施例的半导体装置的制造方法。为了避免遮蔽本公开的构思,没有描述本领域所公知的一些细节,本领域技术人员根据上面的描述,完全可以明白如何实施这里公开的技术方案。另外,本说明书公开所教导的各实施例可以自由组合。本领域的技术人员应该理解,可以对上面说明的实施例进行多种修改而不脱离如所附权利要求限定的本公开的精神和范围。

Claims (6)

1.一种半导体装置的制造方法,其特征在于,包括:
提供衬底结构,所述衬底结构包括:
衬底,包括P阱和N阱,
位于所述衬底上的多个鳍片,所述鳍片包括半导体层和位于所述半导体层上的硬掩模层,所述多个鳍片包括位于P阱上的用于N沟道器件的第一组鳍片和位于N阱上的用于P沟道器件的第二组鳍片,和
覆盖各个鳍片并填充各个鳍片之间的空间的第一隔离材料层;
对所述第一隔离材料层进行第一回刻蚀,以露出各个鳍片的半导体层的一部分;
对剩余的第一隔离材料层进行离子注入,并使注入的离子扩散到各个鳍片的半导体层中以在各个鳍片的半导体层中形成杂质区,包括:
对与第一组鳍片中的鳍片相邻的剩余的第一隔离材料层进行P型离子注入,以在第一组鳍片中的各鳍片中形成第一杂质区,和
对与第二组鳍片中的鳍片相邻的剩余的第一隔离材料层进行N型离子注入,以在第二组鳍片中的各鳍片中形成第二杂质区;
对剩余的第一隔离材料层进行第二回刻蚀,以去除所述剩余的第一隔离材料层中注入离子的部分,所述第二回刻蚀使得剩余的第一隔离材料层的顶表面低于杂质区的底表面;
在剩余的第一隔离材料层上形成第二隔离材料层,所述第二隔离材料层的顶表面高于杂质区的顶表面、且低于所述鳍片的顶表面;
执行退火工艺,以激活杂质区中的杂质;
其中,所述P型离子注入的剂量大于所述N型离子注入的剂量。
2.根据权利要求1所述的方法,其特征在于,
所述P型离子注入所注入的离子包括硼离子或二氟化硼离子;
所述N型离子注入所注入的离子包括砷离子。
3.根据权利要求1所述的方法,其特征在于,
所述第一杂质区的掺杂浓度大于所述P阱的掺杂浓度;
所述第二杂质区的掺杂浓度大于所述N阱的掺杂浓度。
4.根据权利要求1所述的方法,其特征在于,所述在剩余的第一隔离材料层上形成第二隔离材料层包括:
沉积第二初始隔离材料层以覆盖各个鳍片和剩余的第一隔离材料层;
对所述第二初始隔离材料层进行平坦化,以使剩余的第二初始隔离材料层的顶表面与所述硬掩模层的顶表面基本齐平;
对剩余的第二初始隔离材料层进行回刻蚀,从而形成所述第二隔离材料层。
5.根据权利要求1所述的方法,其特征在于,所述提供衬底结构的步骤包括:
提供初始衬底,所述初始衬底包括初始半导体层;
在所述初始半导体层上形成图案化的硬掩模层;
以所述图案化的硬掩模层为掩模对所述初始半导体层进行刻蚀,从而形成所述多个鳍片;
沉积第一隔离材料层以填充各个鳍片之间的空间并覆盖各个鳍片,从而形成所述衬底结构。
6.根据权利要求1所述的方法,其特征在于,
通过流体化学气相沉积形成所述第一隔离材料层;
通过高纵深比制程技术形成所述第二隔离材料层。
CN201610073020.0A 2016-02-02 2016-02-02 半导体装置的制造方法 Active CN107026083B (zh)

Priority Applications (3)

Application Number Priority Date Filing Date Title
CN201610073020.0A CN107026083B (zh) 2016-02-02 2016-02-02 半导体装置的制造方法
US15/384,194 US9985037B2 (en) 2016-02-02 2016-12-19 Method and structure for finFET SRAM
EP17153086.8A EP3203508A1 (en) 2016-02-02 2017-01-25 Method and structure for finfet sram

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
CN201610073020.0A CN107026083B (zh) 2016-02-02 2016-02-02 半导体装置的制造方法

Publications (2)

Publication Number Publication Date
CN107026083A CN107026083A (zh) 2017-08-08
CN107026083B true CN107026083B (zh) 2020-03-31

Family

ID=57909479

Family Applications (1)

Application Number Title Priority Date Filing Date
CN201610073020.0A Active CN107026083B (zh) 2016-02-02 2016-02-02 半导体装置的制造方法

Country Status (3)

Country Link
US (1) US9985037B2 (zh)
EP (1) EP3203508A1 (zh)
CN (1) CN107026083B (zh)

Families Citing this family (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN106486377B (zh) * 2015-09-01 2019-11-29 中芯国际集成电路制造(上海)有限公司 鳍片式半导体器件及其制造方法
CN107799421B (zh) * 2016-09-05 2021-04-02 中芯国际集成电路制造(上海)有限公司 半导体器件的形成方法
CN109216277B (zh) * 2017-06-29 2021-03-16 中芯国际集成电路制造(上海)有限公司 半导体装置的制造方法
CN109427676B (zh) * 2017-08-23 2021-08-13 中芯国际集成电路制造(上海)有限公司 半导体装置及其制造方法
KR102449608B1 (ko) * 2017-12-21 2022-10-04 삼성전자주식회사 반도체 소자의 제조 방법
CN113078215A (zh) * 2020-01-06 2021-07-06 中芯国际集成电路制造(北京)有限公司 半导体器件及其形成方法

Family Cites Families (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7323389B2 (en) 2005-07-27 2008-01-29 Freescale Semiconductor, Inc. Method of forming a FINFET structure
CN103811346B (zh) * 2012-11-09 2017-03-01 中国科学院微电子研究所 半导体器件及其制造方法
CN104022037B (zh) 2013-02-28 2016-08-31 中芯国际集成电路制造(上海)有限公司 鳍式场效应晶体管及其形成方法
US8709901B1 (en) * 2013-04-17 2014-04-29 United Microelectronics Corp. Method of forming an isolation structure
CN105097527B (zh) 2014-05-04 2018-08-10 中国科学院微电子研究所 一种FinFET制造方法
CN105244379A (zh) * 2014-07-10 2016-01-13 中国科学院微电子研究所 半导体器件及其制造方法
CN106601686B (zh) * 2015-10-16 2019-10-25 中芯国际集成电路制造(上海)有限公司 一种半导体器件及其制备方法、电子装置

Also Published As

Publication number Publication date
CN107026083A (zh) 2017-08-08
EP3203508A1 (en) 2017-08-09
US20170221908A1 (en) 2017-08-03
US9985037B2 (en) 2018-05-29

Similar Documents

Publication Publication Date Title
CN107026083B (zh) 半导体装置的制造方法
US10497703B2 (en) Method, apparatus, and system having super steep retrograde well with silicon and silicon germanium fins
US8987823B2 (en) Method and structure for forming a localized SOI finFET
US20220352374A1 (en) P-Type Strained Channel in a Fin Field Effect Transistor (FinFET) Device
CN104681613B (zh) 半导体器件的fin结构
US9343300B1 (en) Methods of forming source/drain regions for a PMOS transistor device with a germanium-containing channel region
CN102104041B (zh) 具有用以隔离装置的虚设结构的集成电路
US9349831B2 (en) Integrated circuit device with well controlled surface proximity and method of manufacturing same
US8999794B2 (en) Self-aligned source and drain structures and method of manufacturing same
US8659089B2 (en) Nitrogen passivation of source and drain recesses
TW201735265A (zh) 半導體結構及其製造方法
US9455204B1 (en) 10 nm alternative N/P doped fin for SSRW scheme
CN108022841B (zh) 半导体装置的制造方法
US10325913B2 (en) Method, apparatus, and system having super steep retrograde well with engineered dopant profiles
US11908864B2 (en) Method of manufacturing semiconductor devices and a semiconductor device
US10163724B2 (en) Integrated circuit device and method of manufacturing same
CN106816464B (zh) 半导体装置的制造方法
CN111128738A (zh) 半导体器件及其形成方法
CN113192891B (zh) 一种半导体器件及制备方法
CN106653599B (zh) 半导体装置及其制造方法
CN109148607B (zh) 半导体装置、mos电容器及其制造方法

Legal Events

Date Code Title Description
PB01 Publication
PB01 Publication
SE01 Entry into force of request for substantive examination
SE01 Entry into force of request for substantive examination
GR01 Patent grant
GR01 Patent grant