CN108022841B - 半导体装置的制造方法 - Google Patents

半导体装置的制造方法 Download PDF

Info

Publication number
CN108022841B
CN108022841B CN201610925896.3A CN201610925896A CN108022841B CN 108022841 B CN108022841 B CN 108022841B CN 201610925896 A CN201610925896 A CN 201610925896A CN 108022841 B CN108022841 B CN 108022841B
Authority
CN
China
Prior art keywords
substrate
semiconductor fin
isolation
semiconductor
region
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Active
Application number
CN201610925896.3A
Other languages
English (en)
Other versions
CN108022841A (zh
Inventor
周飞
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Semiconductor Manufacturing International Shanghai Corp
Semiconductor Manufacturing International Beijing Corp
Original Assignee
Semiconductor Manufacturing International Shanghai Corp
Semiconductor Manufacturing International Beijing Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Semiconductor Manufacturing International Shanghai Corp, Semiconductor Manufacturing International Beijing Corp filed Critical Semiconductor Manufacturing International Shanghai Corp
Priority to CN201610925896.3A priority Critical patent/CN108022841B/zh
Priority to US15/728,184 priority patent/US10367058B2/en
Priority to EP17198882.7A priority patent/EP3319128A1/en
Publication of CN108022841A publication Critical patent/CN108022841A/zh
Application granted granted Critical
Publication of CN108022841B publication Critical patent/CN108022841B/zh
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/06Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions
    • H01L29/0603Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions characterised by particular constructional design considerations, e.g. for preventing surface leakage, for controlling electric field concentration or for internal isolations regions
    • H01L29/0607Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions characterised by particular constructional design considerations, e.g. for preventing surface leakage, for controlling electric field concentration or for internal isolations regions for preventing surface leakage or controlling electric field concentration
    • H01L29/0638Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions characterised by particular constructional design considerations, e.g. for preventing surface leakage, for controlling electric field concentration or for internal isolations regions for preventing surface leakage or controlling electric field concentration for preventing surface leakage due to surface inversion layer, e.g. with channel stopper
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66787Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel
    • H01L29/66795Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66787Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel
    • H01L29/66795Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • H01L29/66803Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET with a step of doping the vertical sidewall, e.g. using tilted or multi-angled implants
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02164Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon oxide, e.g. SiO2
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/26Bombardment with radiation
    • H01L21/263Bombardment with radiation with high-energy radiation
    • H01L21/265Bombardment with radiation with high-energy radiation producing ion implantation
    • H01L21/26506Bombardment with radiation with high-energy radiation producing ion implantation in group IV semiconductors
    • H01L21/26513Bombardment with radiation with high-energy radiation producing ion implantation in group IV semiconductors of electrically active species
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/3065Plasma etching; Reactive-ion etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/308Chemical or electrical treatment, e.g. electrolytic etching using masks
    • H01L21/3081Chemical or electrical treatment, e.g. electrolytic etching using masks characterised by their composition, e.g. multilayer masks, materials
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/31051Planarisation of the insulating layers
    • H01L21/31053Planarisation of the insulating layers involving a dielectric removal step
    • H01L21/31055Planarisation of the insulating layers involving a dielectric removal step the removal being a chemical etching step, e.g. dry etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31105Etching inorganic layers
    • H01L21/31111Etching inorganic layers by chemical means
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/324Thermal treatment for modifying the properties of semiconductor bodies, e.g. annealing, sintering
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/76Making of isolation regions between components
    • H01L21/762Dielectric regions, e.g. EPIC dielectric isolation, LOCOS; Trench refilling techniques, SOI technology, use of channel stoppers
    • H01L21/76224Dielectric regions, e.g. EPIC dielectric isolation, LOCOS; Trench refilling techniques, SOI technology, use of channel stoppers using trench refilling with dielectric materials
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/823431MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type with a particular manufacturing method of transistors with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/823481MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type isolation region manufacturing related aspects, e.g. to avoid interaction of isolation region with adjacent structure
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/12Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/16Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed including, apart from doping materials or other impurities, only elements of Group IV of the Periodic Table
    • H01L29/167Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed including, apart from doping materials or other impurities, only elements of Group IV of the Periodic Table further characterised by the doping material

Landscapes

  • Engineering & Computer Science (AREA)
  • Power Engineering (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Computer Hardware Design (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Ceramic Engineering (AREA)
  • Chemical & Material Sciences (AREA)
  • General Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • High Energy & Nuclear Physics (AREA)
  • Health & Medical Sciences (AREA)
  • Toxicology (AREA)
  • Plasma & Fusion (AREA)
  • Inorganic Chemistry (AREA)
  • Insulated Gate Type Field-Effect Transistor (AREA)
  • Element Separation (AREA)
  • Metal-Oxide And Bipolar Metal-Oxide Semiconductor Integrated Circuits (AREA)

Abstract

本发明公开了一种半导体装置的制造方法,涉及半导体技术领域。所述方法包括:提供衬底结构,所述衬底结构包括:衬底、在所述衬底上的半导体鳍片、以及在所述半导体鳍片两侧的隔离区,其中,所述半导体鳍片的顶表面与所述隔离区的顶表面基本齐平;执行沟道停止离子注入,以在所述半导体鳍片和所述隔离区中形成杂质区;对所述隔离区进行回刻,以露出所述半导体鳍片的一部分;执行退火工艺,以激活所述杂质区中的杂质。本发明中,由于在对隔离区进行回刻后才进行退火,从而可以使得一部分杂质扩散到隔离区和半导体鳍片的外部,从而减少了扩散到沟道中的杂质,改善了器件性能。

Description

半导体装置的制造方法
技术领域
本发明涉及半导体技术领域,尤其涉及一种半导体装置的制造方法。
背景技术
随着金属氧化物半导体场效应晶体管(Metal Oxide Semiconductor FieldEffect Transistor,MOSFET)关键尺寸的缩小,短沟道效应(Short Channel Effect,SCE)成为影响器件性能一个至关重要的因素。鳍式场效应晶体管(Fin Field EffectTransistor,FinFET)具有良好的栅控能力,能够有效地抑制短沟道效应。因此,在更小尺寸的半导体元件设计中通常采用FinFET器件。
但是,随着器件尺寸的减小,更容易出现穿通效应(punch through effect)。为了抑制穿通效应,可以在鳍片的底部进行沟道停止离子注入(channel stop IMP)。然而,发明人发现,在进行沟道停止离子注入后的退火工艺后,通过沟道停止离子注入掺入的杂质很容易扩散到上面的沟道中,从而影响器件的性能。
发明内容
本公开的一个目的在于减少扩散到沟道中的通过沟道停止离子注入所掺入的杂质。
根据本公开的一个实施例,提供了一种半导体装置的制造方法,包括:提供衬底结构,所述衬底结构包括:衬底、在所述衬底上的半导体鳍片、以及在所述半导体鳍片两侧的隔离区,其中,所述半导体鳍片的顶表面与所述隔离区的顶表面基本齐平;执行沟道停止离子注入,以在所述半导体鳍片和所述隔离区中形成杂质区;对所述隔离区进行回刻,以露出所述半导体鳍片的一部分;执行退火工艺,以激活所述杂质区中的杂质。
在一个实施例中,所述提供衬底结构的步骤包括:提供初始衬底;在所述初始衬底上形成图案化的硬掩模;以所述硬掩模为掩膜对所述初始衬底进行刻蚀,从而形成所述衬底、所述半导体鳍片和在所述半导体鳍片两侧的凹陷;沉积隔离材料以填充所述凹陷并覆盖所述硬掩模;对所述隔离材料进行平坦化,以使得剩余的隔离材料的顶表面与所述硬掩模的顶表面基本齐平;对剩余的隔离材料进行回刻蚀,以露出所述硬掩膜;去除所述硬掩模,从而形成所述衬底结构。
在一个实施例中,在沉积隔离材料之前,还包括:在所述衬底和所述半导体鳍片的表面形成衬垫层。
在一个实施例中,所述对所述隔离区进行回刻包括:去除所述隔离区的一部分;去除露出的衬垫层,以露出所述半导体鳍片的一部分。
在一个实施例中,对所述隔离区进行回刻后剩余的隔离区的上表面高于所述杂质区的上表面。
在一个实施例中,在执行沟道停止离子注入之前,还包括:在所述衬底结构上沉积硅的氧化物层。
在一个实施例中,所述衬底结构包括在所述衬底上的多个半导体鳍片。
在一个实施例中,所述执行沟道停止离子注入包括:对所述半导体鳍片和所述隔离区进行P型离子注入。
在一个实施例中,所述P型离子注入所注入的离子包括硼离子或二氟化硼离子。
在一个实施例中,所述执行沟道停止离子注入包括:对所述半导体鳍片和所述隔离区进行N型离子注入。
在一个实施例中,所述N型离子注入所注入的离子包括砷离子或磷离子。
在一个实施例中,所述衬底包括阱区,所述阱区与所述杂质区具有相同的导电类型,所述阱区的掺杂浓度小于所述杂质区的掺杂浓度。
本公开提供的半导体装置的制造方法中,由于在对隔离区进行回刻后才进行退火,从而可以使得一部分杂质扩散到隔离区和半导体鳍片的外部,从而减少了扩散到沟道中的杂质,改善了器件性能。
通过以下参照附图对本公开的示例性实施例的详细描述,本公开的其它特征、方面及其优点将会变得清楚。
附图说明
附图构成本说明书的一部分,其描述了本公开的示例性实施例,并且连同说明书一起用于解释本发明的原理,在附图中:
图1是根据本公开一个实施例的半导体装置的制造方法的流程图;
图2示出了根据本公开一个实施例的衬底结构的示意截面图;
图3A-图3F示出了根据本公开一个实施例的形成图2的衬底结构的各个阶段的示意截面图;
图4示出了根据本公开的一个实施例的半导体装置的制造方法的一个阶段的示意截面图;
图5示出了根据本公开的一个实施例的半导体装置的制造方法的一个阶段的示意截面图;
图6示出了根据本公开的一个实施例的半导体装置的制造方法的一个阶段的示意截面图;
图7示出了现有技术中退火后杂质的扩散情况的示意图。
具体实施方式
现在将参照附图来详细描述本公开的各种示例性实施例。应理解,除非另外具体说明,否则在这些实施例中阐述的部件和步骤的相对布置、数字表达式和数值不应被理解为对本发明范围的限制。
此外,应当理解,为了便于描述,附图中所示出的各个部件的尺寸并不必然按照实际的比例关系绘制,例如某些层的厚度或宽度可以相对于其他层有所夸大。
以下对示例性实施例的描述仅仅是说明性的,在任何意义上都不作为对本发明及其应用或使用的任何限制。
对于相关领域普通技术人员已知的技术、方法和装置可能不作详细讨论,但在适用这些技术、方法和装置情况下,这些技术、方法和装置应当被视为本说明书的一部分。
应注意,相似的标号和字母在下面的附图中表示类似项,因此,一旦某一项在一个附图中被定义或说明,则在随后的附图的说明中将不需要对其进行进一步讨论。
图1是根据本公开一个实施例的半导体装置的制造方法的流程图。如图1所示,在步骤102,提供衬底结构。
图2示出了根据本公开一个实施例的衬底结构的示意截面图。如图2所示,该衬底结构包括衬底201、在衬底201上的一个或多个半导体鳍片202、以及在半导体鳍片202两侧的隔离区203。半导体鳍片202的顶表面与隔离区203的顶表面基本齐平。
需要说明的是,在本文中,“基本齐平”是指在半导体工艺偏差范围内的齐平。
图3A-图3F示出了根据本公开一个实施例的形成图2的衬底结构的各个阶段的示意截面图。
如图3A所示,提供初始衬底301,在初始衬底301上形成图案化的硬掩模302。这里,初始衬底301例如可以是硅(Si)、锗(Ge)或其他元素半导体,或者也可以是砷化镓(GaAs)等化合物半导体。硬掩模302例如可以是硅的氮化物、硅的氧化物、硅的氮氧化物等等。然而,本公开并不限于此。
如图3B所示,以硬掩模302为掩模对初始衬底301进行刻蚀,例如干法刻蚀,以形成衬底201、位于衬底201上的半导体鳍片202和在半导体鳍片两侧的凹陷203。应理解,虽然图3B以实线区分开了衬底201和半导体鳍片202,但是衬底201和半导体鳍片202均由初始衬底301形成而来。在其他的实施例中,也可以通过其他的方式来形成衬底201和在衬底201上的半导体鳍片202。
如图3C所示,沉积隔离材料304以填充凹陷203并覆盖硬掩模302。例如,可以通过诸如流式化学气相沉积(Flowable Chemical Vapour Deposition,FCVD)的化学气相沉积(CVD)技术等沉积隔离材料304(例如电介质材料层)以填充凹陷203并覆盖各个半导体鳍片202和硬掩模302。可选地,在沉积隔离材料304之前,还可以在衬底201和半导体鳍片202的表面形成衬垫层(例如,通过热氧化形成氧化硅层,图中未示出),衬垫层可以修复在刻蚀初始衬底301时对半导体鳍片202造成的表面损伤。这里,半导体鳍片202的表面的衬垫层的一部分可能会在随后对隔离材料进行的回刻工艺中被去除。
如图3D所示,对隔离材料304进行平坦化,例如化学机械抛光(CMP),以使得剩余的隔离材料304的顶表面与硬掩模302的顶表面基本齐平。
如图3E所示,对剩余的隔离材料304进行回刻蚀,以露出硬掩膜302。
如图3F所示,去除硬掩模302,例如可以通过干法刻蚀去除硬掩模302,从而形成衬底结构。这里,剩余的隔离材料304即为隔离区203。
应理解,也可以根据其他方式来形成上述衬底结构,在此不再赘述。
回到图1,在步骤104,执行沟道停止离子注入,以在半导体鳍片202和隔离区203中形成杂质区401,如图4所示。优选地,在执行沟道停止离子注入之前,还可以在图2所示的衬底结构上沉积硅的氧化物层(未示出),以减小沟道停止离子注入对半导体鳍片202的损伤。
在一个实施例中,在执行沟道停止离子注入时可以对半导体鳍片202和隔离区203进行离子注入,在隔离区203中所注入的杂质的一部分通过横向扩散可以扩散到半导体鳍片202中,半导体鳍片202中的杂质区401可以作为沟道停止层。对于N沟道金属氧化物半导体(Negative Channel Metal Oxide Semiconductor,NMOS)器件来说,可以对半导体鳍片202和隔离区203进行P型离子注入,以在半导体鳍片202和隔离区203中形成第一杂质区。示例性地,P型离子注入所注入的离子可以包括硼离子或二氟化硼离子等。对于P沟道金属氧化物半导体(Positive Channel Metal Oxide Semiconductor,PMOS)器件来说,可以对半导体鳍片202和隔离区203进行N型离子注入,以在半导体鳍片202和隔离区203中形成第二杂质区。示例性地,N型离子注入所注入的离子可以包括砷离子或磷离子等。另外,在半导体装置同时包括NMOS器件和PMOS器件的情况下,可以对NMOS器件中的半导体鳍片202和隔离区203进行P型离子注入,对PMOS器件中的半导体鳍片202和隔离区203进行N型离子注入。
另外,在某些实施例中,衬底201可以包括阱区,阱区与杂质区401具有相同的导电类型,并且优选地,阱区的掺杂浓度小于杂质区401的掺杂浓度。例如,阱区可以是P阱,P阱的掺杂浓度优选小于第一杂质区的掺杂浓度。
之后,在步骤106,对隔离区203进行回刻,以露出半导体鳍片202的一部分,如图5所示。在一个实施例中,对隔离区203进行回刻后剩余的隔离区203的上表面高于杂质区401的上表面。在半导体鳍片202的表面具有衬垫层的情况下,对隔离区203进行回刻的步骤可以包括:首先去除隔离区203的一部分,以露出一部分衬垫层;然后去除露出的衬垫层,以露出半导体鳍片202的一部分。
之后,在步骤108,执行退火工艺,以激活杂质区301中的杂质,如图6所示。
图7示出了现有技术中在退火后通过沟道停止离子注入掺入的杂质的扩散情况的示意图。如图7所示,杂质区401中的杂质会扩散到上面的沟道中,从而影响器件的性能。
本公开提供的制造方法由于在对隔离区203进行回刻后才进行退火,从而可以使得一部分杂质扩散到隔离区203和半导体鳍片202的外部,如图6所示,从而减少了扩散到沟道中的杂质,改善了器件性能。
之后,可以进行后续的工艺,例如形成栅极、源极和漏极等。由于后续工艺并非本公开的重点,因此,在此不再做详细介绍。
至此,已经详细描述了根据本公开实施例的半导体装置的制造方法。为了避免遮蔽本公开的构思,没有描述本领域所公知的一些细节,本领域技术人员根据上面的描述,完全可以明白如何实施这里公开的技术方案。另外,本说明书公开所教导的各实施例可以自由组合。本领域的技术人员应该理解,可以对上面说明的实施例进行多种修改而不脱离如所附权利要求限定的本公开的精神和范围。

Claims (11)

1.一种半导体装置的制造方法,其特征在于,包括:
提供衬底结构,所述衬底结构包括:衬底、在所述衬底上的半导体鳍片、以及在所述半导体鳍片两侧的隔离区,其中,所述半导体鳍片的顶表面与所述隔离区的顶表面基本齐平;
对所述半导体鳍片和所述隔离区执行沟道停止离子注入,以在所述半导体鳍片和所述隔离区中形成杂质区;
对所述隔离区进行回刻,以露出所述半导体鳍片的一部分,回刻后剩余的隔离区的上表面高于所述杂质区的上表面;
在回刻后,执行退火工艺,以激活所述杂质区中的杂质。
2.根据权利要求1所述的方法,其特征在于,所述提供衬底结构的步骤包括:
提供初始衬底;
在所述初始衬底上形成图案化的硬掩模;
以所述硬掩模为掩膜对所述初始衬底进行刻蚀,从而形成所述衬底、所述半导体鳍片和在所述半导体鳍片两侧的凹陷;
沉积隔离材料以填充所述凹陷并覆盖所述硬掩模;
对所述隔离材料进行平坦化,以使得剩余的隔离材料的顶表面与所述硬掩模的顶表面基本齐平;
对剩余的隔离材料进行回刻蚀,以露出所述硬掩膜;
去除所述硬掩模,从而形成所述衬底结构。
3.根据权利要求2所述的方法,其特征在于,在沉积隔离材料之前,还包括:
在所述衬底和所述半导体鳍片的表面形成衬垫层。
4.根据权利要求3所述的方法,其特征在于,所述对所述隔离区进行回刻包括:
去除所述隔离区的一部分;
去除露出的衬垫层,以露出所述半导体鳍片的一部分。
5.根据权利要求1所述的方法,其特征在于,在执行沟道停止离子注入之前,还包括:
在所述衬底结构上沉积硅的氧化物层。
6.根据权利要求1所述的方法,其特征在于,所述衬底结构包括在所述衬底上的多个半导体鳍片。
7.根据权利要求1所述的方法,其特征在于,所述执行沟道停止离子注入包括:
对所述半导体鳍片和所述隔离区进行P型离子注入。
8.根据权利要求7所述的方法,其特征在于,
所述P型离子注入所注入的离子包括硼离子或二氟化硼离子。
9.根据权利要求1所述的方法,其特征在于,所述执行沟道停止离子注入包括:
对所述半导体鳍片和所述隔离区进行N型离子注入。
10.根据权利要求9所述的方法,其特征在于,
所述N型离子注入所注入的离子包括砷离子或磷离子。
11.根据权利要求1所述的方法,其特征在于,所述衬底包括阱区,所述阱区与所述杂质区具有相同的导电类型,所述阱区的掺杂浓度小于所述杂质区的掺杂浓度。
CN201610925896.3A 2016-10-31 2016-10-31 半导体装置的制造方法 Active CN108022841B (zh)

Priority Applications (3)

Application Number Priority Date Filing Date Title
CN201610925896.3A CN108022841B (zh) 2016-10-31 2016-10-31 半导体装置的制造方法
US15/728,184 US10367058B2 (en) 2016-10-31 2017-10-09 Channel stop imp for the FinFET device
EP17198882.7A EP3319128A1 (en) 2016-10-31 2017-10-27 Method to improve channel stop implantation

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
CN201610925896.3A CN108022841B (zh) 2016-10-31 2016-10-31 半导体装置的制造方法

Publications (2)

Publication Number Publication Date
CN108022841A CN108022841A (zh) 2018-05-11
CN108022841B true CN108022841B (zh) 2020-08-25

Family

ID=60191189

Family Applications (1)

Application Number Title Priority Date Filing Date
CN201610925896.3A Active CN108022841B (zh) 2016-10-31 2016-10-31 半导体装置的制造方法

Country Status (3)

Country Link
US (1) US10367058B2 (zh)
EP (1) EP3319128A1 (zh)
CN (1) CN108022841B (zh)

Families Citing this family (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN106486377B (zh) * 2015-09-01 2019-11-29 中芯国际集成电路制造(上海)有限公司 鳍片式半导体器件及其制造方法
CN107799421B (zh) * 2016-09-05 2021-04-02 中芯国际集成电路制造(上海)有限公司 半导体器件的形成方法
CN108630740B (zh) * 2017-03-16 2021-07-09 中芯国际集成电路制造(上海)有限公司 半导体结构及其形成方法
CN109216277B (zh) * 2017-06-29 2021-03-16 中芯国际集成电路制造(上海)有限公司 半导体装置的制造方法
CN113539828A (zh) * 2020-04-20 2021-10-22 中芯国际集成电路制造(上海)有限公司 半导体结构及其形成方法

Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20130095626A1 (en) * 2011-10-18 2013-04-18 Toshiyuki Sasaki Method for manufacturing semiconductor device
CN104701168A (zh) * 2013-12-05 2015-06-10 中芯国际集成电路制造(上海)有限公司 鳍式场效应晶体管的形成方法
CN104752214A (zh) * 2013-12-30 2015-07-01 中芯国际集成电路制造(上海)有限公司 鳍式场效应管的形成方法

Family Cites Families (9)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2002118255A (ja) 2000-07-31 2002-04-19 Toshiba Corp 半導体装置およびその製造方法
JP2013042067A (ja) * 2011-08-19 2013-02-28 Toshiba Corp 半導体装置およびその製造方法
US9306069B2 (en) * 2013-09-11 2016-04-05 Taiwan Semiconductor Manufacturing Company, Ltd. Isolation structure of fin field effect transistor
WO2015111238A1 (ja) * 2014-01-23 2015-07-30 株式会社ウインズジャパン 貼付シート、ヘルメット、及び製造方法
US9087860B1 (en) 2014-04-29 2015-07-21 Globalfoundries Inc. Fabricating fin-type field effect transistor with punch-through stop region
JP6344094B2 (ja) 2014-07-02 2018-06-20 富士通セミコンダクター株式会社 半導体装置の製造方法
KR102265956B1 (ko) 2014-09-29 2021-06-17 삼성전자주식회사 소스/드레인을 포함하는 반도체 소자 및 그 제조방법
US9245885B1 (en) 2014-12-05 2016-01-26 Globalfoundries Inc. Methods of forming lateral and vertical FinFET devices and the resulting product
US9905467B2 (en) * 2015-09-04 2018-02-27 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device and manufacturing method thereof

Patent Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20130095626A1 (en) * 2011-10-18 2013-04-18 Toshiyuki Sasaki Method for manufacturing semiconductor device
CN104701168A (zh) * 2013-12-05 2015-06-10 中芯国际集成电路制造(上海)有限公司 鳍式场效应晶体管的形成方法
CN104752214A (zh) * 2013-12-30 2015-07-01 中芯国际集成电路制造(上海)有限公司 鳍式场效应管的形成方法

Also Published As

Publication number Publication date
US20180122896A1 (en) 2018-05-03
CN108022841A (zh) 2018-05-11
US10367058B2 (en) 2019-07-30
EP3319128A1 (en) 2018-05-09

Similar Documents

Publication Publication Date Title
US9349831B2 (en) Integrated circuit device with well controlled surface proximity and method of manufacturing same
US10079279B2 (en) FET with local isolation layers on S/D trench sidewalls
US8659089B2 (en) Nitrogen passivation of source and drain recesses
US7745847B2 (en) Metal oxide semiconductor transistor
CN108022841B (zh) 半导体装置的制造方法
US9343300B1 (en) Methods of forming source/drain regions for a PMOS transistor device with a germanium-containing channel region
US8999794B2 (en) Self-aligned source and drain structures and method of manufacturing same
US9520502B2 (en) FinFETs having epitaxial capping layer on fin and methods for forming the same
US20180175037A1 (en) Method, apparatus, and system having super steep retrograde well with silicon and silicon germanium fins
US20140124863A1 (en) Method and structure for forming a localized soi finfet
CN107026083B (zh) 半导体装置的制造方法
US9530871B1 (en) Method for fabricating a semiconductor device
CN104681613A (zh) 半导体器件的fin结构
US20100140687A1 (en) High-Voltage MOS Devices Having Gates Extending into Recesses of Substrates
JP5772068B2 (ja) 半導体装置及びその製造方法
CN107516649B (zh) 半导体装置及其制造方法
US10163724B2 (en) Integrated circuit device and method of manufacturing same
CN104733321A (zh) 制造FinFET器件的方法
KR20170036966A (ko) 반도체 소자의 제조하는 방법
CN106816464B (zh) 半导体装置的制造方法
CN103811493A (zh) 半导体器件及其形成方法
US10026841B2 (en) Semiconductor device and manufacturing method therefor
CN109148607B (zh) 半导体装置、mos电容器及其制造方法
CN108010881B (zh) 半导体装置的制造方法
CN106653599B (zh) 半导体装置及其制造方法

Legal Events

Date Code Title Description
PB01 Publication
PB01 Publication
SE01 Entry into force of request for substantive examination
SE01 Entry into force of request for substantive examination
GR01 Patent grant
GR01 Patent grant