TWI608121B - 用於至少部分地轉換薄膜為二氧化矽及/或於蒸氣中使用紫外線硬化來改善薄膜品質以及於氨中使用紫外線硬化來改善薄膜密實化之系統及方法 - Google Patents

用於至少部分地轉換薄膜為二氧化矽及/或於蒸氣中使用紫外線硬化來改善薄膜品質以及於氨中使用紫外線硬化來改善薄膜密實化之系統及方法 Download PDF

Info

Publication number
TWI608121B
TWI608121B TW099138401A TW99138401A TWI608121B TW I608121 B TWI608121 B TW I608121B TW 099138401 A TW099138401 A TW 099138401A TW 99138401 A TW99138401 A TW 99138401A TW I608121 B TWI608121 B TW I608121B
Authority
TW
Taiwan
Prior art keywords
chamber
vapor
predetermined
substrate
deposited layer
Prior art date
Application number
TW099138401A
Other languages
English (en)
Other versions
TW201120239A (en
Inventor
巴德里N 瓦拉達拉詹
巴特 凡 史拉凡迪克
Original Assignee
諾發系統有限公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 諾發系統有限公司 filed Critical 諾發系統有限公司
Publication of TW201120239A publication Critical patent/TW201120239A/zh
Application granted granted Critical
Publication of TWI608121B publication Critical patent/TWI608121B/zh

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67098Apparatus for thermal treatment
    • H01L21/67115Apparatus for thermal treatment mainly by radiation
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02164Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon oxide, e.g. SiO2
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/0228Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition deposition by cyclic CVD, e.g. ALD, ALE, pulsed CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02318Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment
    • H01L21/02321Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment introduction of substances into an already existing insulating layer
    • H01L21/02323Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment introduction of substances into an already existing insulating layer introduction of oxygen
    • H01L21/02326Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment introduction of substances into an already existing insulating layer introduction of oxygen into a nitride layer, e.g. changing SiN to SiON
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02318Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment
    • H01L21/02337Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment treatment by exposure to a gas or vapour
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02318Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment
    • H01L21/02337Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment treatment by exposure to a gas or vapour
    • H01L21/0234Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment treatment by exposure to a gas or vapour treatment by exposure to a plasma
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02318Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment
    • H01L21/02345Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment treatment by exposure to radiation, e.g. visible light
    • H01L21/02348Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment treatment by exposure to radiation, e.g. visible light treatment by exposure to UV light
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02612Formation types
    • H01L21/02617Deposition types
    • H01L21/0262Reduction or decomposition of gaseous compounds, e.g. CVD

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Power Engineering (AREA)
  • Plasma & Fusion (AREA)
  • Health & Medical Sciences (AREA)
  • Toxicology (AREA)
  • Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Formation Of Insulating Films (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)

Description

用於至少部分地轉換薄膜為二氧化矽及/或於蒸氣中使用紫外線硬化來改善薄膜品質以及於氨中使用紫外線硬化來改善薄膜密實化之系統及 方法
本發明係有關於用於至少部分地轉換薄膜為二氧化矽及/或於蒸氣中使用紫外線硬化來改善薄膜品質以及於氨中使用紫外線硬化來密實薄膜之系統及方法。
於此所述的背景內容係一般用以表示本發明之習知技術與本案之前後關係。就於此背景部分敘述之發明人的作品而言,不應表達或暗示性地被當作核駁本發明之先前技術,亦不適格作為申請時之先前技術。
多數半導體製程係使氧化矽(如SiO,SiO2,SiOxHy)形成為薄膜或沉積層於基板上。用以形成氧化矽之方法可包含化學氣相沉積(Chemical Vapor Deposition,CVD)(如熱或電漿輔助化學氣相沉積、高密度電漿(HDP)化學氣相沉積)。惟,某些應用如前金屬介電質(pre-metal dielectric,PMD)、夾層介電質(interlayer dielectric,ILD)或淺溝槽隔離(shallow trench isolation,STI)係要求高深寬比(aspect ratio)之填充,而隨著高深寬比增加,採用此等化學氣相沉積方法來填充間隙則會更趨困難。
亦能夠採用可流動材料(flowable materials)如可流動氧化物、旋塗介電質(spin-on dielectric,SOD)、旋塗玻璃(SOG)及/或旋塗聚合物(SOP),其中可流動材料傾向具有適合於高深寬比應用的良好間隙填充特性。加以應用後,沉積層便會進一步進行轉換沉積層為高密度介電質及/或轉換沉積層為二氧化矽的製程。一般而言,可流動材料亦須具有符合高密度電漿氧化物之薄膜特性(如低濕式蝕刻速率比值(wet etch rate ratio,WERR)(如相較於熱生成二氧化矽為小於1.2:1或1.5:1)與高密度)。僅為例示,旋塗介電質可包含聚矽氮烷(polysilazanes,PSZs),而旋塗玻璃則可包含矽氧烷(siloxane)、半矽氧烷(silsesquioxanes)與矽氮烷。
就具有相對較高之積存熱量(thermal budget,熱預算)的淺溝槽隔離應用而言,可在氧化環境(典型上為氧氣或蒸氣)中的高溫下完成沉積層的轉換。當氧化環境為氧氣時,將會發生薄外皮形成(thin crust-formation)且低品質的薄膜常會殘留於薄外皮層之下,而相較於氧氣,蒸氣則傾向具有較佳的氧化及穿透特性,若無氮化矽襯層(silicon nitride liner)則底下的矽在應用中會有發生氧化之虞。不可將氧化環境中的高溫使用於某些具有較低積存熱量(thermal budgets熱預算)的應用中,而該積存熱量係由一特定溫度下的期間來決定。僅為例示,某些前金屬介電質的應用係以一特定期間具有400℃以下之積存熱量。
於低溫下轉換沉積層為密實的氧化物極富挑戰性。僅為例示,在400℃或400℃以下的蒸氣退火(annealing)典型上並不會使其完全轉換為氧化物,即使在較長的退火期間如30分鐘之後亦不會使其完全轉換。此外,所形成的氧化物品質通常因存有矽烷醇(silanols,SiOH)而無法令人滿意,結果導致該氧化物具低密度與高濕式蝕刻速率比值。
次大氣壓化學氣相沉積(sub-atmospheric chemical vapor deposition,SACVD)可用於沉積氧化物。亦可採用各種其他技術如電漿輔助化學氣相沉積(PECVD)、原子層沉積(Atomic Layer Deposition,ALD)與電漿輔助原子層沉積(PEALD)來沉積低溫氧化物。一般而言,此等方法無法充分地填充凹角結構(reentrant structure),此等方法亦可能具有顯著轉換後矽烷醇含量、高濕式蝕刻速率比值及/或低密度,其需要超出積存熱量之高溫退火步驟來加以解決。
亦可在轉換後進一步進行處理以減少矽烷醇(SiOH)及/或增大沉積層的密度。用以增大該密度的處理須處於該應用的積存熱量範圍內。一方法係有關於在積存熱量所允許的最高溫度與最長期間下來對沉積層實施退火。以淺溝槽隔離間隙填充應用為例,其允許一特定期間下的較高溫如700~800℃(惟較佳非在氧化環境下)。在此場合中,對沉積層實施退火以使矽烷醇釋出,並進一步增大該氧化物的密度。在一特定期間下的積存熱量為400~480℃的應用中,退火處理極為受限。退火處理可潛在地減少沉積層中的自由氫氧基(free OH),惟在此等低溫下並無法達到密實化及矽烷醇的移除。
一種用以處理基板的方法,包括:於腔室中供給蒸氣;於腔室中以含有矽的沉積層來配置基板;以及於預定的轉換期間,在蒸氣的存在下照射紫外光於沉積層上來至少部分地轉換沉積層。
在其他形態中,該方法包括在預定的轉換期間內調整腔室中的蒸氣分壓至大於腔室中的氣體體積的70%。該方法包括在預定的轉換期間內調整腔室中的蒸氣分壓至大於腔室中的氣體體積的15%並小於腔室中的氣體體積的70%。該方法包括在預定的轉換期間前,於預定浸漬期間在預定溫度下將基板浸漬於蒸氣中。
在其他形態中,該方法包括:於腔室中供給蒸氣前,供給稀釋氣體(diluent gas)至腔室以於腔室中產生預定壓力;在達到該預定壓力後,供給蒸氣至腔室中;以及在等待足以建立一預定蒸氣分壓的一預定期間之前或之後,配置基板於腔室中。
在其他形態中,該方法包括:於腔室中同時供給稀釋氣體與蒸氣;調整該蒸氣與該稀釋氣體的流量來設立預定的蒸氣分壓;以及配置基板於腔室中。
在其他形態中,該方法包括:配置基板於腔室中的基座(pedestal)上;以及在預定的轉換期間內控制該基座的溫度。
在其他形態中,在預定的轉換期間內控制基座的溫度以使基板的溫度低於480℃。該方法包括於腔室與另一腔室的至少其中之一中,於預定的轉換期間後增大沉積層的密度。增大該密度進一步包括:於腔室與另一腔室的至少其中之一中供給氨;以及於腔室與另一腔室的至少其中之一中,於預定的密實化期間,在氨的存在下照射紫外光於沉積層上。
在其他形態中,增大該密度進一步包括:於腔室與另一腔室的至少其中之一中供給稀釋氣體;以及於腔室與另一腔室的至少其中之一中,於預定的密實化期間,在稀釋氣體的存在下照射紫外光於沉積層上。
在其他形態中,增大該密度進一步包括:在預定的轉換期間後對沉積層進行加熱。該沉積層係選擇自可流動氧化物、旋塗介電質(SOD)、旋塗玻璃(SOG)及/或旋塗聚合物(SOP)所構成的群組。該紫外光係包含寬頻帶紫外光(broadband UV light)。
一種處理系統包括一腔室、以及於該腔室中供給蒸氣的一蒸氣源。一紫外線源於預定的轉換期間,在來自該蒸氣源之蒸氣的存在下照射紫外光於基板的沉積層上來至少部分地轉換沉積層。
在其他形態中,在預定的轉換期間內,腔室中的蒸氣分壓係大於腔室中的氣體體積的15%並小於腔室中的氣體體積的70%。在預定的轉換期間內,腔室中的蒸氣分壓係大於腔室中的氣體體積的70%。在預定的轉換期間前,於預定浸漬期間將基板浸漬於蒸氣中。
在其他形態中,在蒸氣源供給蒸氣之前,稀釋氣體源供給稀釋氣體至腔室以供於腔室產生預定壓力。在達到該預定壓力後,該蒸氣源便導入蒸氣至腔室中。在符合所要之蒸氣分壓的預定期間之後,配置基板於腔室中。
在其他形態中,稀釋氣體源係供給稀釋氣體而蒸氣源則供給蒸氣。稀釋氣體源係調整稀釋氣體流量且蒸氣源係調整蒸氣流量來達到所要的蒸氣分壓。
在其他形態中,在預定的轉換期間加熱器係對基座進行加熱。該加熱器係對該基座進行加熱以使基板的溫度低於480℃。在預定的轉換期間後基板的密度即增大。
在其他形態中,氨源係於腔室與另一腔室的其中之一中供給氨。藉由於預定的密實化期間,在氨的存在下照射紫外光於沉積層上來增大基板的密度。
在其他形態中,稀釋氣體源係於腔室與另一腔室的其中之一中供給稀釋氣體。藉由於預定的密實化期間,在稀釋氣體中照射紫外光於沉積層上來增大基板的密度,並對基板實施退火來增大基板的密度。該沉積層係選擇自可流動氧化物、旋塗介電質(SOD)、旋塗玻璃(SOG)及/或旋塗聚合物(SOP)所構成的群組。紫外光係提供寬頻帶紫外光。
一種用於密實基板之沉積層的方法,包括:於腔室中供給氨;於腔室中配置包含沉積層的基板;以及於預定轉換期間,在氨的存在下照射紫外光於該沉積層上來至少部分地密實該沉積層。
在其他形態中,該方法包括:在密實沉積層之前,於腔室與另一腔室的其中之一中,於蒸氣中使用紫外線硬化來轉換沉積層為氧化矽。
在其他形態中,該方法包括:在密實沉積層之前,於腔室與另一腔室的其中之一中供給蒸氣;於腔室與另一腔室的其中之一中配置包含沉積層的基板;以及於預定轉換期間,在蒸氣的存在下照射紫外光於沉積層上來至少部分地轉換沉積層。
在其他形態中,該方法包括在預定的轉換期間內調整腔室與另一腔室的其中之一中的蒸氣分壓至大於腔室中的氣體體積的70%。該方法包括在預定的轉換期間內調整腔室與另一腔室的其中之一中的蒸氣分壓至大於腔室中的氣體體積的15%並小於腔室中的氣體體積的70%。
在其他形態中,該方法包括在預定的轉換期間之前,於預定浸漬期間將基板浸漬於蒸氣中。該沉積層係選擇自可流動氧化物、旋塗介電質(SOD)、旋塗玻璃(SOG)及/或旋塗聚合物(SOP)所構成的群組。紫外光係包含寬頻帶紫外光。
本發明所揭示者進一步可應用的領域將於後述之詳細內容中更趨明顯。應理解詳細內容與特殊示例僅意圖進行說明,並非意圖限定本發明之揭示範圍。
以下所述僅為實際上之示例而非意圖限定本發明之揭示、其應用或用途。為了清楚說明,將於圖式中相似的元件使用相同的參照符號來辨識。如其中所使用,應使用非專有之「邏輯OR」來對詞組「A、B與C的至少其中之一」進行解釋以意指一邏輯關係(A或B或C)。應理解一方法中的步驟可在不改變本發明之揭示的原則下以不同順序來執行。
本發明係敘述用於至少部分地轉換沉積層為二氧化矽及/或於蒸氣中使用紫外線硬化來改善薄膜品質之系統及方法,且本發明亦有關於氨中使用紫外線硬化來密實沉積層之系統及方法。於此所述之沉積層的密實化可在部分地轉換沉積層為二氧化矽後來實施。另一方面,沉積層的密實化可實施於任何沉積層上,換言之,能夠相互地以共同或彼此獨立的方式來採用進行轉換與密實化的方法。如此處所使用,術語「氧化矽」係指「氧化矽(SiO)、二氧化矽(SiO2)、矽烷醇(SiOxHy)與其他包含氧化矽之化合物」。沉積層的轉換係有助於充分促使外皮形成並改善薄膜品質,且薄膜品質與密度上的進一步改善可由此處所述之密實化方法來達成。
此時參照圖1,其顯示半導體處理系統10包括於蒸氣中使用紫外線硬化來轉換沉積層為氧化矽的腔室(chamber)14。僅為例示,該沉積層可含有包含矽的可流動材料。半導體處理系統10進一步包括配置於腔室14內的基座18,且基板22可配置於該基座18上。基板22可包含形成於單面上的沉積層。僅為例示,基板22可包含半導體基板。
含一種以上之稀釋氣體的氣體源23可經由一個/或一個以及的閥24和導管(conduit)來連接於腔室14。該稀釋氣體可包含惰性氣體(inert gas,鈍氣)、非活性氣體及/或其他類型之氣體。僅為例示,合適的稀釋氣體包括氦氣、氬氣及氧氣,但亦可採用其他稀釋氣體。蒸氣源26可經由一個/或一個以上的閥30和導管來連接於腔室14。紫外線源34係配置於腔室14內,以提供寬頻帶紫外光及/或單一波長紫外光,紫外線源34的強度可隨最大輸出位準的百分率而變化。
僅為例示,紫外線源34可提供介於200~280nm的寬頻帶紫外光,但亦可使用其他紫外線範圍。僅為例示,一合適的紫外線源可具有來自185~400nm的最大輸出位準800mW/cm2,且該紫外線源的強度可在最大功率輸出位準(power output level)的0~100%之間變化,但亦可使用其他最大輸出位準與強度的範圍。另一合適的紫外線源可具有來自200~280nm的最大輸出位準800mW/cm2,且該紫外線源之強度可變化於最大功率輸出位準的35~100%之間,但亦可使用其他最大輸出位準與強度的範圍。另一合適的紫外線源可於一種以上的波長如172nm、222nm或其他波長值處輸出能量。另一合適的紫外線源則可包括一汞燈(mercury lamp),該汞燈係輸出一種或一種以上波長峰值的寬頻帶紫外光。如上所述,可理解:能夠使用一個以上的紫外線濾波器(UV filters)來選擇一種或一種以上的波長或波長範圍。
該紫外線源34係照射紫外光於基板22的沉積層。一個或一個以上的感測器可配置於腔室14內,以監測其中之操作條件。僅為例示,壓力感測器38與溫度感測器42可配置於腔室14內,以監測腔室14中的壓力與溫度。溫度感測器42可配置成極靠近基座18或與基座18接觸,以監測基座18的溫度。可使用另外的感測器43來監測基板22的溫度,僅為例示,該感測器43可包含一紅外線感測器。感測器42、43係監測基座18與基板22間的溫差。
可備有加熱器46以調整基座18的溫度。控制器48係與加熱器46、閥24,30、壓力感測器38與溫度感測器42連通。控制器48可執行控制程式來對加熱器46及/或閥24、30進行操作。控制器48亦可視需求與一機械手連通,以將基板22定位於腔室14中並移動基板22至一卡匣、其他工作站或其他腔室。
此時參照圖2,半導體處理系統60包括腔室61,該腔室61可包括一個以上的工作站62-1、62-2、62-3、…與62-N(集合成工作站62),其中N為大於零之整數。當腔室61以多個工作站來表示時,亦可採用多個腔室或單一工作站之腔室,且某些工作站或腔室可未具備紫外光源。
在進行如此處所述的至少部分轉換、薄膜品質改善及/或密實化之後,可利用機械手64從卡匣68取回基板22,移動基板22至工作站62或從工作站移動基板22,並送回基板22至卡匣68。如上所述,可理解:能夠移動基板22通過每個工作站62。在某些實施例中,腔室61的工作站62能夠在相同或不同的溫度與紫外線強度條件下進行操作、以及以相同或不同的氣體與壓力條件進行操作。當使用多個腔室時,每個腔室中的溫度、紫外線強度以及氣體與壓力條件可相異。在某些實施例中,該半導體處理系統可包含Novellus Systems,Inc.所提供之紫外線熱處理(UVTP)系統,但亦可採用其他半導體處理系統。
此時參照圖3A、3B,其等顯示根據本發明所揭示之於蒸氣中使用紫外線硬化來轉換沉積層的方法。圖3A中,控制係始於步驟110,其中基座18的溫度係設為一預定溫度。僅為例示,基座18的溫度可設為小於該應用中之積存熱量的極限值。在某些實施例中,該積存熱量係於特定期間為小於或等於480℃。在其他實施例中,該積存熱量則於特定期間為小於或等於400℃。
於步驟114,腔室14中的蒸氣分壓係相對於稀釋氣體來調整。僅為例示,所要之蒸氣分壓可如下產生:起初可導入稀釋氣體而設立所要之壓力。僅為例示,該壓力可設為介於0~700托耳(Torr),但亦可使用其他壓力。在某些實施例中,可使用壓力值50托耳。
爾後,可關閉稀釋氣體並以一預定期間導入蒸氣。在關閉稀釋氣體並導入蒸氣後,於腔室14中導入基板22之前改變預定等待期間係有助於改變分壓條件。在其他系統中,蒸氣與稀釋氣體可同時導入,可調整其中之一或兩者直到對晶圓進行處理為止。
僅為例示並作為粗估,低分壓可符合該預定等待期間為約5分鐘或更短,中蒸氣分壓可符合該預定等待期間為約10分鐘,且高蒸氣分壓可符合該預定等待期間為約15分鐘。如上所述,可理解:該等待期間將取決於特別實施例的詳細內容。
僅為例示,在低分壓下導入基板22至腔室14將會轉換聚矽氮烷(PSZ)薄膜為氮化矽(SiN),在中分壓下導入基板22至腔室14將會部分地轉換聚矽氮烷薄膜為氫氧化矽(SiOH),而在高分壓下導入基板22至腔室14則會完全轉換聚矽氮烷薄膜為二氧化矽(SiO2)。在某些實施例中,基板22可在調整分壓或達到所要的分壓之前定位於腔室中。
在此例中,低分壓係符合小於15%之蒸氣的分壓,中分壓係符合大於15%且小於70%之蒸氣的分壓,而高分壓則符合大於70%之蒸氣的分壓。如上所述,可理解:其他半導體處理系統能夠允許直接控制該分壓。
於步驟116,當腔室14中已達到所要的蒸氣分壓時,即可將基板22定位於腔室14中的基座18上。在某些實施例中,可在調整或達到分壓之前將基板22定位於腔室14中。於步驟120,以一預定期間使基板22浸漬或硬化(進行蒸氣退火)於蒸氣中。於步驟124,在該預定期間之後開啟紫外線源34,並以第二預定期間於蒸氣中對基板22進行紫外線硬化。
圖3B中,半導體處理系統可包括一個以上的腔室14。一方法始於步驟130,其中基座18的溫度係設為一種或一種以上的預定溫度。在腔室14的工作站中,該基座的溫度可相同或相異。於步驟134,腔室14中的蒸氣分壓係相對於稀釋氣體來調整。於步驟136,當腔室14中已達到所要的蒸氣分壓時,即可將基板22定位於腔室14中的工作站之一的基座18上。如上所述,可理解:可在調整分壓或達到所要的分壓之前配置該基板於該腔室中。於步驟150,以一預定期間使基板22浸漬於蒸氣中或於蒸氣中硬化(進行蒸氣退火)。於步驟154,在該預定期間之後開啟紫外線源34,並以第二預定期間於蒸氣中對基板22進行紫外線硬化。
於步驟158,該方法將決定是否需要移動基板22至腔室14的另一工作站。若步驟158為「是」,則基板即被移動至腔室14的另一工作站(步驟162),且該方法繼續進行(步驟150)。若步驟158為「否」則該方法結束。當圖3B的方法與自腔室14的一個工作站移動一個基板22至至另一工作站有關時,可對多塊基板22進行處理,爾後以管線(pipeline)方式移動之。另一方面,可採用多個腔室或單一工作站腔室。
在一典型實施例中,可於一個腔室14中藉由多個工作站對多塊基板進行處理,並可對每塊基板控制紫外線強度與溫度。當該基板位於同一腔室的不同工作站時,該基板可具備共同或不同的氣體條件。另一方面,每塊基板可具備不同的氣體條件,且基座溫度可設為300℃。在未進行紫外線硬化的情況下,使用第一工作站來浸漬該基板,第二、第三與第四工作站則分別具備最大強度的35%、35%與35%的紫外線強度設定(值)。在每個工作站中對該基板進行處理5分鐘。
在另一典型實施例中,基座的溫度設為300℃。在未進行紫外線硬化的情況下,使用第一工作站來浸漬該基板,第二、第三與第四工作站則具備最大強度的35%、50%與75%的紫外線強度設定。在每個工作站中對該基板進行處理4分鐘。
在又一典型實施例中,基座的溫度設為300℃。在未進行紫外線硬化的情況下,使用第一工作站來浸漬該基板,第二、第三與第四工作站則具備最大強度的100%、100%與100%的紫外線強度設定。在每個工作站中對該基板進行處理2~3分鐘。如上所述,可理解:能夠對一特定的應用來改變紫外線強度、硬化時間、工作站或腔室的數目、基座溫度、壓力及/或其餘參數。
此時參照圖4,此圖係表示根據本發明所揭示之於蒸氣中對旋塗介電質進行紫外線硬化後之傅立葉轉換紅外光譜(Fourier Transform infrared spectroscopy,FTIR)的結果。初沉積(as deposited)旋塗介電質顯示出相對較高的矽氫鍵(Si-H bond)(2000-2260cm-1)及部分矽氮鍵(Si-N bond)(820-1020cm-1)濃度。於蒸氣中進行紫外線硬化後,該矽氫鍵與部分矽氮鍵便實質上轉換為矽氧鍵(Si-O bond)(1066cm-1)。在此實施例中,於蒸氣中進行紫外線硬化之前,以一浸漬期間使基板浸漬於蒸氣中。
此時參照圖5,此圖係表示根據本發明所揭示之於蒸氣中對實施蒸氣退火後的旋塗介電質進行紫外線硬化後之傅立葉轉換紅外光譜的結果。於蒸氣中進行紫外線硬化後,藉由於蒸氣中進行紫外線硬化使矽氫鍵與部分矽氮鍵進一步轉換為矽氧鍵(1066cm-1)。在此實施例中,於蒸氣中進行紫外線硬化之前,以一硬化期間(長於該浸漬期間)使基板於蒸氣中硬化。
此時參照圖6,此圖係表示使旋塗介電質於鈍氣環境中硬化後之傅立葉轉換紅外光譜的結果。初沉積旋塗介電質顯示出相對較高的矽氫鍵(2000-2260cm-1)及部分矽氮鍵(820-1020cm-1)濃度。進行紫外線硬化後,矽氫鍵便減少到少於藉由於蒸氣中進行紫外線硬化所達到的程度。部分矽氮鍵增多且未發生矽氧鍵的轉換。
此時參照圖7A、7B,其等顯示根據本發明所揭示之於蒸氣中使用紫外線硬化來轉換沉積層之後密實氧化物的方法。圖7A中,基座的溫度設為150℃。於步驟154,腔室14中的蒸氣分壓係相對於稀釋氣體來設定。於步驟156,將基板22定位於腔室14中。如上所述,可理解:該基板可在調整分壓或達到所要的分壓之前定位於該腔室中。於步驟158,該方法係等待第一預定期間。於步驟162,該方法係開啟紫外線源34並以第二預定期間進行硬化。於步驟166,該方法係增大基板的密度。
可使用任何合適的方法來增大基板22的密度。僅為例示,可採用稀釋氣體如氬氣中的退火處理來增大基板22的密度。另一方面,可使用進一步之蒸氣、氨或氦氣中的紫外線硬化來增大基板22的密度。當採用氨時,可於有/無其他氣體的存在下將腔室加壓至介於1~600托耳。僅為例示,可於無其他氣體的存在下使用50托耳與600托耳。在其他實施例中,可在高於600托耳、於大氣壓力或高於大氣壓力(例如大於760托耳)之較高的壓力下對該腔室進行操作。
圖7B中,係進行與圖7A中所述者相似的步驟。在增大一個以上之基板中的層的密度(166)之前,可進行一處理步驟以移除過載(overburden)(170)。舉例而言,可進行化學機械拋光(chemical mechanical polishing,CMP)以移除過載並使基板22的頂端面平坦化。
此時參照圖8A、8B,其等顯示根據本發明所揭示之於氨中使用紫外線硬化來進行密實化的方法。圖8A中,該方法係始於步驟204,於其中設定基座溫度。於步驟214,在腔室14中提供氨。於步驟218,將基板22定位於腔室14中的基座18上。於步驟220,該方法係等待第一預定期間以進行浸漬。於步驟224,開啟紫外線源34並以第二預定期間對基板22進行硬化。
圖8B中,該方法係始於步驟234,於其中設定腔室14之工作站中的基座溫度。於步驟244,在腔室14中提供氨。於步驟248,將基板22定位於腔室14之一個工作站的基座18上。於步驟250,該方法將等待第一預定期間。於步驟254,開啟紫外線源34並以第二預定期間對基板22進行硬化。在第二預定期間之後,該方法將決定是否需要移動基板22至腔室14的另一工作站(步驟258)。若步驟258為「是」,該方法即移動基板22至腔室14的下一個工作站(步驟262),否則該方法便結束。
在一典型實施例中,可採用具備四個工作站的腔室14。基座的溫度設為300℃、330℃、370℃及400℃。腔室14的第一、第二、第三與第四工作站具有設於100%的紫外線強度設定。以7.5分鐘將基板22定位於每個腔室14中。
當上述示例中採用氨時,可採用以單獨或與其他化學物種組合的方式存在於處理腔室中的其他光活性化學品(photoactive chemicals)。合適之試劑的示例包括氨(NH3)、類氨化合物(NH3-like compound)(其中氫係由氘(deuterium)所取代(如NH2D,NHD2,ND3))、氫氣(H2)、聯氨(N2H4)或其他光活性氣體,其他光活性氣體為:可產生氫自由基(H-radical)者,如四甲基矽烷(tetramethylsilane,4MS)、三甲基矽烷(3MS)或胺類(如甲胺、二甲胺、三甲胺或乙胺);烯類,如乙烯或丙烯;炔類,如乙炔;或藉彼此將其等組合者及/或惰性氣體,如氦氣(He)、氮氣(N2)或氬氣(Ar)。在特殊示例中,還原氣體可為氨或氫氣,一特殊示例為氨。隨著紫外光與此等光活性化學品作用並助於密實化,處於非蒸環境圍的紫外光亦變得有助於密實薄膜。
可採用其他密實化方法(除上述處於非蒸氣環境下的紫外線之外或除此之外的另外方法)。僅為例示,該沉積層可暴露於高密度電漿(HDP)之下。該高密度電漿可包括氧化氧氣電漿(oxidizing O2 plasma),惟該高密度電漿亦可包括氦氣、氬氣、氫氣或氮氣。該高密度電漿能夠以基座上的射頻偏壓(radio frequency bias)來運作,或不需基座上的射頻偏壓即可運作,且該射頻偏壓可能會影響密實化的深度。其他示例包括使用氧氣中的紫外線硬化,該氧氣中的紫外線硬化可於大氣壓力、高於大氣壓力或低於大氣壓力下來進行。僅為例示,紫外線硬化可於1~700托耳(低於大氣壓力)下來進行。相較於較低之壓力,較高之壓力(如600托耳)係有助於促進氧自由基的產生並生成臭氧(ozone)。另一示例包括將該沉積層暴露於一遠端氧氣電漿源(remote plasma source of O2),其產生有助於密實該沉積層的氧自由基。另一示例包括在接近(但仍小於)處理過程中之積存熱量的溫度下進行退火,且該退火處理可於惰性氣體如氮氣、氦氣、氧氣或水蒸氣下進行。又一示例則使用氫氣或氨來產生氫自由基,以助於密實化。
本發明之廣泛教示內容能夠以多種形式來實施之。因此,當本發明之揭示包括特殊示例時,由於在研討圖示、說明書與後述申請專利範圍時顯然可加以適當加以變化或修正,故不應限定本發明之揭示的範圍。
10、60...半導體處理系統
14、61...腔室
18...基座
22...基板
23...氣體源
24...閥
26...蒸氣源
30...閥
34...紫外線源
38...壓力感測器
42...溫度感測器
43...感測器
46...加熱器
48...控制器
62-1~62-N...工作站
64...機械手
68...卡匣
110、114、116、120、124...步驟
130、134、136、150、154、156、158、162、166、170...步驟
204、214、218、220、224...步驟
234、244、248、250、254、258、262...步驟
由詳細說明與附圖,本發明所揭示將趨於更完整的瞭解,其中:
圖1為根據本發明所揭示之用以於蒸氣中使用紫外線硬化來轉換沉積層之腔室的功能性方塊圖;
圖2為說明根據本發明所揭示之包括具備用以於蒸氣中進行紫外線硬化之多個工作站之腔室的系統的功能性方塊圖;
圖3A、3B係說明根據本發明所揭示之用以於蒸氣中使用紫外線硬化來轉換沉積層的方法;
圖4為表示根據本發明所揭示之於蒸氣中對旋塗介電質進行紫外線硬化後之傅立葉轉換紅外光譜的結果的圖;
圖5為表示根據本發明所揭示之於蒸氣中對實施蒸氣退火後的旋塗介電質進行紫外線硬化後之傅立葉轉換紅外光譜的結果的圖;
圖6為表示使旋塗介電質於鈍氣環境中硬化後之傅立葉轉換紅外光譜的結果的圖;
圖7A、7B係說明根據本發明所揭示之於蒸氣中使用紫外線硬化來轉換沉積層之後進行密實化的方法;以及
圖8A、8B係說明根據本發明所揭示之於氨中使用紫外線硬化來進行密實化的方法。
10...半導體處理系統
14...腔室
18...基座
22...基板
23...氣體源
24...閥
26...蒸氣源
30...閥
34...紫外線源
38...壓力感測器
42...溫度感測器
43...感測器
46...加熱器
48...控制器

Claims (16)

  1. 一種用於處理基板的方法,包括:於一腔室中供給蒸氣;於該腔室中設置具備含有矽的一沉積層之一基板,其中該沉積層包含可流動膜、旋塗介電質(SOD)、旋塗玻璃(SOG)、及/或旋塗聚合物(SOP);以及於一預定的轉換期間,在蒸氣的存在下照射紫外光於該沉積層上來將該沉積層從該可流動膜、該SOD、及/或該SOP至少部分地轉換成氧化矽。
  2. 如申請專利範圍第1項所述的方法,進一步包括:在該預定的轉換期間內調整該腔室中的一蒸氣分壓至大於該腔室中的氣體體積的70%。
  3. 如申請專利範圍第1項所述的方法,進一步包括:在該預定的轉換期間內調整該腔室中的一蒸氣分壓至大於該腔室中的氣體體積的15%並小於該腔室中的氣體體積的70%。
  4. 如申請專利範圍第1項所述的方法,進一步包括:在該預定的轉換期間之前,於一預定浸漬期間在一預定溫度下將該基板浸漬於蒸氣中。
  5. 如申請專利範圍第1項所述的方法,進一步包括:於該腔室中供給蒸氣之前,供給稀釋氣體至該腔室以於該腔室中產生一預定壓力;在達到該預定壓力之後,供給蒸氣至該腔室中;以及在等待足以設立一預定蒸氣分壓的一預定期間之前或之後,配置該基板於該腔室中。
  6. 如申請專利範圍第1項所述的方法,進一步包括:於該腔室中同時供給稀釋氣體與蒸氣;調整該蒸氣與該稀釋氣體的流量來設立一預定的蒸氣分壓;以及配置該基板於該腔室中。
  7. 如申請專利範圍第1項所述的方法,進一步包括:配置該基板於該腔室中的一基座上;以及在該預定的轉換期間內控制該基座的溫度。
  8. 如申請專利範圍第7項所述的方法,其中,在該預定的轉換期間內控制該基座的溫度以使該基板的溫度低於480℃。
  9. 如申請專利範圍第1項所述的方法,進一步包括:於該腔室與另一腔室的至少其中之一中,在該預定的轉換期間後增大該沉積層的密度。
  10. 如申請專利範圍第9項所述的方法,其中,增大該密度進一步包括:於該腔室與另一腔室的至少其中之一中供給氨;以及於該腔室與另一腔室的至少其中之一中,於一預定的密實化期間,在氨的存在下照射紫外光於該沉積層上。
  11. 如申請專利範圍第9項所述的方法,其中,增大該密度進一步包括:於該腔室與另一腔室的至少其中之一中供給稀釋氣體;以及於該腔室與另一腔室的至少其中之一中,於一預定的密實化期間,在該稀釋氣體的存在下照射紫外光於該沉積層上。
  12. 如申請專利範圍第9項所述的方法,其中,增大該密度進一步包括:在該預定的轉換期間後對該沉積層進行加熱。
  13. 如申請專利範圍第1項所述的方法,其中,該紫外光係包含寬頻帶紫外光。
  14. 如申請專利範圍第5項所述的方法,其中,該稀釋氣體包含氧。
  15. 如申請專利範圍第6項所述的方法,其中,該稀釋氣體包含氧。
  16. 如申請專利範圍第11項所述的方法,其中,該稀釋氣體包含氧。
TW099138401A 2009-11-12 2010-11-08 用於至少部分地轉換薄膜為二氧化矽及/或於蒸氣中使用紫外線硬化來改善薄膜品質以及於氨中使用紫外線硬化來改善薄膜密實化之系統及方法 TWI608121B (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US26071509P 2009-11-12 2009-11-12
US12/854,421 US8528224B2 (en) 2009-11-12 2010-08-11 Systems and methods for at least partially converting films to silicon oxide and/or improving film quality using ultraviolet curing in steam and densification of films using UV curing in ammonia

Publications (2)

Publication Number Publication Date
TW201120239A TW201120239A (en) 2011-06-16
TWI608121B true TWI608121B (zh) 2017-12-11

Family

ID=49943627

Family Applications (1)

Application Number Title Priority Date Filing Date
TW099138401A TWI608121B (zh) 2009-11-12 2010-11-08 用於至少部分地轉換薄膜為二氧化矽及/或於蒸氣中使用紫外線硬化來改善薄膜品質以及於氨中使用紫外線硬化來改善薄膜密實化之系統及方法

Country Status (4)

Country Link
US (2) US8528224B2 (zh)
JP (1) JP2011109086A (zh)
KR (1) KR101799594B1 (zh)
TW (1) TWI608121B (zh)

Families Citing this family (318)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10378106B2 (en) 2008-11-14 2019-08-13 Asm Ip Holding B.V. Method of forming insulation film by modified PEALD
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
US8802201B2 (en) 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
US8528224B2 (en) * 2009-11-12 2013-09-10 Novellus Systems, Inc. Systems and methods for at least partially converting films to silicon oxide and/or improving film quality using ultraviolet curing in steam and densification of films using UV curing in ammonia
US9312155B2 (en) 2011-06-06 2016-04-12 Asm Japan K.K. High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules
US10364496B2 (en) 2011-06-27 2019-07-30 Asm Ip Holding B.V. Dual section module having shared and unshared mass flow controllers
US10854498B2 (en) 2011-07-15 2020-12-01 Asm Ip Holding B.V. Wafer-supporting device and method for producing same
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
KR101568748B1 (ko) * 2011-11-01 2015-11-12 가부시키가이샤 히다치 고쿠사이 덴키 반도체 장치의 제조 방법, 반도체 장치의 제조 장치 및 기록 매체
US9659799B2 (en) 2012-08-28 2017-05-23 Asm Ip Holding B.V. Systems and methods for dynamic semiconductor process scheduling
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
US9484191B2 (en) 2013-03-08 2016-11-01 Asm Ip Holding B.V. Pulsed remote plasma method and system
US9589770B2 (en) 2013-03-08 2017-03-07 Asm Ip Holding B.V. Method and systems for in-situ formation of intermediate reactive species
US9240412B2 (en) 2013-09-27 2016-01-19 Asm Ip Holding B.V. Semiconductor structure and device and methods of forming same using selective epitaxial process
US10683571B2 (en) 2014-02-25 2020-06-16 Asm Ip Holding B.V. Gas supply manifold and method of supplying gases to chamber using same
US10167557B2 (en) 2014-03-18 2019-01-01 Asm Ip Holding B.V. Gas distribution system, reactor including the system, and methods of using the same
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
JP6428466B2 (ja) * 2014-06-23 2018-11-28 東京エレクトロン株式会社 基板処理方法、基板処理装置、基板処理システム及び記憶媒体
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US9657845B2 (en) 2014-10-07 2017-05-23 Asm Ip Holding B.V. Variable conductance gas distribution apparatus and method
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
KR102263121B1 (ko) 2014-12-22 2021-06-09 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 및 그 제조 방법
US10529542B2 (en) 2015-03-11 2020-01-07 Asm Ip Holdings B.V. Cross-flow reactor and method
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10600673B2 (en) 2015-07-07 2020-03-24 Asm Ip Holding B.V. Magnetic susceptor to baseplate seal
US10083836B2 (en) 2015-07-24 2018-09-25 Asm Ip Holding B.V. Formation of boron-doped titanium metal films with high work function
US9728402B2 (en) * 2015-08-21 2017-08-08 Taiwan Semiconductor Manufacturing Company, Ltd. Flowable films and methods of forming flowable films
US9960072B2 (en) 2015-09-29 2018-05-01 Asm Ip Holding B.V. Variable adjustment for precise matching of multiple chamber cavity housings
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US10322384B2 (en) 2015-11-09 2019-06-18 Asm Ip Holding B.V. Counter flow mixer for process chamber
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10468251B2 (en) 2016-02-19 2019-11-05 Asm Ip Holding B.V. Method for forming spacers using silicon nitride film for spacer-defined multiple patterning
US10501866B2 (en) 2016-03-09 2019-12-10 Asm Ip Holding B.V. Gas distribution apparatus for improved film uniformity in an epitaxial system
US10343920B2 (en) 2016-03-18 2019-07-09 Asm Ip Holding B.V. Aligned carbon nanotubes
US9892913B2 (en) 2016-03-24 2018-02-13 Asm Ip Holding B.V. Radial and thickness control via biased multi-port injection settings
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
US10032628B2 (en) 2016-05-02 2018-07-24 Asm Ip Holding B.V. Source/drain performance through conformal solid state doping
KR102592471B1 (ko) 2016-05-17 2023-10-20 에이에스엠 아이피 홀딩 비.브이. 금속 배선 형성 방법 및 이를 이용한 반도체 장치의 제조 방법
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US10388509B2 (en) 2016-06-28 2019-08-20 Asm Ip Holding B.V. Formation of epitaxial layers via dislocation filtering
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
US10381226B2 (en) 2016-07-27 2019-08-13 Asm Ip Holding B.V. Method of processing substrate
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US10395919B2 (en) 2016-07-28 2019-08-27 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10410943B2 (en) 2016-10-13 2019-09-10 Asm Ip Holding B.V. Method for passivating a surface of a semiconductor and related systems
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10643904B2 (en) 2016-11-01 2020-05-05 Asm Ip Holdings B.V. Methods for forming a semiconductor device and related semiconductor device structures
US10435790B2 (en) 2016-11-01 2019-10-08 Asm Ip Holding B.V. Method of subatmospheric plasma-enhanced ALD using capacitively coupled electrodes with narrow gap
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10134757B2 (en) 2016-11-07 2018-11-20 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by using the method
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
US10340135B2 (en) 2016-11-28 2019-07-02 Asm Ip Holding B.V. Method of topologically restricted plasma-enhanced cyclic deposition of silicon or metal nitride
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
KR102700194B1 (ko) 2016-12-19 2024-08-28 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10655221B2 (en) 2017-02-09 2020-05-19 Asm Ip Holding B.V. Method for depositing oxide film by thermal ALD and PEALD
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10283353B2 (en) 2017-03-29 2019-05-07 Asm Ip Holding B.V. Method of reforming insulating film deposited on substrate with recess pattern
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
US10103040B1 (en) 2017-03-31 2018-10-16 Asm Ip Holding B.V. Apparatus and method for manufacturing a semiconductor device
KR102457289B1 (ko) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10446393B2 (en) 2017-05-08 2019-10-15 Asm Ip Holding B.V. Methods for forming silicon-containing epitaxial layers and related semiconductor device structures
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10504742B2 (en) 2017-05-31 2019-12-10 Asm Ip Holding B.V. Method of atomic layer etching using hydrogen plasma
US10886123B2 (en) 2017-06-02 2021-01-05 Asm Ip Holding B.V. Methods for forming low temperature semiconductor layers and related semiconductor device structures
US12040200B2 (en) 2017-06-20 2024-07-16 Asm Ip Holding B.V. Semiconductor processing apparatus and methods for calibrating a semiconductor processing apparatus
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US10685834B2 (en) 2017-07-05 2020-06-16 Asm Ip Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10605530B2 (en) 2017-07-26 2020-03-31 Asm Ip Holding B.V. Assembly of a liner and a flange for a vertical furnace as well as the liner and the vertical furnace
US10312055B2 (en) 2017-07-26 2019-06-04 Asm Ip Holding B.V. Method of depositing film by PEALD using negative bias
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US10249524B2 (en) 2017-08-09 2019-04-02 Asm Ip Holding B.V. Cassette holder assembly for a substrate cassette and holding member for use in such assembly
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
USD900036S1 (en) 2017-08-24 2020-10-27 Asm Ip Holding B.V. Heater electrical connector and adapter
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR102401446B1 (ko) 2017-08-31 2022-05-24 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10607895B2 (en) 2017-09-18 2020-03-31 Asm Ip Holdings B.V. Method for forming a semiconductor device structure comprising a gate fill metal
KR102630301B1 (ko) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10319588B2 (en) 2017-10-10 2019-06-11 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
KR102443047B1 (ko) 2017-11-16 2022-09-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 방법 및 그에 의해 제조된 장치
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
JP7206265B2 (ja) 2017-11-27 2023-01-17 エーエスエム アイピー ホールディング ビー.ブイ. クリーン・ミニエンバイロメントを備える装置
WO2019103613A1 (en) 2017-11-27 2019-05-31 Asm Ip Holding B.V. A storage device for storing wafer cassettes for use with a batch furnace
US10290508B1 (en) 2017-12-05 2019-05-14 Asm Ip Holding B.V. Method for forming vertical spacers for spacer-defined patterning
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
TWI799494B (zh) 2018-01-19 2023-04-21 荷蘭商Asm 智慧財產控股公司 沈積方法
KR102695659B1 (ko) 2018-01-19 2024-08-14 에이에스엠 아이피 홀딩 비.브이. 플라즈마 보조 증착에 의해 갭 충진 층을 증착하는 방법
USD903477S1 (en) 2018-01-24 2020-12-01 Asm Ip Holdings B.V. Metal clamp
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US10535516B2 (en) 2018-02-01 2020-01-14 Asm Ip Holdings B.V. Method for depositing a semiconductor structure on a surface of a substrate and related semiconductor structures
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
WO2019158960A1 (en) 2018-02-14 2019-08-22 Asm Ip Holding B.V. A method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10658181B2 (en) 2018-02-20 2020-05-19 Asm Ip Holding B.V. Method of spacer-defined direct patterning in semiconductor fabrication
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
US10510536B2 (en) 2018-03-29 2019-12-17 Asm Ip Holding B.V. Method of depositing a co-doped polysilicon film on a surface of a substrate within a reaction chamber
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102501472B1 (ko) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
TWI843623B (zh) 2018-05-08 2024-05-21 荷蘭商Asm Ip私人控股有限公司 藉由循環沉積製程於基板上沉積氧化物膜之方法及相關裝置結構
US12025484B2 (en) 2018-05-08 2024-07-02 Asm Ip Holding B.V. Thin film forming method
TW202349473A (zh) 2018-05-11 2023-12-16 荷蘭商Asm Ip私人控股有限公司 用於基板上形成摻雜金屬碳化物薄膜之方法及相關半導體元件結構
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
TWI840362B (zh) 2018-06-04 2024-05-01 荷蘭商Asm Ip私人控股有限公司 水氣降低的晶圓處置腔室
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
US11499222B2 (en) 2018-06-27 2022-11-15 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
TW202409324A (zh) 2018-06-27 2024-03-01 荷蘭商Asm Ip私人控股有限公司 用於形成含金屬材料之循環沉積製程
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
KR102686758B1 (ko) 2018-06-29 2024-07-18 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US10483099B1 (en) 2018-07-26 2019-11-19 Asm Ip Holding B.V. Method for forming thermally stable organosilicon polymer film
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
TWI728456B (zh) 2018-09-11 2021-05-21 荷蘭商Asm Ip私人控股有限公司 相對於基板的薄膜沉積方法
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
US10847365B2 (en) 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
US10811256B2 (en) 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US10381219B1 (en) 2018-10-25 2019-08-13 Asm Ip Holding B.V. Methods for forming a silicon nitride film
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10559458B1 (en) 2018-11-26 2020-02-11 Asm Ip Holding B.V. Method of forming oxynitride film
US12040199B2 (en) 2018-11-28 2024-07-16 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
JP7504584B2 (ja) 2018-12-14 2024-06-24 エーエスエム・アイピー・ホールディング・ベー・フェー 窒化ガリウムの選択的堆積を用いてデバイス構造体を形成する方法及びそのためのシステム
TWI819180B (zh) 2019-01-17 2023-10-21 荷蘭商Asm 智慧財產控股公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
TW202044325A (zh) 2019-02-20 2020-12-01 荷蘭商Asm Ip私人控股有限公司 填充一基板之一表面內所形成的一凹槽的方法、根據其所形成之半導體結構、及半導體處理設備
TWI838458B (zh) 2019-02-20 2024-04-11 荷蘭商Asm Ip私人控股有限公司 用於3d nand應用中之插塞填充沉積之設備及方法
TWI845607B (zh) 2019-02-20 2024-06-21 荷蘭商Asm Ip私人控股有限公司 用來填充形成於基材表面內之凹部的循環沉積方法及設備
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
TWI842826B (zh) 2019-02-22 2024-05-21 荷蘭商Asm Ip私人控股有限公司 基材處理設備及處理基材之方法
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
US11742198B2 (en) 2019-03-08 2023-08-29 Asm Ip Holding B.V. Structure including SiOCN layer and method of forming same
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
KR20200116033A (ko) 2019-03-28 2020-10-08 에이에스엠 아이피 홀딩 비.브이. 도어 개방기 및 이를 구비한 기판 처리 장치
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
KR20200123380A (ko) 2019-04-19 2020-10-29 에이에스엠 아이피 홀딩 비.브이. 층 형성 방법 및 장치
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
JP2020188254A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141002A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 배기 가스 분석을 포함한 기상 반응기 시스템을 사용하는 방법
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP7499079B2 (ja) 2019-07-09 2024-06-13 エーエスエム・アイピー・ホールディング・ベー・フェー 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
TWI839544B (zh) 2019-07-19 2024-04-21 荷蘭商Asm Ip私人控股有限公司 形成形貌受控的非晶碳聚合物膜之方法
CN112309843A (zh) 2019-07-29 2021-02-02 Asm Ip私人控股有限公司 实现高掺杂剂掺入的选择性沉积方法
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
CN118422165A (zh) 2019-08-05 2024-08-02 Asm Ip私人控股有限公司 用于化学源容器的液位传感器
US11563138B2 (en) * 2019-08-08 2023-01-24 China Triumph International Engineering Co., Ltd. Method to deposit thin film high quality absorber layer
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
CN112635282A (zh) 2019-10-08 2021-04-09 Asm Ip私人控股有限公司 具有连接板的基板处理装置、基板处理方法
KR20210042810A (ko) 2019-10-08 2021-04-20 에이에스엠 아이피 홀딩 비.브이. 활성 종을 이용하기 위한 가스 분배 어셈블리를 포함한 반응기 시스템 및 이를 사용하는 방법
KR20210043460A (ko) 2019-10-10 2021-04-21 에이에스엠 아이피 홀딩 비.브이. 포토레지스트 하부층을 형성하기 위한 방법 및 이를 포함한 구조체
US12009241B2 (en) 2019-10-14 2024-06-11 Asm Ip Holding B.V. Vertical batch furnace assembly with detector to detect cassette
TWI834919B (zh) 2019-10-16 2024-03-11 荷蘭商Asm Ip私人控股有限公司 氧化矽之拓撲選擇性膜形成之方法
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
KR20210050453A (ko) 2019-10-25 2021-05-07 에이에스엠 아이피 홀딩 비.브이. 기판 표면 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR102387088B1 (ko) * 2019-10-31 2022-04-15 세메스 주식회사 기판 처리 장치
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
KR20210065848A (ko) 2019-11-26 2021-06-04 에이에스엠 아이피 홀딩 비.브이. 제1 유전체 표면과 제2 금속성 표면을 포함한 기판 상에 타겟 막을 선택적으로 형성하기 위한 방법
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP7527928B2 (ja) 2019-12-02 2024-08-05 エーエスエム・アイピー・ホールディング・ベー・フェー 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
TW202125596A (zh) 2019-12-17 2021-07-01 荷蘭商Asm Ip私人控股有限公司 形成氮化釩層之方法以及包括該氮化釩層之結構
KR20210080214A (ko) 2019-12-19 2021-06-30 에이에스엠 아이피 홀딩 비.브이. 기판 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
TW202140135A (zh) 2020-01-06 2021-11-01 荷蘭商Asm Ip私人控股有限公司 氣體供應總成以及閥板總成
JP2021111783A (ja) 2020-01-06 2021-08-02 エーエスエム・アイピー・ホールディング・ベー・フェー チャネル付きリフトピン
US11993847B2 (en) 2020-01-08 2024-05-28 Asm Ip Holding B.V. Injector
KR102675856B1 (ko) 2020-01-20 2024-06-17 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법 및 박막 표면 개질 방법
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
TW202146882A (zh) 2020-02-04 2021-12-16 荷蘭商Asm Ip私人控股有限公司 驗證一物品之方法、用於驗證一物品之設備、及用於驗證一反應室之系統
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
US11781243B2 (en) 2020-02-17 2023-10-10 Asm Ip Holding B.V. Method for depositing low temperature phosphorous-doped silicon
TW202203344A (zh) 2020-02-28 2022-01-16 荷蘭商Asm Ip控股公司 專用於零件清潔的系統
JP7386732B2 (ja) * 2020-03-06 2023-11-27 東京エレクトロン株式会社 成膜方法
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
KR20210116249A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 록아웃 태그아웃 어셈블리 및 시스템 그리고 이의 사용 방법
CN113394086A (zh) 2020-03-12 2021-09-14 Asm Ip私人控股有限公司 用于制造具有目标拓扑轮廓的层结构的方法
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
KR20210128343A (ko) 2020-04-15 2021-10-26 에이에스엠 아이피 홀딩 비.브이. 크롬 나이트라이드 층을 형성하는 방법 및 크롬 나이트라이드 층을 포함하는 구조
US11996289B2 (en) 2020-04-16 2024-05-28 Asm Ip Holding B.V. Methods of forming structures including silicon germanium and silicon layers, devices formed using the methods, and systems for performing the methods
KR20210132576A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐 나이트라이드 함유 층을 형성하는 방법 및 이를 포함하는 구조
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
TW202146831A (zh) 2020-04-24 2021-12-16 荷蘭商Asm Ip私人控股有限公司 垂直批式熔爐總成、及用於冷卻垂直批式熔爐之方法
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
JP2021177545A (ja) 2020-05-04 2021-11-11 エーエスエム・アイピー・ホールディング・ベー・フェー 基板を処理するための基板処理システム
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
TW202146699A (zh) 2020-05-15 2021-12-16 荷蘭商Asm Ip私人控股有限公司 形成矽鍺層之方法、半導體結構、半導體裝置、形成沉積層之方法、及沉積系統
TW202147383A (zh) 2020-05-19 2021-12-16 荷蘭商Asm Ip私人控股有限公司 基材處理設備
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
TW202200837A (zh) 2020-05-22 2022-01-01 荷蘭商Asm Ip私人控股有限公司 用於在基材上形成薄膜之反應系統
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202202649A (zh) 2020-07-08 2022-01-16 荷蘭商Asm Ip私人控股有限公司 基板處理方法
KR20220010438A (ko) 2020-07-17 2022-01-25 에이에스엠 아이피 홀딩 비.브이. 포토리소그래피에 사용하기 위한 구조체 및 방법
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
US12040177B2 (en) 2020-08-18 2024-07-16 Asm Ip Holding B.V. Methods for forming a laminate film by cyclical plasma-enhanced deposition processes
KR20220027026A (ko) 2020-08-26 2022-03-07 에이에스엠 아이피 홀딩 비.브이. 금속 실리콘 산화물 및 금속 실리콘 산질화물 층을 형성하기 위한 방법 및 시스템
TW202229601A (zh) 2020-08-27 2022-08-01 荷蘭商Asm Ip私人控股有限公司 形成圖案化結構的方法、操控機械特性的方法、裝置結構、及基板處理系統
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
US12009224B2 (en) 2020-09-29 2024-06-11 Asm Ip Holding B.V. Apparatus and method for etching metal nitrides
CN114293174A (zh) 2020-10-07 2022-04-08 Asm Ip私人控股有限公司 气体供应单元和包括气体供应单元的衬底处理设备
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
TW202217037A (zh) 2020-10-22 2022-05-01 荷蘭商Asm Ip私人控股有限公司 沉積釩金屬的方法、結構、裝置及沉積總成
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
TW202235649A (zh) 2020-11-24 2022-09-16 荷蘭商Asm Ip私人控股有限公司 填充間隙之方法與相關之系統及裝置
KR20220076343A (ko) 2020-11-30 2022-06-08 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치의 반응 챔버 내에 배열되도록 구성된 인젝터
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate

Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH05259156A (ja) * 1992-03-16 1993-10-08 Fujitsu Ltd 半導体装置の製造方法
JP2003168783A (ja) * 2001-11-29 2003-06-13 Sony Corp 半導体記憶装置の製造方法
CN1852772A (zh) * 2003-07-21 2006-10-25 艾克塞利斯技术公司 制备高密度低介电常数材料的紫外线固化方法
JP2009041080A (ja) * 2007-08-09 2009-02-26 Univ Of Electro-Communications 酸化膜形成方法、MOSデバイス製造方法、MOSトランジスタ製造方法、SiOx粉末、及びSiOx粉末製造方法
CN101454886A (zh) * 2006-05-30 2009-06-10 应用材料股份有限公司 用于沉积与硬化低k膜层用于充填间隙与同形膜层应用的方法

Family Cites Families (39)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPS59215732A (ja) * 1983-05-24 1984-12-05 Semiconductor Energy Lab Co Ltd 窒化珪素被膜作製方法
EP0187882B1 (de) * 1985-01-17 1989-04-05 Ibm Deutschland Gmbh Verfahren zur Herstellung von Kontakten mit niedrigem Übergangswiderstand
JPH0382769A (ja) * 1989-08-28 1991-04-08 Dainippon Screen Mfg Co Ltd シリコン酸化膜形成方法およびその装置
DE69007446T2 (de) * 1989-12-21 1994-09-29 Philips Nv Verfahren zur Herstellung einer Halbleiteranordnung mit einem NPN Bipolartransistor.
JP2699695B2 (ja) * 1991-06-07 1998-01-19 日本電気株式会社 化学気相成長法
JP2538740B2 (ja) * 1992-06-09 1996-10-02 株式会社半導体プロセス研究所 半導体製造装置及び半導体装置の製造方法
US5387546A (en) * 1992-06-22 1995-02-07 Canon Sales Co., Inc. Method for manufacturing a semiconductor device
JPH08195494A (ja) * 1994-05-26 1996-07-30 Sanyo Electric Co Ltd 半導体装置,半導体装置の製造方法,薄膜トランジスタ,薄膜トランジスタの製造方法,表示装置
JPH0855848A (ja) * 1994-08-11 1996-02-27 Semiconductor Energy Lab Co Ltd 酸化珪素膜の加熱処理方法
US5840600A (en) * 1994-08-31 1998-11-24 Semiconductor Energy Laboratory Co., Ltd. Method for producing semiconductor device and apparatus for treating semiconductor device
JPH08136904A (ja) * 1994-11-04 1996-05-31 Toyo Ink Mfg Co Ltd 液晶用基板プラスチックフィルム
GB9607129D0 (en) * 1996-04-04 1996-06-12 Gew Ec Ltd Uv dryer with improved reflector
WO1999028529A1 (en) * 1997-12-02 1999-06-10 Gelest, Inc. Silicon based films formed from iodosilane precursors and method of making the same
US6614181B1 (en) * 2000-08-23 2003-09-02 Applied Materials, Inc. UV radiation source for densification of CVD carbon-doped silicon oxide films
US6663723B1 (en) * 2000-10-24 2003-12-16 Advanced Micro Devices, Inc. Vapor drying for cleaning photoresists
US6911084B2 (en) * 2001-09-26 2005-06-28 Arizona Board Of Regents Low temperature epitaxial growth of quaternary wide bandgap semiconductors
CN100347333C (zh) * 2001-10-02 2007-11-07 Toto株式会社 金属氧化物薄膜及其制造方法
US7335609B2 (en) * 2004-08-27 2008-02-26 Applied Materials, Inc. Gap-fill depositions introducing hydroxyl-containing precursors in the formation of silicon containing dielectric materials
US7055263B2 (en) * 2003-11-25 2006-06-06 Air Products And Chemicals, Inc. Method for cleaning deposition chambers for high dielectric constant materials
US7030468B2 (en) 2004-01-16 2006-04-18 International Business Machines Corporation Low k and ultra low k SiCOH dielectric films and methods to form the same
US7148155B1 (en) * 2004-10-26 2006-12-12 Novellus Systems, Inc. Sequential deposition/anneal film densification method
US20060105106A1 (en) * 2004-11-16 2006-05-18 Applied Materials, Inc. Tensile and compressive stressed materials for semiconductors
US7972441B2 (en) * 2005-04-05 2011-07-05 Applied Materials, Inc. Thermal oxidation of silicon using ozone
US7566891B2 (en) * 2006-03-17 2009-07-28 Applied Materials, Inc. Apparatus and method for treating a substrate with UV radiation using primary and secondary reflectors
US7902080B2 (en) * 2006-05-30 2011-03-08 Applied Materials, Inc. Deposition-plasma cure cycle process to enhance film quality of silicon dioxide
US7825038B2 (en) * 2006-05-30 2010-11-02 Applied Materials, Inc. Chemical vapor deposition of high quality flow-like silicon dioxide using a silicon containing precursor and atomic oxygen
EP2036120A4 (en) * 2006-05-30 2012-02-08 Applied Materials Inc NOVEL PLASMA CURING AND PLASMA CURING PROCESS TO ENHANCE THE QUALITY OF SILICON DIOXIDE FILM
US7598183B2 (en) * 2006-09-20 2009-10-06 Applied Materials, Inc. Bi-layer capping of low-K dielectric films
JP4661753B2 (ja) * 2006-09-29 2011-03-30 東京エレクトロン株式会社 基板処理方法、洗浄方法及び記憶媒体
US8173537B1 (en) * 2007-03-29 2012-05-08 Novellus Systems, Inc. Methods for reducing UV and dielectric diffusion barrier interaction
US7745352B2 (en) * 2007-08-27 2010-06-29 Applied Materials, Inc. Curing methods for silicon dioxide thin films deposited from alkoxysilane precursor with harp II process
US7943531B2 (en) * 2007-10-22 2011-05-17 Applied Materials, Inc. Methods for forming a silicon oxide layer over a substrate
US20090305515A1 (en) 2008-06-06 2009-12-10 Dustin Ho Method and apparatus for uv curing with water vapor
US7745346B2 (en) * 2008-10-17 2010-06-29 Novellus Systems, Inc. Method for improving process control and film conformality of PECVD film
US8236706B2 (en) * 2008-12-12 2012-08-07 Mattson Technology, Inc. Method and apparatus for growing thin oxide films on silicon while minimizing impact on existing structures
JP2010171231A (ja) * 2009-01-23 2010-08-05 Toshiba Corp シリコン酸化膜の形成方法
US8528224B2 (en) * 2009-11-12 2013-09-10 Novellus Systems, Inc. Systems and methods for at least partially converting films to silicon oxide and/or improving film quality using ultraviolet curing in steam and densification of films using UV curing in ammonia
WO2011084752A2 (en) * 2010-01-07 2011-07-14 Applied Materials, Inc. In-situ ozone cure for radical-component cvd
US20110256734A1 (en) * 2010-04-15 2011-10-20 Hausmann Dennis M Silicon nitride films and methods

Patent Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH05259156A (ja) * 1992-03-16 1993-10-08 Fujitsu Ltd 半導体装置の製造方法
JP2003168783A (ja) * 2001-11-29 2003-06-13 Sony Corp 半導体記憶装置の製造方法
CN1852772A (zh) * 2003-07-21 2006-10-25 艾克塞利斯技术公司 制备高密度低介电常数材料的紫外线固化方法
CN101454886A (zh) * 2006-05-30 2009-06-10 应用材料股份有限公司 用于沉积与硬化低k膜层用于充填间隙与同形膜层应用的方法
JP2009041080A (ja) * 2007-08-09 2009-02-26 Univ Of Electro-Communications 酸化膜形成方法、MOSデバイス製造方法、MOSトランジスタ製造方法、SiOx粉末、及びSiOx粉末製造方法

Also Published As

Publication number Publication date
KR20110052509A (ko) 2011-05-18
TW201120239A (en) 2011-06-16
JP2011109086A (ja) 2011-06-02
US20140020259A1 (en) 2014-01-23
US9147589B2 (en) 2015-09-29
KR101799594B1 (ko) 2017-11-20
US8528224B2 (en) 2013-09-10
US20120036732A1 (en) 2012-02-16

Similar Documents

Publication Publication Date Title
TWI608121B (zh) 用於至少部分地轉換薄膜為二氧化矽及/或於蒸氣中使用紫外線硬化來改善薄膜品質以及於氨中使用紫外線硬化來改善薄膜密實化之系統及方法
JP5455626B2 (ja) ボトムアップギャップ充填のための誘電堆積プロセスとエッチバックプロセス
KR102291889B1 (ko) 유기아미노실란 어닐링을 이용한 SiOCH 막의 형성 방법
US7888273B1 (en) Density gradient-free gap fill
US6899763B2 (en) Lid cooling mechanism and method for optimized deposition of low-K dielectric using TR methylsilane-ozone based processes
US8557712B1 (en) PECVD flowable dielectric gap fill
US6465372B1 (en) Surface treatment of C-doped SiO2 film to enhance film stability during O2 ashing
US8728958B2 (en) Gap fill integration
US20150118863A1 (en) Methods and apparatus for forming flowable dielectric films having low porosity
KR102655396B1 (ko) 고품질 얇은 필름들을 형성하기 위한 사이클식 순차 프로세스들
KR102322809B1 (ko) 감소된 유전 상수 및 강화된 기계적인 특성들을 갖는 저-k 유전체 층
KR20130101479A (ko) 조정 가능한 습식 에칭률을 갖는 유동성 산화물 막
JP2013515376A (ja) 連続プラズマを用いるpecvd(プラズマ化学気相堆積)マルチステップ処理
US20050266655A1 (en) Dielectric gap fill with oxide selectively deposited over silicon liner
TWI851635B (zh) 在溝槽上面形成低k可流動介電膜的方法
US20200381248A1 (en) Methods of post treating dielectric films with microwave radiation