JP2021111783A - チャネル付きリフトピン - Google Patents

チャネル付きリフトピン Download PDF

Info

Publication number
JP2021111783A
JP2021111783A JP2020213551A JP2020213551A JP2021111783A JP 2021111783 A JP2021111783 A JP 2021111783A JP 2020213551 A JP2020213551 A JP 2020213551A JP 2020213551 A JP2020213551 A JP 2020213551A JP 2021111783 A JP2021111783 A JP 2021111783A
Authority
JP
Japan
Prior art keywords
pin
susceptor
space
channel
substrate
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
JP2020213551A
Other languages
English (en)
Inventor
ラジ・シング
Singu Raj
ディンカル・ナンドワナ
Nandwana Dinkar
トッド・ロバート・ダン
Robert Dunn Todd
シャンカル・スワミナタン
Swaminathan Shankar
ブーシャン・ゾープ
Zope Bhushan
カール・ルイス・ホワイト
Louis White Carl
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
ASM IP Holding BV
Original Assignee
ASM IP Holding BV
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by ASM IP Holding BV filed Critical ASM IP Holding BV
Publication of JP2021111783A publication Critical patent/JP2021111783A/ja
Pending legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/687Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches
    • H01L21/68714Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support
    • H01L21/68742Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support characterised by a lifting arrangement, e.g. lift pins
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/458Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for supporting substrates in the reaction chamber
    • C23C16/4582Rigid and flat substrates, e.g. plates or discs
    • C23C16/4583Rigid and flat substrates, e.g. plates or discs the substrate being supported substantially horizontally
    • C23C16/4586Elements in the interior of the support, e.g. electrodes, heating or cooling devices
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C14/00Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material
    • C23C14/22Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material characterised by the process of coating
    • C23C14/50Substrate holders
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/4412Details relating to the exhausts, e.g. pumps, filters, scrubbers, particle traps
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/458Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for supporting substrates in the reaction chamber
    • C23C16/4582Rigid and flat substrates, e.g. plates or discs
    • C23C16/4583Rigid and flat substrates, e.g. plates or discs the substrate being supported substantially horizontally
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/687Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches
    • H01L21/68714Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support
    • H01L21/68785Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support characterised by the mechanical construction of the susceptor, stage or support
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/6838Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping with gripping and holding devices using a vacuum; Bernoulli devices

Landscapes

  • Engineering & Computer Science (AREA)
  • Chemical & Material Sciences (AREA)
  • Computer Hardware Design (AREA)
  • Power Engineering (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • Materials Engineering (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Chemical Vapour Deposition (AREA)
  • Container, Conveyance, Adherence, Positioning, Of Wafer (AREA)
  • Physical Vapour Deposition (AREA)
  • Drying Of Semiconductors (AREA)

Abstract

【課題】本開示は、一般に、半導体処理システムまたは反応器システム、特に半導体反応器システム、およびその中に含まれる構成要素に関し、例えば、反応器システムおよび/または基材の望ましくない場所への材料堆積を防止する。【解決手段】反応器システムは、チャンバ側壁によって囲まれた反応チャンバと、反応空間と反応チャンバ内に含まれる下部チャンバ空間との間の反応チャンバ内に配置されるサセプタとを備えてもよい。サセプタは、ピン穴が反応空間および下部チャンバ空間と流体連通するように、また反応空間が下部チャンバ空間と流体連通するように、サセプタを通して配置されるピン穴を備えてもよい。リフトピンは、ピン穴内に配置され得る。リフトピンは、リフトピンがピン穴内に配置されるとき、反応空間が下部チャンバ空間と流体連通するように、ピン本体内に配置された、ピンチャネル表面によって画定される、ピンチャネルを備えるピン本体を備えてもよい。【選択図】図2A

Description

本開示は、一般に、半導体処理システムまたは反応器システム、特に半導体反応器システム、およびその中に含まれる構成要素に関し、例えば、反応器システムおよび/または基材の望ましくない場所への材料堆積を防止する。
反応チャンバは、半導体基材上へ様々な物質層を堆積させるために使用されてもよい。半導体は、反応チャンバ内のサセプタ上に配置され得る。基材およびサセプタの両方を、所望の基材温度設定点にまで加熱してもよい。例示の基材処理プロセスでは、一つまたは複数の反応ガスが、加熱された基材の上を通り、基材表面上に物質の薄膜の堆積を引き起こしてもよい。それに続く堆積、ドーピング、リソグラフィ、エッチングおよび他のプロセスを通して、これらの層が集積回路になる。
反応チャンバは、通常、シングルチャンバまたはデュアルチャンバで製造される。デュアルチャンバ配置では、二つのチャンバは、一つのチャンバが第二のチャンバ上に垂直に配向されてもよく、第二のチャンバは、例えば、サセプタによって分離されてもよい。デュアルチャンバを備える反応器システムの作動中、望ましくない汚染物質が、基材の下側(すなわち、サセプタに近接する基材の表面)に蓄積および/またはコーティングする可能性があり、および/または堆積プロセス中に基材が曲がり得るため、材料が基材縁部に蓄積する可能性がある。したがって、装置および方法は、基材の下側への堆積、および基材縁部への望ましくない材料の蓄積を防止するために望ましい。
この発明の概要は、概念の選択を簡略化した形で紹介するように提供する。これらの概念について、以下の本開示の例示的な実施形態の「発明を実施するための形態」において、更に詳細に説明される。この発明の概要は、特許請求される主題の主要な特徴または本質的な特徴を特定することを意図していない、または特許請求される主題の範囲を限定するために使用されることを意図していない。
いくつかの実施形態では、反応器システムが提供される。本明細書に開示される反応器システムは、サセプタ上の基材の着座および/または平坦化を可能にして、基材上の望ましくない材料堆積および/または堆積パターンを低減または防止し得る。
様々な実施形態では、反応器システムは、チャンバ側壁によって囲まれた反応チャンバと、反応空間と反応チャンバ内に含まれる下部チャンバ空間との間の反応チャンバ内に配置されるサセプタとを備えてもよい。サセプタは、ピン穴が反応空間および下部チャンバ空間と流体連通するように、また反応空間が下部チャンバ空間と流体連通するように、サセプタを通して配置されるピン穴を備えてもよい。リフトピンは、ピン穴内に配置され得る。リフトピンは、リフトピンがピン穴内に配置されるとき、反応空間が下部チャンバ空間と流体連通するように、ピン本体内に配置された、ピンチャネル表面によって画定される、ピンチャネルを備えるピン本体を備えてもよい。ピンチャネルは、ピン本体の長さに沿って配置されてもよい。様々な実施形態では、ピンチャネルは、ピン本体が延在する軸に直線的かつ平行に延在してもよい。
様々な実施形態では、リフトピンは、ピン本体のピン外面がピン穴を画定するピン穴面に隣接して配置されるように配置され得る。様々な実施形態では、ピン外面は、一部が欠落した幾何学的形状を含む断面形状を画定してもよく、ピンチャネルは欠落部分である。様々な実施形態では、ピン本体は、ピンチャネルを含む切欠部を有する円筒形状を備えてもよく、ピン本体は、ピンチャネルを含む切欠部を有する円形断面をさらに備え、ピンチャネルは、ピン本体の円形断面内に扇形チャネルを備える。様々な実施形態では、ピンチャネルは、ピンチャネル表面が囲まれた形状を形成するように、ピン本体内に配置され得る。様々な実施形態では、リフトピンは、ピン本体の上端部にピンヘッドを備えてもよく、ピンチャネルはピンヘッドを通って延在してもよい。ピンヘッドは、サセプタのピン穴に少なくとも部分的に配置されてもよい。ピンヘッドは、サセプタと少なくとも部分的シールを形成する場合がある。様々な実施形態では、リフトピンは、ピン本体の上端部を備えてもよく、リフトピンおよびサセプタは、リフトピン本体の上端部がサセプタの基材支持面から突出することができるように、互いに対して動くように構成されてもよい。
様々な実施形態では、反応器システムは、下部チャンバ空間と流体連通する真空源をさらに備えてもよく、真空源は、リフトピンのピンチャネルを通して反応チャンバの反応空間と流体連通し得る。様々な実施形態では、チャンバ側壁は、サセプタのサセプタ外側面に近接して配置されてもよい。様々な実施形態では、反応器システムは、反応空間および反応チャンバの下部チャンバ空間が、空間を介して流体連通し得るように、チャンバ側壁とサセプタ外側面との間に配置される空間をさらに備えてもよい。様々な実施形態では、流体の流れは、チャンバ側壁とサセプタの外側面との間で制限されてもよい。
様々な実施形態では、方法は、反応チャンバの反応空間と反応チャンバの下部チャンバ空間との間の反応器の反応チャンバ内に圧力差を生成することを含んでもよく、反応空間および下部チャンバ空間は、反応チャンバ内に配置されたサセプタによって分離され、圧力差を形成することにより、下部チャンバ空間圧力が反応空間圧力よりも低くなり、および/または圧力差の生成に応答して、サセプタ内に配置され、反応空間と下部チャンバ空間との間に延在するピン穴を通して、流体が下部チャンバ空間に流れる。リフトピンは、ピン穴内に配置され得る。リフトピンは、ピン本体を備えてもよく、ピン本体は、リフトピンがピン穴内に配置されるとき、ピンチャネルを介して、反応空間が下部チャンバ空間と流体連通するように、ピン本体内に配置された、ピンチャネルを備えてもよい。
様々な実施形態では、基材は、サセプタの基材支持面上に配置されてもよく、基材支持面は反応空間に面し、ピン穴は基材支持面を通して配置される。方法は、圧力差の生成に応答して基材支持面に向かって基材上に吸引力を生成することと、ピン穴を通して流体を下部チャンバ空間に流すことと、および/または基材上に吸引力を生成することに応答して、基材をサセプタ支持面上に平坦に載置することと、をさらに含んでもよい。様々な実施形態では、圧力差の生成は、下部チャンバ空間に流体連結される真空源によって達成され得る。様々な実施形態では、ピンチャネルは、ピン本体内に配置され、ピン本体によって完全に囲まれ得る。様々な実施形態では、ピン本体は、一部が欠落した断面形状を備えてもよく、ピンチャネルは欠落部分であり得る。
先行技術を超えて達成される本開示および利点を要約する目的で、本開示のいくつかの特定の目的および利点が本明細書において上記に説明されている。当然のことながら、必ずしもこうした目的または利点のすべてが本開示の任意の特定の実施形態によって達成されなくてもよいことが理解されるべきである。それ故に、例えば、本明細書で教示または示唆され得る通りの他の目的または利点を必ずしも達成することなく、本明細書に教示または示唆される通りの一つの利点または一群の利点を達成または最適化する様態で、本明細書に開示される実施形態が実行されてもよいことを当業者は認識するであろう。
これらの実施形態の全ては、本開示の範囲内であることが意図されている。当業者には、これらのおよび他の実施形態は、添付の図面を参照して、以下のある特定の実施形態の詳細な説明から容易に明らかとなり、本開示は、論じられるいかなる特定の実施形態にも限定されない。
本明細書は、本開示の実施形態と見なされるものを具体的に指摘し、明確に特許請求する特許請求の範囲で結論付ける一方で、本開示の実施形態の利点は、添付の図面と併せて読むと、本開示の実施形態のある特定の実施例の説明から、より容易に解明され得る。図面全体にわたって同様の要素番号が付けられている要素は、同じであることが意図されている。
図1は、様々な実施形態による、例示的な反応器システムの概略図である。 図2Aは、様々な実施形態による、サセプタがより低い位置に配置された例示的な反応チャンバの概略図である。 図2Bは、様々な実施形態による、サセプタが上昇位置に配置された例示的な反応チャンバの概略図である。 図3は、様々な実施形態による、サセプタ内に配置されたチャネルを備えるリフトピンの斜視図を示す。 図4は、様々な実施形態による、チャネルリフトピンの上面斜視図を示す。 図5は、様々な実施形態による、チャネルリフトピンの底面斜視図を示す。 図6は、様々な実施形態による、チャネルリフトピンの正面図を示す。 図7は、様々な実施形態による、チャネルリフトピンの背面図を示す。 図8は、様々な実施形態による、チャネルリフトピンの右側面図を示す。 図9は、様々な実施形態による、チャネルリフトピンの左側面図を示す。 図10は、様々な実施形態による、チャネルリフトピンの上面図を示す。 図11は、様々な実施形態による、チャネルリフトピンの底面図を示す。 図12は、様々な実施形態による、反応器システム内の基材を処理するための方法を示す。
ある特定の実施形態および実施例を以下に開示するが、それらは、本開示が具体的に開示する本開示の実施形態および/または用途、ならびにその明白な変更および均等物を超えて拡大することは、当業者により理解されるであろう。したがって、本開示の範囲は、本明細書に記載される具体的な実施形態によって限定されるべきではないことが意図される。
本明細書に示される図は、任意の特定の材料、装置、構造またはデバイスの実際の図であることを意味せず、本開示の実施形態について記載するために使用される、単なる表現にすぎない。
本明細書で使用する用語「基材」は、使用される場合がある、またはその上にデバイス、回路もしくはフィルムが形成される場合がある、あらゆる下層材料または複数の下層材料を指してもよい。
本明細書で使用する用語「原子層堆積」(ALD)は、堆積サイクル、好ましくは複数の連続堆積サイクルがプロセスチャンバ内で行われる蒸着プロセスを指すことができる。典型的には、各サイクルの間、前駆体は、堆積表面(例えば、基材の表面または以前に堆積させた下地の表面、例えば、以前のALDサイクルを用いて堆積させた材料など)に化学吸着し、追加の前駆体と容易に反応しない単層またはサブ単層を形成する(すなわち、自己制御反応)。その後、必要に応じて、化学吸着した前駆体を堆積表面上で所望の材料に変換するのに使用するために、反応物質(例えば、別の前駆体または反応ガス)をその後プロセスチャンバ内に導入することができる。典型的には、この反応物質は前駆体と更に反応することができる。更に、各サイクル中にパージ工程を利用して、化学吸着された前駆体の変換後に、過剰な前駆体をプロセスチャンバから除去する、ならびに/または過剰の反応物質および/もしくは反応副生成物をプロセスチャンバから除去することができる。更に、本明細書で使用される「原子層堆積」という用語は、関連する用語、例えば、「化学蒸着原子層堆積」、「原子層エピタキシー」(ALE)、分子線エピタキシー(MBE)、ガス源MBE、または有機金属MBE、ならびに前駆体組成物、反応性ガス、およびパージ(例えば、不活性キャリア)ガスの交互パルスで実施される場合の化学ビームエピタキシー等、により示されるプロセスを含むことも意味する。
本明細書で使用する用語「化学蒸着」(CVD)は、基材を一つまたは複数の揮発性前駆体に曝し、その前駆体が基材表面上で反応および/または分解して所望の堆積物を生成する、任意のプロセスを指すことができる。
本明細書で使用される用語「膜」および「薄膜」は、本明細書に開示される方法により堆積させた任意の連続的または非連続的な構造体および材料を指すことができる。「膜」および「薄膜」としては、例えば、2D材料、ナノロッド、ナノチューブ若しくはナノ粒子、または平坦な部分的な若しくは完全な分子層、または部分的な若しくは完全な原子層、または原子および/もしくは分子のクラスタ、を挙げることができる。「膜」および「薄膜」は、ピンホールを有する材料または層を含み得るが、それでも少なくとも部分的に連続している。
本明細書で使用される場合、「汚染物」という用語は、反応チャンバ内に配置された基材の純度に影響を与え得る、反応チャンバ内に配置された任意の望ましくない材料を指し得る。「汚染物」という用語は、反応チャンバ内に配置された、望ましくない堆積物、金属および非金属粒子、不純物、および廃棄物を指し得るが、これらに限定されない。
ALD、CVD、および/または同類のものに使用される反応器システムは、基材表面への材料の堆積およびエッチングを含む、様々な用途に使用され得る。様々な実施形態では、反応器システム50は、反応チャンバ4、処理中に基材30を保持するサセプタ6、一つまたは複数の反応物質を基材30の表面に分配するための流体分配システム8(例えば、シャワーヘッド)、ライン16〜20およびバルブもしくはコントローラ22〜26を介して反応チャンバ4に流体連結される、一つまたは複数の反応物質源10、12、および/またはキャリアおよび/またはパージガス源14を備え得る。システム50はまた、反応チャンバ4に流体連結された真空源28を備えてもよい。
図2Aおよび図2Bを参照すると、本開示の実施形態は、反応器100内の基材を処理するために利用され得る反応器システムおよび方法を含み得る。様々な実施形態では、反応器100は、基材を処理するための反応チャンバ110を備えてもよい。様々な実施形態では、反応チャンバ110は、一つまたは複数の基材を処理するように構成され得る反応空間112(すなわち、上部チャンバ)、および/または下部チャンバ空間114(すなわち、下部チャンバ)を備えてもよい。下部チャンバ空間114は、反応チャンバからの基材の装填および取り出しのために、および/または下部チャンバ空間114と反応空間112との間に圧力差を提供するために構成され得る。
様々な実施形態では、反応空間112および下部チャンバ空間114は、反応チャンバ110内に配置されたサセプタ130によって分離され得る。様々な実施形態では、反応空間112および下部チャンバ空間114は、実質的に流体的に分離されてもよく、または互いに隔離されてもよい。例えば、サセプタ130は、サセプタ130と、サセプタ130のサセプタ外側面132に近接して配置される反応チャンバ110のチャンバ側壁111との間に、少なくとも部分的なシール(すなわち、少なくとも流体の流れを制限する)を形成することによって、反応空間112と下部チャンバ空間114とを流体的に分離し得る。すなわち、サセプタ130とチャンバ側壁111との間の空間108は、サセプタ130とチャンバ側壁111との間の流体移動がほとんどまたは全くないように、最小化または排除され得る。
様々な実施形態では、サセプタ130とチャンバ側壁111との間の流体の流れを防止または低減するために、一つまたは複数のシール部材(例えば、シール部材129)は、サセプタ130から(例えば、サセプタ外側面132から)、および/または反応チャンバ110のチャンバ側壁111から他方へと延在してもよく、サセプタ130とチャンバ側壁111との間に少なくとも部分的なシール(すなわち、流体の流れを制限または防止する)を形成する。下部チャンバ空間114からの反応空間112の少なくとも部分的なシールは、基材150の処理において利用される前駆体ガスおよび/または他の流体が、反応チャンバ110の下部チャンバ空間114に入り込む、および/または接触するのを防止または低減するのが望ましい場合がある。例えば、反応空間内の基材を処理するために利用される前駆体ガスは、下部チャンバ空間114と接触して望ましくない堆積物/汚染物/粒子を生成し、これが次いで反応空間112に再導入され、それによって反応空間内に配置された基材に汚染源を提供し得る、腐食性堆積前駆体を含む可能性がある。
様々な実施形態では、サセプタ130と反応チャンバ110のチャンバ側壁111との間に延在するシール部材129、および/またはサセプタ130と反応チャンバ110のチャンバ側壁111との間の直接接触によって形成される少なくとも部分的なシールが、空間108を介して反応空間112と下部チャンバ空間114との間の流体連通を制限するか、または実質的に阻止し得るものの、少量の前駆体ガスは、拡散によって下部チャンバ空間114に入ることが依然として可能であり、これが反応器システムの反応チャンバの下部チャンバ内に、腐食、望ましくない堆積、および汚染物質をもたらす可能性がある。
様々な実施形態では、サセプタ130は、一つまたは複数のピン穴137を備えてもよい。各ピン穴137は、サセプタ130の上面(例えば、基材150が処理のために配置され得る基材支持面135)からサセプタ130の底面136まで、サセプタ130を貫通し得る。サセプタ上面(例えば、基材支持面135)は、反応チャンバ110の反応空間112に近接するサセプタ130の表面であってもよい。サセプタ底面136は、反応チャンバ110の下部チャンバ空間114に近接するサセプタ130の表面であってもよい。ピン穴137に配置されたリフトピンがない場合、反応空間112および下部チャンバ空間114は、ピン穴137を介して互いに流体連通し得る。すなわち、ピン穴137は、反応空間112および下部チャンバ空間114と流体連通し得る。
リフトピン200(または他の類似の物体)は、各ピン穴137内に配置され得る。図3〜図11をさらに参照すると、リフトピン300(図2Aおよび図2Bに示したリフトピン200の一例)は、リフトピン本体350を備えてもよく、これは、ピン穴337内に配置するとき、少なくともピン穴337の一部(図2Aおよび図2Bのピン穴137の一例)に延在するように構成される。ピン本体350は、ピン穴337の断面形状に相補的な断面形状(ピン本体350の長さに対して垂直に取られた断面において)を備えてもよい。ピン本体350は、ピン外面370によって画定され得る。ピン外面370の少なくとも一部は、リフトピン300がピン穴337内に配置されるときに、ピン穴337を画定するピン穴面339に隣接して配置される。様々な実施形態では、ピン外面370は、ピン外面370と接触するピン穴面339との間に、ほとんどまたは全く流体(例えば、液体または気体)が通過しないように、ピン穴面339と少なくとも部分的シールを形成し得る。
様々な実施形態では、リフトピン300は、ピン底端部390に対向するピン上端部310を備えてもよく、ピン本体350(およびピン長さ)は、それらの間に延在する。リフトピン300のピン上端部310は、ピンヘッド320を備えてもよい。ピンヘッド320は、ピン本体350と比較して任意の好適な形状であってもよい。様々な実施形態では、ピンヘッド320は、ピン本体350の断面形状と同じ断面形状(ピン本体350の長さに対して垂直に取られた断面において)を備えてもよい。様々な実施形態では、ピンヘッド320は、ピン本体350の断面形状よりも大きいまたは小さい表面積を含む断面形状(ピン本体350の長さに対して垂直に取られた断面において)を備えてもよい。
ピンヘッド320が、ピン本体350の断面形状よりも大きな表面積を含む実施形態では、リフトピン300が配置されるピン穴337は、ピンヘッド320の断面形状を補完する断面形状を有するピンヘッド穴338を備えてもよい。ピンヘッド320は、ピンヘッド外面322によって画定され得る。ピンヘッド外面322は、リフトピン300がピン穴337内に配置されるときに、ピンヘッド穴338を画定するピン穴面339に隣接して配置され得る。様々な実施形態では、ピンヘッド外面322は、ピンヘッド穴338のピン穴面339と少なくとも部分的シールを形成してもよく(すなわち、ピンヘッド320と、ピンヘッド穴338のピン穴面339との間の流体の流れを制限し)、および/またはピンヘッド320の張り出し部326は、ピン穴面339の相補的部分と少なくとも部分的シールを形成してもよく、これにより、流体(例えば、液体または気体)が、ピンヘッド320とピン穴337のピンヘッド穴338との間をほとんどまたは全く通過しないようにすることができる。
様々な実施形態では、リフトピン300は、ピン上端部310のピン上面315を備えてもよい。ピン上面315は、ピンヘッド320の一部であってもよい。ピン上面315は、任意の好適な形状を備え得る。例えば、ピン上面315は、平坦、凹面、または凸面を備えてもよい。様々な実施形態では、ピン上面315は、基材150と接触して、サセプタ130に対して基材150を動かすように構成され得る。例えば、リフトピン300は、サセプタ130に対して基材150を上下に移動させることができる(すなわち、基材150とサセプタ130との間の空間を増加または減少させる)。
様々な実施形態では、リフトピン300は、ピンヘッド320とピン本体350の残りの部分との間の断面積変化差にピン凹部331を備え得る。様々な実施形態では、このような寸法の間に空間または凹部は存在しなくてもよい。
考察されるように、基材150およびサセプタ130は、互いに対して移動可能であってもよい。例えば、様々な実施形態では、一つまたは複数のリフトピン300(または図2Aおよび図2Bに示すリフトピン200)は、基材150をサセプタ130から分離させ、基材150をサセプタ130と接触して配置させる(すなわち、サセプタ130によって支持される)ように構成され得る。様々な実施形態では、サセプタ130は、例えば、サセプタエレベーター104を介して、サセプタ130が基材150に対して動くように、上下に移動することができる。様々な実施形態では、リフトピン200(または図3〜図11の300)は、例えば、基材150がサセプタ130に対して動くように、リフトピンエレベーター/プラットフォーム202を介して、上下に移動することができる。様々な実施形態では、サセプタ130および/またはリフトピン200(または図3〜図11の300)は、他方が動いている間、静止していてもよい。様々な実施形態では、サセプタ130および/またはリフトピン200は、他方に対して動くように構成され得る。
様々な実施形態では、反応器システムは、対応するリフトピン(例えば、リフトピン300)がそれぞれのピン穴に配置された、三つのピン穴(例えば、ピン穴137)(または任意の好適な数のピン穴)を含むサセプタ(例えば、サセプタ130)を備え得る。ピン穴は、基材支持面135上または基材支持面135全体に任意の適切なパターンで離間してもよい(例えば、基材支持面135上の周囲に等距離で、基材支持面135を横切るパターンで、および/または同類のもので)。リフトピンは、サセプタに対して(例えば、基材支持面に対して)上下に一緒に移動して、基材(例えば、基材150)を上下させることができる。基材は、例えば、反応空間(例えば、反応空間112)内の処理位置(すなわち、上昇位置)(例えば、図2Bに示す処理位置106)に上げる、および/または装填位置(すなわち、低い位置)(例えば、図2Aに示す装填位置103)に下げることができる。様々な実施形態では、リフトピンは、サセプタが静止している間に移動し、またはリフトピンは、サセプタが移動している間に静止して、サセプタに対して基材を上下させることができる。様々な実施形態では、リフトピンおよびサセプタは、サセプタに対して基材を上下させるために移動する場合がある。
様々な実施形態では、リフトピン200(または図3〜図11の300)は、例えば、基材150とサセプタ130との間の静止摩擦のために、サセプタ130に付着し得る反応チャンバ110からの基材150の除去を容易にし得る。リフトピン200(または図3〜図11の300)は、リフトピン200および/またはサセプタ130が他方に対して移動することによって、サセプタ130から基材150を分離しやすくし得る。
様々な実施形態では、基材150は、処理のためにサセプタ130の上(例えば、サセプタ130の基材支持面135上)に直接配置されてもよい。様々な実施形態では、サセプタ130の上面は、基材支持面135と同じ平面上に配置されてもよい。様々な実施形態では、基材支持面135は、サセプタ130の上面に凹部が存在するように、サセプタ130内に凹んでもよい。基材支持面135を含む凹部は、基材150の高さの少なくとも一部が凹部内に配置されるような高さを備えてもよい。凹部は、基材150が基材支持面135上、および凹部内に配置されるとき、基材150の上面がサセプタ130の上面と同一平面になるような高さを備えてもよい。
様々な実施形態では、基材150を反応チャンバ110から装填および/または取り出すために、基材は、リフトピン200(または図3〜図11の300)上に配置され得る(例えば、ピン上面315上)。リフトピン200(または図3〜図11の300)上に基材130を配置することは、例えば、チャンバ側壁111の開口部98を通して、反応チャンバ110からの基材150の装填または取り出しを容易にし得る。
様々な実施形態では、基材150がリフトピン200上に配置されると、基材130は、装填位置103から処理位置106に移動し、このような移動中に基材150を受けることができる。こうした実施形態では、リフトピン200のピン上端部および/またはピンヘッド(例えば、ピンヘッド320)は、ピン穴137(図3のピン穴337)によって受容されてもよく、したがって、基材150はサセプタ130に直接接触してもよい。様々な実施形態では、リフトピン200のピンヘッドは、ピンヘッド320の張り出し部326に相補的なピン穴337および/またはピンヘッド穴338の一部によって支持され得る。したがって、リフトピン200は、サセプタ130と共に処理位置106まで移動し得、リフトピン200は、ピン上端部(例えば、リフトピン300のピン上端部310)が、基材支持面135と同一平面および/または基材支持面135の下になるように、ピン穴137内に配置される。
様々な実施形態では、基材150がリフトピン200上に配置されると、リフトピン200は、サセプタ130内に下方に移動し得、その結果、基材150がサセプタ130によって受容される(すなわち、基材150が基材支持面135上に置かれる)。それに応じて、ピン上端部(例えば、リフトピン300のピン上端部310)は、基材支持面135と同一平面および/または基材支持面135の下であってもよい。基材150は、その後、反応チャンバ内で処理され得る。
様々な実施形態では、一つまたは複数のリフトピンは、リフトピンの長さに沿ってピン本体に配置されたチャネル(すなわち、空隙部分)を備えてもよい。ピンチャネルは、リフトピンがサセプタのそれぞれのピン穴内に配置されるとき、反応空間および反応チャンバの下部チャンバ空間の両方が、ピンチャネルと流体連通し得るように、リフトピンの長さに延在してもよい。したがって、ピンチャネルは、リフトピンがそれぞれのピン穴内に配置されるとき、反応空間と下部チャンバ空間との間の流体連通を可能にし得る。様々な実施形態では、ピンチャネルは、ピン本体が延在する軸に直線的かつ平行に延在してもよい。様々な実施形態では、ピンチャネルは、任意の好適な構成(例えば、非線形、ピン本体のスパニングに対して非平行である経路、蛇行、らせん、または任意の他の所望の構成)で、ピン上端部とピン底端部との間に延在してもよい。様々な実施形態では、ピンチャネルは、リフトピンがサセプタのそれぞれのピン穴に配置され、基材がサセプタ上に、サセプタと接触して配置されるとき、反応空間および下部チャンバ空間がピンチャネルを介して流体連通するように、ピン上端部からピン底端部に向かって十分に延在し得る。様々な実施形態では、ピンチャネルは、ピン上端部(例えば、ピン上端部310)からピン底端部(例えば、ピン底端部390)まで、またはピン上端部とピン底端部との間のどこかにまで延在し得る。
リフトピンがピンヘッドを備える実施形態では、図3〜図11に示すように、ピンチャネル340は、ピンヘッド320を通ってピン上端部310まで延在する。
ピンチャネルは、任意の適切な構成を備えてもよい。例えば、リフトピン300は、ピンチャネル表面342によって画定されるピンチャネル340を備えてもよい。ピンチャネル340がピン本体350内に完全には囲まれていないなど、ピンチャネルがリフトピンのピン本体内に完全に囲まれていない実施形態では、ピンチャネル表面(例えば、ピンチャネル表面342)は、囲まれた形状を形成しない場合がある。
様々な実施形態では、ピン外面350は、ピン外面370が実際に囲まれた断面形状を形成するかどうかにかかわらず、ピン本体350の囲まれた断面形状を画定し得る。例えば、図3〜図11に示すように、ピン本体350は、円形断面形状を画定するが、ピン外面370は、ピンチャネル340のために実際には囲まれた円形断面形状を形成しない。しかしながら、ピン外面370をピン本体350内の空隙(すなわち、ピンチャネル340)内に外挿することにより、ピン外面370によって画定されるピン本体350の断面形状が円形であると容易に判定することができる。したがって、ピンチャネル342がピン本体350内に完全に囲まれていないなど、ピンチャネルがリフトピンのピン本体内に囲まれていない実施形態では、ピン外面(ピン外面370)は、ピンチャネル(例えば、ピンチャネル342)が欠けている形状の一部であるように、ピン本体の断面形状(例えば、幾何学的形状)を画定することができる。図3〜図11に示すように、ピン本体350は、切欠部(ピンチャネル340)を有する円筒状であってもよく、円形の断面形状(ピン外面370の経路から外挿される)と切欠部(ピンチャネル340)とを備えてもよく、ピンチャネル340は、扇形であってもよい。ピン本体は、任意の適切な断面形状を備えてもよく、ピンチャネルは、任意の適切な断面形状(例えば、長方形、正方形、三角形、円形、楕円形、および/または同類のもの)を備えてもよい。
様々な実施形態では、ピンチャネルは、ピン本体内に完全に囲まれ得る。こうした実施形態では、チャネル外面は、囲まれた形状を形成する。こうした実施形態では、ピンチャネルは、任意の好適な断面形状(例えば、長方形、正方形、三角形、円形、楕円形、および/または同類のもの)を備え得る。
様々な実施形態では、ピンチャネルは、ピン本体の任意の好適な部分を備えてもよい。例えば、図3〜図11に示すように、ピンチャネル340は、ピン本体350の四分の一(またはピン本体350の断面形状の四分の一)を備えてもよい。様々な実施形態では、ピンチャネルは、ピン本体の三分の一、五分の一、六分の一、八分の一、十分の一、もしくは二分の一、または六分の一、もしくは八分の一、もしくは十分の一と二分の一との間で構成されてもよい。様々な実施形態では、ピン本体は、リフトピンがサセプタのそれぞれのピン穴に配置されるとき、反応空間および下部チャンバ空間が流体連通するように複数のピンチャネルを備えてもよい。様々な実施形態では、ピンチャネルは、ピン本体の断面形状と同心断面形状を備えるピン本体によって囲まれた形状を備えてもよい。様々な実施形態では、ピンチャネルは、ピン本体内の任意の適切な位置に配置されたピン本体によって囲まれた形状を備えてもよい。
リフトピン300は、任意の好適な材料、例えば、鋼、アルミニウム、アルミニウム合金、セラミック材料(例えば、炭化ケイ素)、および/または同類のものから構成され得る。
基材処理の間(例えば、原子層堆積、化学蒸着(CVD)、および/または同類のものの間)、基材は上向きに湾曲する場合がある(すなわち、基材の外縁部は、基材の中央領域に対してサセプタの基材支持面から離れて移動してもよい)。これは、例えば、基材縁部が流体分配システム(例えば、シャワーヘッド)に近接し得るため、基材の中央に比べて基材縁部により多くの材料の堆積を引き起こす場合がある。しかしながら、基材表面にわたる均一な材料分布が所望され得る。また、基材の湾曲は、隆起した基材縁部の下の基材の下側に望ましくない材料堆積を引き起こす場合がある。
基材の湾曲によって生じる基材縁部および下側の堆積における望ましくないより多くの材料の蓄積を回避するために、本明細書で考察したシステムおよび方法は、基材の湾曲を相殺するような方法で、基材処理のためにサセプタの基材支持面上に基材を載置するために、望ましい場合がある。すなわち、本明細書のシステムおよび方法は、基材縁部が化学分配システムの近位で上方に湾曲しないように、また基材の下側が反応空間に露出しないように(すなわち、基材縁部を含む基材の下側が、サセプタの基材支持面に載っている)、基材をサセプタの基材支持面上に実質的に平坦に載置させ得る。
さらに図12を参照すると、様々な実施形態による、反応チャンバ内の基材を処理するための方法1200が示されている。また当然のことながら、本開示の実施形態は、ALD、CVD、有機金属気相成長法(MOCVD)、分子線エピタキシー(MBE)、および物理気相成長(PVD)を含むがこれらに限定されない、多数の堆積プロセスのために構成された反応チャンバで利用され得る。本開示の実施形態はまた、反応性イオンエッチング(RIE)、誘導結合プラズマエッチング(ICP)、および電子サイクロトロン共鳴エッチング(ECR)などのエッチングプロセスも含み得る、反応性前駆体を有する基材を処理するために構成された反応チャンバで利用され得る。
様々な実施形態では、図2A〜図11を参照すると、基材150は、反応チャンバ110内に配置され得る(ステップ1202)。様々な実施形態では、基材150は、サセプタ130の基材支持面135上に直接配置されてもよい。様々な実施形態では、基材150は、サセプタ130の基材支持面135から突出するリフトピン200(または図3〜図11の300)上に配置されてもよい。こうした実施形態では、リフトピン200および/またはサセプタ130は、基材150が基材支持面135上に直接、かつ接触して配置されるように、ピン上端部310がサセプタ130の基材支持面135と同一平面上にあるか、または基材支持面135(すなわち、ピン上端部310がサセプタ130内に配置される)よりも低くなるように、他方に対して移動することができる。様々な実施形態では、リフトピン200は基材150を受けてもよく、サセプタ130は、リフトピン200がサセプタ130およびピン穴137内に陥入するように、リフトピン200が静止したままで、上方に移動してもよく、サセプタ130は基材支持面135上で基材150を受け、サセプタ130を反応空間112内の処理位置106に配置する。
様々な実施形態では、基材150は、基材150の外縁部(すなわち、基材150がサセプタ130上に配置される際に、チャンバ側壁111により近接する基材150の部分)が、基材150に材料が適用され得る化学分配システム(例えば、シャワーヘッド180)により近接するように、処理中に湾曲する場合がある。理論に拘束されるものではないが、湾曲は、基材150上に堆積した層内の化合物が収縮し、それによって基材150の側面が上方に(シャワーヘッド180に向かって)引っ張られることよって引き起こされる可能性がある。したがって、その後の処理(例えば、追加の化学層の堆積)の前および/または間に基材150を平坦化するために、基材150をサセプタ130の基材支持面135上に載置する(例えば、平坦化する)ことが望ましい場合がある。
様々な実施形態では、圧力差は、反応空間112と下部チャンバ空間114との間に生じ得る(ステップ1204)。真空源(例えば、図1の真空ポンプ28の例であってもよい、真空源92および/または補助ポンプ94)は、下部チャンバ空間114と流体連通し得る。真空源は、下部チャンバ空間114および反応チャンバ110からの流体の流れを引き起こし、その中の圧力を低下させることができる。反応空間112内の圧力は、真空源が下部チャンバ空間114からの流体の流れを引き起こしている間、同じままであるか、または下部チャンバ空間114内の圧力よりも遅い速度で低下し得る。
サセプタ130は、基材支持面135からサセプタ底面136(またはその間の少なくとも一部分)まで、基材130を横断する少なくとも一つのピン穴137を備えてもよく、各穴はその中に配置されるリフトピン200/300を有する。リフトピンのうちの一つまたは複数は、ピン本体350の長さに延在する少なくとも一つのピンチャネル340を備えてもよい。本明細書で考察されるように、ピンチャネル340は、リフトピン300がサセプタ130のピン穴137内に配置され、ピン上端部310が基材支持面135と同一平面またはそれより下にあるとき、反応空間112および下部チャンバ空間114が、ピン穴137およびピンチャネル340を通して流体連通し得るように、ピン本体350の任意の好適な長さに延在し得る。例えば、ピンチャネル340は、ピン上端部310からピン底端部390にまで延在することができる。別の例として、ピンチャネル340は、ピンチャネル340を含むピン本体350の長さが、反応空間112と下部チャンバ空間114との間のサセプタ130(および反応器100の任意の他の構成要素)の厚さよりも厚くなるように、ピン上端部310からピン本体350の長さにまで延在し得る。別の例として、ピンチャネル340は、ピンチャネル340を含むピン本体350の長さが、リフトピン300がそれぞれのピン穴337に静止しているとき、反応空間112と下部チャンバ空間114との間のサセプタ130(および反応器100の任意の他の構成要素)に配置されたピン本体350の長さよりも長くなるように、ピン上端部310からピン本体350の長さにまで延在し得る。
反応空間112と下部チャンバ空間114(すなわち、下部チャンバ空間114よりも高い圧力を有する反応空間112)との間の圧力差を作り出すことに応答して、流体は、例えば、サセプタ130のピン穴を通って、およびピン穴に配置されたリフトピンのピンチャネルを通って、反応空間112から下部チャンバ空間114に流れ得る(ステップ1206)。例えば、流体は、ピン穴137およびピンチャネル340を通って、反応空間112から下部チャンバ空間114まで流れることができる。基材150は、基材支持面135上およびピン穴137上に配置され得るため、反応空間112からピン穴137およびピンチャネル340を通って下部チャンバ空間114に流れる流体は、ピン穴137の位置に近接する基材150に吸引力を加え得る。こうした吸引力は、基材支持面135および下部チャンバ空間114に向けられ得る。したがって、基材150に加えられる吸引力は、サセプタ130の基材支持面135上に基材150を平坦化または着座させ得る(ステップ1208)。様々な実施形態では、ピン穴137は、基材150の外縁部(湾曲しやすい可能性があるそれらの縁部)に近接して配置され、基材150の外縁部をサセプタ130の基材支持面135上に平坦に引き下げることができる。したがって、基材150の下側は、例えば、シャワーヘッド180を介して適用される材料の望ましくない堆積にさらされなくなり、基材150の外縁部は、基材150の中央部分に比べてシャワーヘッド180に近接しているため、より大きな材料堆積にさらされない場合がある。
様々な実施形態では、ピン穴137を通る吸引力は、その中(例えば、ピンがピン穴337内に静止しているときのピン上端部310より上のピン穴337の一部の中を含む)の圧力、および基材150下の圧力を、反応空間112の圧力よりも低くする。したがって、基材支持面135上に基材150を載置または平坦化することにより、ピン穴137上、および基材150と基材支持面135との間に、少なくとも部分的なシールを生成することができ、その結果、堆積サイクルの間に適用される材料は、基材150の下を容易に移動せず(すなわち、基材150と基材支持面135との間に)、基材150の下側および下部チャンバ空間114の望ましくない汚染を制限または防止することができる。こうした(部分的)シールはまた、基材支持面135上の基材150の移動を防止する。
様々な実施形態では、基材支持面135上に基材150を載置または平坦化するための方法1200のステップは(例えば、ステップ1204〜1208)、基材処理全体を通して、および/または任意の好適な時間および任意の好適な方法で適用され得る。例えば、基材支持面135上に基材150を載置するためのステップは、堆積サイクルの前、最中、および/または間に連続的に実施されてもよい。別の例として、基材支持面135上に基材150を載置するステップは、各堆積サイクルの前および/または最中に実施されてもよい(すなわち、シャワーヘッド180による材料の各適用の前および/または全体を通して、乾燥/ベーキング中の堆積サイクル間に中断しながら、基材150上に結果として生じる層を形成する)。
様々な実施形態では、堆積サイクルは、所望の厚さの層の所望の化学系が基材150上に配置されるまで、基材150上で実施され得る。それに応じて、基材150は、反応チャンバ110から除去され得る。そのために、サセプタ130および/またはリフトピン200は、互いに対して移動してもよい。例えば、サセプタ130は、リフトピン200に対して反応チャンバ110内で下向きに移動してもよく、および/またはリフトピン200は、反応チャンバ110内でサセプタ130に対して上向きに移動してもよい。したがって、基材150は、サセプタ130の基材支持面135から離れるリフトピン200によって上昇してもよく、これは、反応チャンバ110から基材150を除去するのを容易にし得る。
本開示の例示的な実施形態が本明細書に記載されているが、本開示はそれに限定されないことを理解されたい。例えば、反応器システムは様々な特定の構成に関連して説明されているが、本開示は必ずしもこれらの例に限定されない。本開示の趣旨および範囲から逸脱することなく、本明細書に記載のシステムおよび方法の様々な変更、変形、および改良を行うことができる。
本開示の主題は、本明細書に開示される様々なシステム、構成要素、および構成、ならびに他の特徴、機能、動作、および/または特性のすべての新規かつ非自明な組み合わせおよび部分的組み合わせ、ならびにその任意のおよびすべての均等物を含む。
4 反応チャンバ
6 サセプタ
8 流体分配システム
10、12 反応物質源
14 パージガス源
16〜20 ライン
22〜26 バルブまたはコントローラ
28 真空源
30 基材
50 反応器システム
100 反応器
104 サセプタエレベーター
108 空間
110 反応チャンバ
111 チャンバ側壁
112 反応空間
114 下部チャンバ空間
129 シール部材
130 サセプタ
132 サセプタ外側面
135 基材支持面
136 サセプタ底面
137 ピン穴
150 基材
180 シャワーヘッド
200 リフトピン
202 リフトピンエレベーター/プラットフォーム
300 リフトピン
310 ピン上端部
315 ピン上面
320 ピンヘッド
322 ピンヘッド外面
326 張り出し部
337 ピン穴
338 ピンヘッド孔
339 ピン穴面
340 ピンチャネル
342 ピンチャネル表面
350 リフトピン本体
370 ピン外面
390 ピン底端部

Claims (20)

  1. 反応器システムであって、
    チャンバ側壁によって囲まれた反応チャンバと、
    前記反応チャンバ内に配置されたサセプタであって、前記反応チャンバ内に含まれる反応空間と下部チャンバ空間との間に配置され、
    前記サセプタを通して配置されるピン穴を備え、その結果、前記ピン穴が前記反応空間および前記下部チャンバ空間と流体連通し、また前記反応空間が前記下部チャンバ空間と流体連通する、サセプタと、
    前記ピン穴内に配置されたリフトピンであって、ピン本体を備え、前記ピン本体が、前記リフトピンが前記ピン穴内に配置されるときに、前記反応空間が前記下部チャンバ空間と流体連通するように、前記ピン本体に配置されるピンチャンネル表面によって画定される、ピンチャネルを備える、リフトピンと、を備える、反応器システム。
  2. 前記リフトピンが、前記ピン本体のピン外面が前記ピン穴を画定するピン穴面に隣接して配置されるように配置される、請求項1に記載の反応器システム。
  3. 前記ピン本体が、前記ピンチャネルを含む切欠部を有する円筒形状を備え、前記ピン本体が、前記ピンチャネルを含む前記切欠部を有する円形断面をさらに備え、前記ピンチャネルが、前記ピン本体の前記円形断面内に扇形チャネルを備える、請求項1に記載の反応器システム。
  4. 前記ピンチャネルが、前記ピンチャネル表面が囲まれた形状を形成するように、前記ピン本体内に配置される、請求項1に記載の反応器システム。
  5. 前記下部チャンバ空間と流体連通する真空源をさらに備え、前記真空源は、前記リフトピンの前記ピンチャネルを通して前記反応チャンバの前記反応空間と流体連通する、請求項1に記載の反応器システム。
  6. 前記リフトピンが、前記ピン本体の上端部にピンヘッドを備え、前記ピンチャネルが前記ピンヘッドを通って延在し、前記ピンヘッドが、前記サセプタの前記ピン穴に少なくとも部分的に配置され、前記ピンヘッドが、前記サセプタと少なくとも部分的にシールを形成する、請求項1に記載の反応器システム。
  7. 前記チャンバ側壁が、前記サセプタのサセプタ外側面に近接して配置される、請求項1に記載の反応器システム。
  8. 前記反応空間と前記反応チャンバの前記下部チャンバ空間とが空間を介して流体連通するように、前記チャンバ側壁と前記サセプタ外側面との間に配置される前記空間をさらに備える、請求項7に記載の反応器システム。
  9. 流体の流れが、前記チャンバ側壁と前記サセプタ外側面との間で制限される、請求項7に記載の反応器システム。
  10. 前記リフトピンが前記ピン本体の上端部を備え、前記リフトピンおよび前記サセプタが、前記リフトピン本体の前記上端部が前記サセプタの基材支持面から突出できるように、互いに対して動くように構成される、請求項1に記載の反応器システム。
  11. 反応器システム内に含まれるサセプタ内に配置されるよう構成されたリフトピンであって、
    ピン外面によって画定されるピン本体であって、ピンチャネル表面によって画定され、前記ピン本体の長さに延在するピンチャネルを備えるピン本体を備える、リフトピン。
  12. 前記ピンチャネルが、前記ピンチャネル表面が囲まれた形状を形成するように、前記ピン本体内に配置される、請求項11に記載のリフトピン。
  13. 前記ピンチャネルが、前記ピン本体が延在する軸に対して直線的かつ平行に延在する、請求項11に記載のリフトピン。
  14. 前記ピン外面が、一部が欠落した幾何学的形状を含む断面形状を画定し、前記ピンチャネルが前記欠落部分である、請求項11に記載のリフトピン。
  15. 前記ピン本体の上端部にピンヘッドをさらに備え、前記ピンチャネルが前記ピンヘッドを通って延在する、請求項11に記載のリフトピン。
  16. 反応チャンバの反応空間と前記反応チャンバの下部チャンバ空間との間の反応器の前記反応チャンバ内に圧力差を生成することであって、前記反応空間と前記下部チャンバ空間とが前記反応チャンバ内に配置されたサセプタによって分離され、
    前記圧力差を形成することにより、下部チャンバ空間圧力が反応空間圧力よりも低くなる、圧力差を生成することと、
    前記圧力差を生成することに応答して、前記サセプタ内に配置されたピン穴を通して流体を前記下部チャンバ空間に流して、前記反応空間と前記下部チャンバ空間との間に延在させることであって、
    リフトピンが前記ピン穴内に配置され、前記リフトピンがピン本体を備え、前記ピン本体が、前記リフトピンが前記ピン穴内に配置されるときに、前記反応空間がピンチャネルを介して前記下部チャンバ空間と流体連通するように、前記ピン本体に配置される前記ピンチャネルを備える、流体を流して延在させることと、を備える、方法。
  17. 基材が、前記サセプタの基材支持面上に配置され、前記基材支持面が前記反応空間に面し、前記ピン穴が前記基材支持面を通って配置され、
    前記圧力差を前記生成し、前記流体を前記反応空間から前記ピン穴を通して前記下部チャンバ空間に流すことに応答して、前記基材支持面に向かって前記基材上に吸引力を生成することと、
    前記基材上に前記吸引力を前記生成することに応答して、前記基材を前記サセプタ支持面上に平坦に載置することと、をさらに含む、請求項16に記載の方法。
  18. 前記圧力差を前記生成することは、前記下部チャンバ空間に流体連結される真空源によって達成される、請求項16に記載の方法。
  19. 前記ピンチャネルが、前記ピン本体内に配置され、前記ピン本体によって完全に囲まれる、請求項16に記載の方法。
  20. 前記ピン本体が、一部が欠落した断面形状を含み、前記ピンチャネルが前記欠落部分である、請求項16に記載の方法。
JP2020213551A 2020-01-06 2020-12-23 チャネル付きリフトピン Pending JP2021111783A (ja)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US202062957516P 2020-01-06 2020-01-06
US62/957,516 2020-01-06

Publications (1)

Publication Number Publication Date
JP2021111783A true JP2021111783A (ja) 2021-08-02

Family

ID=76609205

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2020213551A Pending JP2021111783A (ja) 2020-01-06 2020-12-23 チャネル付きリフトピン

Country Status (4)

Country Link
US (1) US20210210373A1 (ja)
JP (1) JP2021111783A (ja)
KR (1) KR20210089079A (ja)
TW (1) TW202142733A (ja)

Families Citing this family (167)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
WO2019103613A1 (en) 2017-11-27 2019-05-31 Asm Ip Holding B.V. A storage device for storing wafer cassettes for use with a batch furnace
TWI791689B (zh) 2017-11-27 2023-02-11 荷蘭商Asm智慧財產控股私人有限公司 包括潔淨迷你環境之裝置
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
US11482412B2 (en) 2018-01-19 2022-10-25 Asm Ip Holding B.V. Method for depositing a gap-fill layer by plasma-assisted deposition
TWI799494B (zh) 2018-01-19 2023-04-21 荷蘭商Asm 智慧財產控股公司 沈積方法
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
CN111699278B (zh) 2018-02-14 2023-05-16 Asm Ip私人控股有限公司 通过循环沉积工艺在衬底上沉积含钌膜的方法
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
KR20210027265A (ko) 2018-06-27 2021-03-10 에이에스엠 아이피 홀딩 비.브이. 금속 함유 재료를 형성하기 위한 주기적 증착 방법 및 금속 함유 재료를 포함하는 막 및 구조체
WO2020002995A1 (en) 2018-06-27 2020-01-02 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
JP2020096183A (ja) 2018-12-14 2020-06-18 エーエスエム・アイピー・ホールディング・ベー・フェー 窒化ガリウムの選択的堆積を用いてデバイス構造体を形成する方法及びそのためのシステム
TW202405220A (zh) 2019-01-17 2024-02-01 荷蘭商Asm Ip 私人控股有限公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
JP2020136677A (ja) 2019-02-20 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための周期的堆積方法および装置
KR20200102357A (ko) 2019-02-20 2020-08-31 에이에스엠 아이피 홀딩 비.브이. 3-d nand 응용의 플러그 충진체 증착용 장치 및 방법
KR102638425B1 (ko) 2019-02-20 2024-02-21 에이에스엠 아이피 홀딩 비.브이. 기판 표면 내에 형성된 오목부를 충진하기 위한 방법 및 장치
JP2020133004A (ja) 2019-02-22 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材を処理するための基材処理装置および方法
KR20200108248A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOCN 층을 포함한 구조체 및 이의 형성 방법
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
JP2020167398A (ja) 2019-03-28 2020-10-08 エーエスエム・アイピー・ホールディング・ベー・フェー ドアオープナーおよびドアオープナーが提供される基材処理装置
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
US11447864B2 (en) 2019-04-19 2022-09-20 Asm Ip Holding B.V. Layer forming method and apparatus
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
JP2020188254A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
KR20200141002A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 배기 가스 분석을 포함한 기상 반응기 시스템을 사용하는 방법
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP2021015791A (ja) 2019-07-09 2021-02-12 エーエスエム アイピー ホールディング ビー.ブイ. 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
TW202113936A (zh) 2019-07-29 2021-04-01 荷蘭商Asm Ip私人控股有限公司 用於利用n型摻雜物及/或替代摻雜物選擇性沉積以達成高摻雜物併入之方法
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
KR20210018759A (ko) 2019-08-05 2021-02-18 에이에스엠 아이피 홀딩 비.브이. 화학물질 공급원 용기를 위한 액체 레벨 센서
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
TW202129060A (zh) 2019-10-08 2021-08-01 荷蘭商Asm Ip控股公司 基板處理裝置、及基板處理方法
TW202115273A (zh) 2019-10-10 2021-04-16 荷蘭商Asm Ip私人控股有限公司 形成光阻底層之方法及包括光阻底層之結構
KR20210045930A (ko) 2019-10-16 2021-04-27 에이에스엠 아이피 홀딩 비.브이. 실리콘 산화물의 토폴로지-선택적 막의 형성 방법
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
KR20210050453A (ko) 2019-10-25 2021-05-07 에이에스엠 아이피 홀딩 비.브이. 기판 표면 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
KR20210065848A (ko) 2019-11-26 2021-06-04 에이에스엠 아이피 홀딩 비.브이. 제1 유전체 표면과 제2 금속성 표면을 포함한 기판 상에 타겟 막을 선택적으로 형성하기 위한 방법
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
TW202125596A (zh) 2019-12-17 2021-07-01 荷蘭商Asm Ip私人控股有限公司 形成氮化釩層之方法以及包括該氮化釩層之結構
KR20210080214A (ko) 2019-12-19 2021-06-30 에이에스엠 아이피 홀딩 비.브이. 기판 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
TW202140135A (zh) 2020-01-06 2021-11-01 荷蘭商Asm Ip私人控股有限公司 氣體供應總成以及閥板總成
US11993847B2 (en) 2020-01-08 2024-05-28 Asm Ip Holding B.V. Injector
KR20210095050A (ko) 2020-01-20 2021-07-30 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법 및 박막 표면 개질 방법
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
KR20210100010A (ko) 2020-02-04 2021-08-13 에이에스엠 아이피 홀딩 비.브이. 대형 물품의 투과율 측정을 위한 방법 및 장치
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
TW202146715A (zh) 2020-02-17 2021-12-16 荷蘭商Asm Ip私人控股有限公司 用於生長磷摻雜矽層之方法及其系統
TW202203344A (zh) 2020-02-28 2022-01-16 荷蘭商Asm Ip控股公司 專用於零件清潔的系統
KR20210116249A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 록아웃 태그아웃 어셈블리 및 시스템 그리고 이의 사용 방법
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
KR20210117157A (ko) 2020-03-12 2021-09-28 에이에스엠 아이피 홀딩 비.브이. 타겟 토폴로지 프로파일을 갖는 층 구조를 제조하기 위한 방법
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
US11996289B2 (en) 2020-04-16 2024-05-28 Asm Ip Holding B.V. Methods of forming structures including silicon germanium and silicon layers, devices formed using the methods, and systems for performing the methods
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
TW202146831A (zh) 2020-04-24 2021-12-16 荷蘭商Asm Ip私人控股有限公司 垂直批式熔爐總成、及用於冷卻垂直批式熔爐之方法
CN113555279A (zh) 2020-04-24 2021-10-26 Asm Ip私人控股有限公司 形成含氮化钒的层的方法及包含其的结构
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
TW202147383A (zh) 2020-05-19 2021-12-16 荷蘭商Asm Ip私人控股有限公司 基材處理設備
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
KR20210145080A (ko) 2020-05-22 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 과산화수소를 사용하여 박막을 증착하기 위한 장치
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202219628A (zh) 2020-07-17 2022-05-16 荷蘭商Asm Ip私人控股有限公司 用於光微影之結構與方法
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
TW202212623A (zh) 2020-08-26 2022-04-01 荷蘭商Asm Ip私人控股有限公司 形成金屬氧化矽層及金屬氮氧化矽層的方法、半導體結構、及系統
USD983151S1 (en) * 2020-09-09 2023-04-11 Kokusai Electric Corporation Exhaust liner for reaction tube
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
KR20220053482A (ko) 2020-10-22 2022-04-29 에이에스엠 아이피 홀딩 비.브이. 바나듐 금속을 증착하는 방법, 구조체, 소자 및 증착 어셈블리
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
TW202235675A (zh) 2020-11-30 2022-09-16 荷蘭商Asm Ip私人控股有限公司 注入器、及基板處理設備
CN114639631A (zh) 2020-12-16 2022-06-17 Asm Ip私人控股有限公司 跳动和摆动测量固定装置
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate

Family Cites Families (9)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP4137419B2 (ja) * 2001-09-28 2008-08-20 東京エレクトロン株式会社 プラズマ処理装置
KR100666764B1 (ko) * 2001-10-16 2007-01-09 동경 엘렉트론 주식회사 피처리체 승강기구 및 이를 사용한 처리장치
US20030075387A1 (en) * 2001-10-22 2003-04-24 Chung-Chiang Wang Wafer loading device
JP4354243B2 (ja) * 2003-04-21 2009-10-28 東京エレクトロン株式会社 被処理体の昇降機構及び処理装置
US8256754B2 (en) * 2007-12-12 2012-09-04 Applied Materials, Inc. Lift pin for substrate processing
US9991153B2 (en) * 2013-03-14 2018-06-05 Applied Materials, Inc. Substrate support bushing
US10163676B2 (en) * 2013-06-27 2018-12-25 Taiwan Semiconductor Manufacturing Co., Ltd. Apparatus and system for preventing backside peeling defects on semiconductor wafers
WO2018106952A1 (en) * 2016-12-07 2018-06-14 Tel Fsi, Inc. Wafer edge lift pin design for manufacturing a semiconductor device
US10971352B2 (en) * 2018-07-16 2021-04-06 Taiwan Semiconductor Manufacturing Co., Ltd Cleaning method and apparatus

Also Published As

Publication number Publication date
KR20210089079A (ko) 2021-07-15
CN113073310A (zh) 2021-07-06
US20210210373A1 (en) 2021-07-08
TW202142733A (zh) 2021-11-16

Similar Documents

Publication Publication Date Title
JP2021111783A (ja) チャネル付きリフトピン
US20230017569A1 (en) Semiconductor processing apparatus and a method for processing a substrate
JP4511171B2 (ja) 順次堆積法を用いて複合積層膜を形成するシステムと方法
JP4965247B2 (ja) 促進されたaldプロセス
US8215264B2 (en) Atomic layer deposition apparatus
US6821347B2 (en) Apparatus and method for depositing materials onto microelectronic workpieces
TWI449803B (zh) 原位腔室處理與沉積製程
KR100868953B1 (ko) 기판처리장치 및 반도체장치의 제조방법
US6818249B2 (en) Reactors, systems with reaction chambers, and methods for depositing materials onto micro-device workpieces
TWI822284B (zh) 半導體加工設備
KR102571409B1 (ko) 성막 방법
CN112242324A (zh) 用于半导体处理系统的喷淋头装置
JP2024511195A (ja) 適用体積内へのボトムパージの侵入を防止し、ヒータ下のガス拡散を処理するハードウェア
JP2017092093A (ja) 基板処理装置、基板処理方法及び基板保持部材
CN113073310B (zh) 有通道的举升销
US20230063286A1 (en) Baffle for a reactor system
US20210348273A1 (en) Reactor system comprising a tuning circuit
US20220084786A1 (en) Susceptor assembly for plasma apparatus
KR102549555B1 (ko) 공정 챔버용 부품 및 보호막 처리 장치

Legal Events

Date Code Title Description
A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20231211