JP4511171B2 - 順次堆積法を用いて複合積層膜を形成するシステムと方法 - Google Patents

順次堆積法を用いて複合積層膜を形成するシステムと方法 Download PDF

Info

Publication number
JP4511171B2
JP4511171B2 JP2003507887A JP2003507887A JP4511171B2 JP 4511171 B2 JP4511171 B2 JP 4511171B2 JP 2003507887 A JP2003507887 A JP 2003507887A JP 2003507887 A JP2003507887 A JP 2003507887A JP 4511171 B2 JP4511171 B2 JP 4511171B2
Authority
JP
Japan
Prior art keywords
processing chamber
gas
reaction
substrate
reaction gas
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Expired - Fee Related
Application number
JP2003507887A
Other languages
English (en)
Other versions
JP2004536451A (ja
Inventor
アルフレド ダブリュ. マック,
メイ チャン,
ジェオン スー ビュン,
ファ チュン,
アショック シンハ,
モリス コリ,
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Applied Materials Inc
Original Assignee
Applied Materials Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Applied Materials Inc filed Critical Applied Materials Inc
Publication of JP2004536451A publication Critical patent/JP2004536451A/ja
Application granted granted Critical
Publication of JP4511171B2 publication Critical patent/JP4511171B2/ja
Anticipated expiration legal-status Critical
Expired - Fee Related legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76843Barrier, adhesion or liner layers formed in openings in a dielectric
    • H01L21/76846Layer combinations
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/283Deposition of conductive or insulating materials for electrodes conducting electric current
    • H01L21/285Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation
    • H01L21/28506Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers
    • H01L21/28512Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic Table
    • H01L21/28556Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic Table by chemical means, e.g. CVD, LPCVD, PECVD, laser CVD
    • H01L21/28562Selective deposition

Landscapes

  • Engineering & Computer Science (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Physics & Mathematics (AREA)
  • Power Engineering (AREA)
  • Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Electrodes Of Semiconductors (AREA)
  • Chemical Vapour Deposition (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)
  • Manufacturing Of Printed Wiring (AREA)

Description

発明の背景
本発明は半導体基板の処理に関する。より詳細には、本発明はコンタクトの形成処理の改良点に関する。
多層集積回路のコンタクト形成は、回路フィーチャの小型化により回路の高密化が進むにつれ、半導体業界へ多くの問題を投げかけている。コンタクトは、2つの離間導電層間に配置された絶縁材料の表面開口部に、導電相互接続材料を堆積することで形成される。アルミニウム等従来の相互接続材料を用いると、そのような開口部のアスペクト比により、満足なステップカバレッジとギャップ充填を示す導電相互接続材料の堆積が妨げられる。更に、アルミニウムと周囲絶縁材料との間で拡散が起きることがあり、これは生成される電気回路の動作に悪影響を及ぼす。
アルミニウムの拡散を抑えつつ、そのステップカバレッジとギャップ充填とを共に高めるため、バリア材料が導入されてきた。また、バリア材料は良好なアルミニウム付着特性も備えなければならない。そうでなければ、生成されるコンタクトの熱および電気伝導性が損なわれてしまう。上記特性を備えるバリア材料の例として、TiN、TiW、TiB2、TiCおよびTi2Nがある。
しかしながら、アルミニウムよりも低い電気抵抗を備える相互接続材料を提供する試みがなされてきた。これにより銅アルミニウムが代用されることとなった。アルミニウム同様、銅も拡散特性が欠点であり、また、適切なバリア材料の可用性を低下させる好ましくない金属間合金を形成してしまう。
タングステンは銅拡散を効果的に防ぐ適切なバリア材料であることが分かっている。一般に、化学気相成長(CVD)法を用いる場合、タングステン堆積にはいくつかの不都合が伴う。タングステンは周囲誘電材料内へ容易に拡散する。更に、タングステンの均一な堆積は難しいことが分かっている。これはタングステン層の厚みを1%超変えることで判明している。結果として、タングステン層の抵抗制御は難しくなる。
従って、銅相互接続のための、タングステンを含むバリア層の形成方法に対して改良ニーズがある。

発明の概要
本発明の一実施の形態は、処理チャンバ内に配置される基板上に積層バリア層を形成する方法に関し、先ず、基板を第1および第2の反応ガスに順次曝露して付着層を形成する。次に、付着層を第3および第4の反応ガスに順次曝露して、付着層に隣接するバリア層を形成する。銅層はバリア層にするように配置される。そのため、本発明の別の実施の形態は、この方法を実施するシステムに関する。

発明の詳細な説明
図1を参照すると、例示のウェーハ処理システムは、壁18で囲まれた共通作業領域16に配置される1つ以上の処理チャンバ12、13、14を含んでいる。処理チャンバ12、14は、24、26として示す1つ以上のモニタに接続されているコントローラ22とデータ通信する。モニタ24、26は一般に、処理チャンバ12、14と関連付けられた処理に関する共通情報を表示する。モニタ26は壁18に取り付けられ、モニタ24は作業領域16に配置されている。処理チャンバ12、14は、モニタ24、26の1つに関連付けられ、かつ、コントローラ22と通信するライトペンを用いて動作制御できる。例えば、ライトペン28aはモニタ24に関連付けられていて、モニタ24を介してコントローラ22との通信を容易にする。ライトペン28bは、モニタ26を介してコントローラ22との通信を容易にする。
図1と図2を共に参照すると、処理チャンバ12、14はそれぞれ底壁32と、底壁32とは反対側に配置されたカバー34と、その間に延在する側壁36とを有するハウジング30を含む。ハウジング30はチャンバ37を画成し、ペデスタル38は処理チャンバ37内に配置されていて半導体ウェーハ等基板42を支持する。ペデスタル38は、変位機構(図示せず)を用いてカバー34と底壁32との間を移動するよう取り付けてもよいが、一般に、底壁32に近接して固定されている。処理ガスの供給装置39a、39b、39c、39d、39eは、シャワーヘッド40を介して処理チャンバ37に流体連通している。供給装置39a、39b、39cからのガスフローは、フローバルブ41を介して調節される。
特定の処理に従い、基板42を、ペデスタル38に埋め込まれた加熱器を介して層の堆積前に所望温度で加熱してもよい。例えば、AC電源43からの電流を加熱素子44に加えることで、ペデスタル38を抵抗加熱してもよい。次に、基板42がペデスタル38で加熱され、例えば、約20℃から約750℃までの範囲内で所望の処理温度に維持されるが、実際の温度は使用ガスと、被堆積面のトポグラフィとにより変化する。また、熱電対等の温度センサ46もウェーハ支持ペデスタル38に埋め込まれていて、従来の方法でペデスタル38の温度を監視する。例えば、ウェーハ温度を特定処理用途に適した所望温度で維持または制御できるように、測定温度をフィードバックループに用いて、電源43が加熱素子44に加える電流を制御してもよい。放射熱(不図示)を用いてペデスタル38を任意で加熱する。真空ポンプ48を用いて処理チャンバ37を排気するとともに、処理チャンバ37内のガスフローと圧力を適切に維持する。
図1と図3を参照すると、先に検討した処理チャンバ12、14の一方または両方を動作させて、基板42上に本発明によるコンタクトを基板42上に形成してもよい。そのため、基板42はシリコン等、半導体処理に適した何れの材料でも形成されるウェーハ50を含む。層52として示す1つ以上の層がウェーハ50上に存在してもよい。層52は、誘電材料または導電材料を含む何れの適切な材料で形成してもよい。層52はボイド54を含み、基板42の領域56を露出している。
図4を参照すると、チタン等の高融点金属化合物を含む層が、層52および領域54に近接して形成されている。以下、更に全体にわたって検討するが、本実施例では、基板42を処理ガスに順次曝露して異なる化合物の単分子層を基板上に化学吸着することにより、層58を窒化チタン、つまり、TiNで形成する。層58は、領域56および層52を覆うようにボイド54の側面に沿っている。
図5を参照すると、別の高融点金属層60が層58にするように形成されている。本実施例では、異なる処理ガスを用いる点を除き、層52に関して先に検討した方法で層60をタングステンにより形成する。層60は層58の側面に沿っていて、故に、ボイド54の側面に沿っている。
図6を参照すると、標準堆積法を用いて、ボイド54を充填する銅の層64を堆積することで、ボイド54内に形成された本発明によるコンタクト62の一例を示す。この構成によれば、TiN層58およびW層60から成る積層バリア層がコンタクト62を囲んでいる。TiN層58は付着層として機能し、W層60の核形成および堆積を容易にする。また、TiN層は拡散バリアとしても機能し、領域56および層52等周囲環境へのW拡散を防止しなくとも抑制する。W層60はコンタクト62のためのバリア層として機能することで、銅材料がTiN層58内へ、またはこれを通ってボイド54を囲む環境へと拡散するのを防止する。原子層堆積法等の順次堆積法を用いることで、上記積層バリア層の熱的および導電特性が優れる。特に、以下説明する順次堆積法により、層58、60両方の厚みを正確に制御できる。
図1、図6および図7を参照すると、先に検討した処理チャンバ12、14の一方または両方を動作させ、順次堆積法を用いて基板42上に層58、60を堆積してもよい。特に、基板42の初期表面、例えば、領域56の表面および層52の表面は処理領域に活性配位子を与える。一群の第1の処理ガス、この場合Aaxにより、配位子xの表面が処理チャンバ37に曝露されている基板42上に、Aの層が堆積される。その後、パージガスが処理チャンバ37へ侵入してガスAaxをパージする。処理チャンバ37からガスAaxをパージした後、第2群の処理ガス、つまり、Bbyを処理チャンバ37へ導入する。基板表面上に存在するa配位子がb配位子およびB原子と反応することで、分子ab、Baが放出され、b配位子およびB原子は基板42から遠ざかり、その後処理チャンバ37からポンプ吸引される。このようにして、図4に示すように、A原子の単分子層を有する表面が基板42上に残留し、処理チャンバ37に曝露されている。所望厚が得られるまでこの処理を繰り返して行う。
図2と図8を共に参照すると、何れのタイプの処理ガスを用いてもよいが、本実施例において処理ガスAaは、TDMAT、TDEATおよびTiClを含む群から選択されるチタン含有ガスである。処理ガスBbは還元剤として機能し、H、B、SiHおよびNHを含む群から選択される。2つのパージガス、つまり、ArおよびNを用いた。各処理ガスをキャリアガスと共に処理チャンバ37へ流入するが、本実施例においてキャリアガスはパージガスの1つである。しかしながら、以下更に全体にわたって検討するが、パージガスがキャリアガスと異なってもよいのは言うまでもない。本発明による順次堆積法の1サイクルは、処理チャンバ37へのチタン含有ガス流入前の時間tにおいて、処理チャンバ37へパージガスを流入することを含む。時間tにおいて、チタン含有処理ガスをキャリアガスと共に処理チャンバ37へ流入する。t経過後、チタン含有ガスのフローは停止するが、時間tにおいてキャリアガスのフローは継続し、処理チャンバからチタン含有処理ガスをパージする。時間tにおいて、ガスをすべて除去するために処理チャンバ37内をポンプ吸引する。処理チャンバ37内のポンプ吸引後、時間tにおいてキャリアガスを導入し、その後、時間tにおいて還元処理ガスをキャリアガスと共に処理チャンバ37へ導入する。その後、処理チャンバ37への還元処理ガスのフローが停止する。処理チャンバ37への還元処理ガスのフロー停止後、時間tにおいてキャリアガスのフローが継続する。その後、時間tにおいて、内部のガスをすべて除去するために処理チャンバ37内をポンプ吸引することで、本発明による順次堆積法の1サイクルが終了する。層58が所望厚に達するまで、上記サイクルを複数回繰り返す。TiN層58が所望厚に達したら、順次堆積法を用いてこれにW層60をするように堆積する。
図2および図10を参照すると、W層60形成のため、処理ガスAaxは六フッ化タングステン、つまり、WF6等何れの既知のタングステン含有ガスでもよい。処理ガスBbyは還元剤として機能し、SiH4、B26およびNH3を含む群から選択される。先に検討したものと同様のパージガスを用いてもよい。先に検討したように、各処理ガスをキャリアガスと共に処理チャンバ37へ流入させる。本発明によるW層60形成のための順次堆積法の1サイクルは、処理チャンバ37へのタングステン含有ガス流入前の時間t9において、処理チャンバ37へパージガスを流入させることを含む。時間t10において、タングステン含有処理ガスをキャリアガスと共に処理チャンバ37へ流入させる。時間t10経過後、タングステン含有ガスのフローは停止するが、時間t11においてキャリアガスのフローは継続し、処理チャンバからタングステン含有処理ガスをパージする。時間t12において、ガスをすべて除去するために処理チャンバ37内をポンプ吸引する。処理チャンバ37内のポンプ吸引後、時間t13においてキャリアガスを導入し、その後、時間t14において還元処理ガスをキャリアガスと共に処理チャンバ37へ導入する。その後、処理チャンバ37への還元処理ガスのフローが停止する。処理チャンバ37への還元処理ガスのフロー停止後、時間t15においてキャリアのフローが継続する。その後、時間t16において、内部のガスをすべて除去するために処理チャンバ37内をポンプ吸引することで、本発明による順次堆積法の1サイクルが終了する。層60が所望厚に達するまで、上記サイクルを複数回繰り返す。W層60が所望厚に達したら、既知方法を用いて、図6に示すコンタクト62を堆積してもよい。
順次堆積法の利用による利点は多様であり、これには層形成のフラックス非依存性により、基板サイズに関係なく堆積の均一性が得られることがある。例えば、同チャンバ内に配置された200mm基板と32mm基板とにおいて測定した層の均一性および厚みの測定差は、ごく僅かである。これは化学吸着の自己制御特性によるものである。更に、化学吸着特性により、複雑なトポグラフィに関してほぼ完全なステップカバレッジが得られる。
更に、順次堆積法を用いることにより、層58、60の抵抗を最少限に抑えつつこれら層の厚みを容易に制御できる。本発明の一実施例では、層58、60およびコンタクト62を共通処理チャンバ、例えば、チャンバ12、14内で堆積してもよい。層58、60およびコンタクト62の堆積時の柔軟性を高めるため、二分化式堆積処理により層58を1つの処理チャンバ、例えば、チャンバ12内で堆積し、層60を別のチャンバ、例えば、チャンバ14内で堆積してもよい。これにより層58、60それぞれの堆積時間が短縮されるが、特に、必要高融点金属層の堆積に必要な処理パラメータを実行するよう、各処理チャンバ12、14をプリセットしておくことで短縮される。
再び図2を参照すると、コントローラ22が実行するコンピュータプログラム製品を用いて、タングステン層の堆積処理を制御してもよい。そのため、コントローラ22は中央処理装置(CPU)70と、ランダムアクセスメモリ(RAM)72等の揮発性メモリと、フロッピーディスク用途のフロッピーディスクドライブ、またはハードディスクドライブ74等の永久記憶媒体とを含む。コンピュータプログラムコードは、何れの従来のコンピュータ可読プログラミング言語でも書くことができる。例えば、68000アセンブリ言語、C、C++、Pascal、Fortran等である。適切なプログラムコードを、従来のテキストエディタを用いて単一ファイルまたは複数ファイルに入力し、ハードディスクドライブ74等のコンピュータ可読媒体に格納するかまたは組み込む。入力コードテキストが高級言語で書かれている場合は、コードをコンパイルし、次に、生成されたコンパイラコードをプリコンパイルされたWindows(登録商標)ライブラリルーチンのオブジェクトコードにリンクする。リンクされ、コンパイルされたオブジェクトコードを実行するため、システムユーザがオブジェクトコードを呼び出すと、CPU70がコードをRAM72にロードする。CPU70は次に、コードを読み出し、実行して、プログラム内で特定されたタスクを行う。
本発明を特定の実施の形態に関して説明してきたが、反応条件、つまり、温度、圧力、膜厚等の各種変更が可能であり、それらを本明細書およびガスの堆積シーケンスに含めなければならないことを当業者は認めるであろう。例えば、順次堆積処理は初期シーケンスが異なっていてもよい。初期シーケンスは、処理チャンバへの金属含有ガス導入前に基板を還元ガスに曝露することを含んでもよい。更に、先に説明した高融点金属層に加え、バリア層形成以外の目的で他の積層を堆積してもよい。CVDに代えて、タングステンと他の堆積法を用いてもよい。例えば、物理気相成長(PVD)法、またはCVD法とPVD法両方の組み合わせを用いてもよい。従って、本発明の範囲は上記説明に基づいてはならない。それどころか、本発明の範囲は本明細書に記載の請求の範囲と、その均等論の全範囲とに基づいて決定しなければならない。
本発明による半導体処理システムの斜視図である。 上記図1に示す処理チャンバの詳細図である。 本発明の一実施の形態による第1の高融点金属層の堆積前の、上記図2に示す基板の詳細断面図である。 本発明の一実施の形態による第1の高融点金属層の堆積後の、上記図3に示す基板の詳細断面図である。 本発明の一実施の形態による第2の高融点金属層の堆積後の、上記図4に示す基板の詳細断面図である。 本発明の一実施の形態による銅コンタクトの堆積後の、上記図2に示す基板の詳細断面図である。 本発明の一実施の形態による順次堆積法において、第1の分子が基板上へ堆積する様子を示す略図である。 本発明の一実施の形態による順次堆積法において、第2の分子が基板上へ堆積する様子を示す略図である。 上記図2に示す処理チャンバへ導入されるガスの濃度と、本発明の一実施の形態による上記図4に示すチタン高融点金属層の堆積のため、ガスが処理チャンバ内に存在する時間とを示すグラフである。 上記図2に示す処理チャンバへ導入されるガスの濃度と、本発明の一実施の形態による上記図4に示すタングステン層の堆積のため、ガスが処理チャンバ内に存在する時間とを示すグラフである。
符号の説明
12…処理チャンバ、13…処理チャンバ、14…処理チャンバ、16…共通作業領域、18…壁、22…コントローラ、24…モニタ、26…モニタ、28a、28b…ライトペン、30…ハウジング、32…底壁、34…カバー、36…側壁、38…ペデスタル、40…シャワーヘッド、41…フローバルブ、42…基板、43…AC電源、44…加熱素子、46…温度センサ、48…真空ポンプ、50…ウェーハ、52…層、54…ボイド、56…領域、58…層、60…高融点金属層、62…コンタクト、64…銅の層。

Claims (18)

  1. 処理チャンバ内に配置される基板上に積層バリア層を形成する方法であって、
    前記基板を第1および第2の反応ガスに順次曝露して付着層を形成するステップであって、前記第1の反応ガスがTDMAT、TDEATおよびTiCl4から成る群より選択され、前記第2の反応ガスがH2、B26、SiH4およびNH3から成る群より選択されるステップと、
    前記付着層を第3および第4の反応ガスに順次曝露して、前記付着層に隣接するバリア層を形成するステップであって、前記第3の反応ガスがWF6であり、前記第4の反応ガスがB26、SiH4およびNH3から成る群より選択されるステップとを含む、方法。
  2. 前記バリア層に隣接する銅の層を堆積するステップを更に含む、請求項1に記載の方法。
  3. 前記基板を第1および第2の反応ガスに順次曝露して前記付着層を形成するステップを、前記付着層を第3および第4の反応ガスに順次曝露する前に、所望厚が得られるまで繰り返すステップを更に含む、請求項1に記載の方法。
  4. 前記基板を第3および第4の反応ガスに順次曝露して前記バリア層を形成するステップを、前記基板を第1および第2の反応ガスに順次曝露した後に、所望厚が得られるまで繰り返すステップを更に含む、請求項3に記載の方法。
  5. 第1および第2の処理チャンバを備えるステップを更に含み、前記基板を第1および第2の反応ガスに順次曝露するステップは、前記基板を前記第1の処理チャンバ内に配置した状態で前記第1および第2の反応ガスに順次曝露するステップを更に含み、前記付着層を第3および第4の反応ガスに順次曝露するステップは、前記基板を前記第2の処理チャンバ内に位置決めした状態で前記付着層を第3および第4の反応ガスに順次曝露するステップを更に含む、請求項1に記載の方法。
  6. 第1および第2の処理チャンバを備えるステップを更に含み、前記基板を第1および第2の反応ガスに順次曝露するステップは、前記基板を前記第1の処理チャンバ内に配置した状態で前記第1および第2の反応ガスに順次曝露するステップを更に含み、前記付着層を第3および第4の反応ガスに順次曝露するステップは、前記基板を前記第1の処理チャンバ内に位置決めした状態で前記付着層を第3および第4の反応ガスに順次曝露するステップを更に含み、前記バリア層に隣接する銅の層を堆積するステップは、前記基板を前記第2の処理チャンバ内に位置決めした状態で前記バリア層に隣接する銅の層を堆積するステップを更に含む、請求項3に記載の方法。
  7. 第1、第2および第3の処理チャンバを備えるステップを更に含み、前記基板を第1および第2の反応ガスに順次曝露するステップは、前記基板を前記第1の処理チャンバ内に配置した状態で前記第1および第2の反応ガスに順次曝露するステップを更に含み、前記付着層を第3および第4の反応ガスに順次曝露するステップは、前記基板を前記第の処理チャンバ内に位置決めした状態で前記付着層を第3および第4の反応ガスに順次曝露するステップを更に含み、前記バリア層に隣接する銅の層を堆積するステップは、前記基板を前記第3の処理チャンバ内に位置決めした状態で前記バリア層に隣接する銅の層を堆積するステップを更に含む、請求項3に記載の方法。
  8. 前記基板を順次曝露するステップは、前記第2の反応ガスを前記処理チャンバへ導入するステップと、前記付着層を前記第3の反応ガスに曝露する前に、前記処理チャンバから前記第2の反応ガスをパージするステップとを更に含む、請求項1に記載の方法。
  9. 前記基板を順次曝露するステップは、前記第1の反応ガスおよび前記第2の反応ガスを前記処理チャンバへ順次導入するステップと、前記基板を前記第1の反応ガスに曝露した後であって前記基板を前記第2の反応ガスに曝露する前に、前記処理チャンバへパージガスを導入することにより、前記第2の反応ガスの導入前に前記処理チャンバから前記第1の反応ガスをパージするステップとを更に含む、請求項1に記載の方法。
  10. 前記基板を順次曝露するステップは、前記第1の反応ガスおよび前記第2の反応ガスを前記処理チャンバへ順次導入するステップと、前記第2の反応ガスの導入前に前記処理チャンバ内をポンプ吸引して前記第1の反応ガスを除去することにより、前記第2の反応ガスの導入前に前記処理チャンバから前記第1の反応ガスをパージするステップとを更に含む、請求項1に記載の方法。
  11. 前記付着層を順次曝露するステップは、前記第3および第4の反応ガスを前記処理チャンバへ順次導入するステップと、前記基板を前記第3の反応ガスに曝露した後であって前記基板を前記第4の反応ガスに曝露する前に、前記処理チャンバへパージガスを導入することにより、前記第4の反応ガスの導入前に前記処理チャンバから前記第3の反応ガスをパージするステップとを更に含む、請求項1に記載の方法。
  12. 前記付着層を順次曝露するステップは、前記第3および第4の反応ガスを前記処理チャンバへ順次導入するステップと、前記第4の反応ガスの導入前に前記処理チャンバ内をポンプ吸引して前記第3の反応ガスを除去することにより、前記第4の反応ガスの導入前に前記処理チャンバから前記第3の反応ガスをパージするステップとを更に含む、請求項1に記載の方法。
  13. 処理チャンバ内に配置される基板上に積層バリア層を形成する方法であって、
    第1の反応ガスを前記処理チャンバへ導入し、第2の反応ガスの導入前に前記処理チャンバから前記第1の反応ガスを除去することにより、前記基板を前記第1および第2の反応ガスに順次曝露して付着層を形成するステップであって、前記第1の反応ガスがTDMAT、TDEATおよびTiCl4から成る群より選択され、前記第2の反応ガスがH2、B26、SiH4およびNH3から成る群より選択されるステップと、
    前記基板を第1および第2の反応ガスに順次曝露して前記付着層を形成するステップを、所望厚が得られるまで繰り返すステップと、
    第3の反応ガスを前記処理チャンバへ導入し、第4の反応ガスの導入前に前記処理チャンバから前記第3の反応ガスを除去することにより、前記付着層を前記第3および第4の反応ガスに順次曝露して、前記付着層に隣接するバリア層を形成するステップであって、前記第3の反応ガスがWF6であり、前記第4の反応ガスがB26、SiH4およびNH3から成る群より選択されるステップと、
    前記基板を第3および第4の反応ガスに順次曝露して前記バリア層を形成するステップを、許容厚が得られるまで繰り返すステップと、
    前記第3または第4の反応ガスの何れか一方の導入前に、前記処理チャンバから前記第1および第2の反応ガスをパージするステップと、
    前記バリア層に隣接する銅の層を堆積するステップとを含む、方法。
  14. 前記基板を第1および第2の反応ガスに順次曝露するステップは、前記処理チャンバへパージガスを導入することにより、前記第2の反応ガスの導入前に前記処理チャンバから前記第1の反応ガスを除去するステップを更に含み、前記付着層を第3および第4の反応ガスに順次曝露するステップは、前記処理チャンバへパージガスを導入することにより、前記第4の反応ガスの導入前に前記処理チャンバから前記第3の反応ガスを除去するステップを更に含み、前記処理チャンバから前記第1および第2の反応ガスをパージするステップは、前記処理チャンバへ前記パージガスを導入することにより、前記処理チャンバをパージするステップを更に含む、請求項1に記載の方法。
  15. 前記基板を第1および第2の反応ガスに順次曝露するステップは、前記処理チャンバ内をポンプ吸引して前記第1の反応ガスを除去することにより、前記第2の反応ガスの導入前に前記処理チャンバから前記第1の反応ガスを除去するステップを更に含み、前記付着層を第3および第4の反応ガスに順次曝露するステップは、前記処理チャンバ内をポンプ吸引して前記第3の反応ガスを除去することにより、前記処理チャンバから前記第3の反応ガスを除去するステップを更に含み、前記処理チャンバから前記第1および第2の反応ガスをパージするステップは、前記処理チャンバ内をポンプ吸引してその内部に存在するガスをすべて除去するステップを更に含む、請求項1に記載の方法。
  16. 基板上に積層バリア層を形成する処理システムであって、
    処理チャンバを画成するボディと、
    前記処理チャンバ内に配置されて前記基板を支持するホルダと、
    前記処理チャンバと流体連通するガス配送システムと、
    前記処理チャンバに熱伝達する温度制御システムと、
    前記処理チャンバと流体連通する圧力制御システムと、
    前記ガス配送システム、前記温度制御システムおよび前記圧力制御システムと電気通信するコントローラと、
    前記コントローラとデータ通信するメモリとを備え、前記メモリは、コンピュータ可読プログラムが内部に組み込まれたコンピュータ可読媒体を備え、前記コンピュータ可読プログラムは、前記基板を第1および第2の反応ガスに順次曝露して前記基板上に付着層を形成するため、前記ガス配送システムを制御する第1セットの命令と、前記付着層を第3および第4の反応ガスに順次曝露して、前記付着層に隣接するバリア層を形成するため、前記ガス配送システムを制御する第2セットの命令とを含み、
    前記第1の反応ガスがTDMAT、TDEATおよびTiCl4から成る群より選択され、前記第2の反応ガスがH2、B26、SiH4およびNH3から成る群より選択され、前記第3の反応ガスがWF6であり、前記第4の反応ガスがB26、SiH4およびNH3から成る群より選択される、システム。
  17. 前記コンピュータ可読プログラムは、前記処理チャンバへパージガスを導入することにより、前記第2の反応ガスの導入前に前記処理チャンバから前記第1の反応ガスを除去するため、前記ガス配送システムを制御する第3セットの命令と、前記処理チャンバへパージガスを導入することにより、前記第4の反応ガスの導入前に前記処理チャンバから前記第3の反応ガスを除去するため、前記ガス配送システムを制御する第4セットの命令と、
    前記処理チャンバへ前記パージガスを導入することにより、前記第3および第4の反応ガスの導入前に前記処理チャンバから前記第1および第2の反応ガスをパージするため、前記ガス配送システムを制御する第5セットの命令とを含む、請求項1に記載のシステム。
  18. 前記コンピュータ可読プログラムは、前記処理チャンバ内をポンプ吸引して前記第1の反応ガスを除去することにより、前記第2の反応ガスの導入前に前記処理チャンバから前記第1の反応ガスを除去するため、前記圧力制御システムを制御する第3セットの命令と、前記処理チャンバ内をポンプ吸引して前記第3の反応ガスを除去することにより、前記第4の反応ガスの導入前に前記処理チャンバから前記第3の反応ガスを除去するため、前記圧力制御システムを制御する第4セットの命令と、前記処理チャンバ内をポンプ吸引して前記第1および第2の反応ガスを除去することにより、前記第3および第4の反応ガスの導入前に前記処理チャンバから前記第1および第2の反応ガスをパージするため、前記圧力制御システムを制御する第5セットの命令とを含む、請求項1に記載のシステム。
JP2003507887A 2001-06-20 2002-06-20 順次堆積法を用いて複合積層膜を形成するシステムと方法 Expired - Fee Related JP4511171B2 (ja)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US09/885,609 US6849545B2 (en) 2001-06-20 2001-06-20 System and method to form a composite film stack utilizing sequential deposition techniques
PCT/US2002/019481 WO2003001590A2 (en) 2001-06-20 2002-06-20 System and method to form a composite film stack utilizing sequential deposition techniques

Publications (2)

Publication Number Publication Date
JP2004536451A JP2004536451A (ja) 2004-12-02
JP4511171B2 true JP4511171B2 (ja) 2010-07-28

Family

ID=25387303

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2003507887A Expired - Fee Related JP4511171B2 (ja) 2001-06-20 2002-06-20 順次堆積法を用いて複合積層膜を形成するシステムと方法

Country Status (5)

Country Link
US (1) US6849545B2 (ja)
EP (1) EP1397833A2 (ja)
JP (1) JP4511171B2 (ja)
KR (1) KR100871082B1 (ja)
WO (1) WO2003001590A2 (ja)

Families Citing this family (39)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6974766B1 (en) * 1998-10-01 2005-12-13 Applied Materials, Inc. In situ deposition of a low κ dielectric layer, barrier layer, etch stop, and anti-reflective coating for damascene application
US6620723B1 (en) 2000-06-27 2003-09-16 Applied Materials, Inc. Formation of boride barrier layers using chemisorption techniques
US6551929B1 (en) 2000-06-28 2003-04-22 Applied Materials, Inc. Bifurcated deposition process for depositing refractory metal layers employing atomic layer deposition and chemical vapor deposition techniques
US7964505B2 (en) 2005-01-19 2011-06-21 Applied Materials, Inc. Atomic layer deposition of tungsten materials
US7101795B1 (en) * 2000-06-28 2006-09-05 Applied Materials, Inc. Method and apparatus for depositing refractory metal layers employing sequential deposition techniques to form a nucleation layer
US6936538B2 (en) 2001-07-16 2005-08-30 Applied Materials, Inc. Method and apparatus for depositing tungsten after surface treatment to improve film characteristics
US7732327B2 (en) 2000-06-28 2010-06-08 Applied Materials, Inc. Vapor deposition of tungsten materials
US7405158B2 (en) 2000-06-28 2008-07-29 Applied Materials, Inc. Methods for depositing tungsten layers employing atomic layer deposition techniques
US7211144B2 (en) 2001-07-13 2007-05-01 Applied Materials, Inc. Pulsed nucleation deposition of tungsten layers
US20090004850A1 (en) 2001-07-25 2009-01-01 Seshadri Ganguli Process for forming cobalt and cobalt silicide materials in tungsten contact applications
US9051641B2 (en) 2001-07-25 2015-06-09 Applied Materials, Inc. Cobalt deposition on barrier surfaces
US8110489B2 (en) 2001-07-25 2012-02-07 Applied Materials, Inc. Process for forming cobalt-containing materials
US6936906B2 (en) * 2001-09-26 2005-08-30 Applied Materials, Inc. Integration of barrier layer and seed layer
US6916398B2 (en) 2001-10-26 2005-07-12 Applied Materials, Inc. Gas delivery apparatus and method for atomic layer deposition
US7081271B2 (en) 2001-12-07 2006-07-25 Applied Materials, Inc. Cyclical deposition of refractory metal silicon nitride
US6911391B2 (en) 2002-01-26 2005-06-28 Applied Materials, Inc. Integration of titanium and titanium nitride layers
US6833161B2 (en) 2002-02-26 2004-12-21 Applied Materials, Inc. Cyclical deposition of tungsten nitride for metal oxide gate electrode
US7279432B2 (en) 2002-04-16 2007-10-09 Applied Materials, Inc. System and method for forming an integrated barrier layer
DE10308968B4 (de) * 2003-02-28 2006-09-14 Advanced Micro Devices, Inc., Sunnyvale Verfahren zur Herstellung einer leitenden Barrierenschicht mit verbesserter Bedeckung innerhalb kritischer Öffnungen
WO2004112114A1 (ja) * 2003-06-16 2004-12-23 Tokyo Electron Limited 成膜方法、半導体装置の製造方法、半導体装置および成膜装置
JP2007523994A (ja) 2003-06-18 2007-08-23 アプライド マテリアルズ インコーポレイテッド バリヤ物質の原子層堆積
US6943097B2 (en) * 2003-08-19 2005-09-13 International Business Machines Corporation Atomic layer deposition of metallic contacts, gates and diffusion barriers
US20050056219A1 (en) * 2003-09-16 2005-03-17 Tokyo Electron Limited Formation of a metal-containing film by sequential gas exposure in a batch type processing system
KR100688055B1 (ko) * 2004-05-10 2007-02-28 주식회사 하이닉스반도체 저온 장벽금속층을 이용한 금속배선 제조 방법
US20050252449A1 (en) 2004-05-12 2005-11-17 Nguyen Son T Control of gas flow and delivery to suppress the formation of particles in an MOCVD/ALD system
US8323754B2 (en) 2004-05-21 2012-12-04 Applied Materials, Inc. Stabilization of high-k dielectric materials
WO2007142690A2 (en) * 2005-11-04 2007-12-13 Applied Materials, Inc. Apparatus and process for plasma-enhanced atomic layer deposition
US20070252299A1 (en) * 2006-04-27 2007-11-01 Applied Materials, Inc. Synchronization of precursor pulsing and wafer rotation
US7798096B2 (en) 2006-05-05 2010-09-21 Applied Materials, Inc. Plasma, UV and ion/neutral assisted ALD or CVD in a batch tool
US7521379B2 (en) * 2006-10-09 2009-04-21 Applied Materials, Inc. Deposition and densification process for titanium nitride barrier layers
US20080273410A1 (en) * 2007-05-04 2008-11-06 Jaydeb Goswami Tungsten digitlines
US7816278B2 (en) * 2008-03-28 2010-10-19 Tokyo Electron Limited In-situ hybrid deposition of high dielectric constant films using atomic layer deposition and chemical vapor deposition
US8291857B2 (en) 2008-07-03 2012-10-23 Applied Materials, Inc. Apparatuses and methods for atomic layer deposition
US8491967B2 (en) 2008-09-08 2013-07-23 Applied Materials, Inc. In-situ chamber treatment and deposition process
US20100062149A1 (en) * 2008-09-08 2010-03-11 Applied Materials, Inc. Method for tuning a deposition rate during an atomic layer deposition process
TWI512797B (zh) * 2011-01-24 2015-12-11 United Microelectronics Corp 應用於半導體元件製程中之平坦化方法
JP6030746B2 (ja) * 2015-12-24 2016-11-24 株式会社日立国際電気 半導体装置の製造方法、基板処理装置、プログラムおよび記録媒体
US10636705B1 (en) 2018-11-29 2020-04-28 Applied Materials, Inc. High pressure annealing of metal gate structures
US11976002B2 (en) 2021-01-05 2024-05-07 Applied Materials, Inc. Methods for encapsulating silver mirrors on optical structures

Family Cites Families (130)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
SE393967B (sv) 1974-11-29 1977-05-31 Sateko Oy Forfarande och for utforande av stroleggning mellan lagren i ett virkespaket
FI57975C (fi) 1979-02-28 1980-11-10 Lohja Ab Oy Foerfarande och anordning vid uppbyggande av tunna foereningshinnor
US4389973A (en) 1980-03-18 1983-06-28 Oy Lohja Ab Apparatus for performing growth of compound thin films
JPH0766910B2 (ja) 1984-07-26 1995-07-19 新技術事業団 半導体単結晶成長装置
GB2162207B (en) 1984-07-26 1989-05-10 Japan Res Dev Corp Semiconductor crystal growth apparatus
US5693139A (en) 1984-07-26 1997-12-02 Research Development Corporation Of Japan Growth of doped semiconductor monolayers
US5294286A (en) 1984-07-26 1994-03-15 Research Development Corporation Of Japan Process for forming a thin film of silicon
US5250148A (en) 1985-05-15 1993-10-05 Research Development Corporation Process for growing GaAs monocrystal film
US4767494A (en) 1986-07-04 1988-08-30 Nippon Telegraph & Telephone Corporation Preparation process of compound semiconductor
JPH0727861B2 (ja) 1987-03-27 1995-03-29 富士通株式会社 ▲iii▼−▲v▼族化合物半導体結晶の成長方法
JPH0812844B2 (ja) 1987-03-27 1996-02-07 日本電気株式会社 ▲iii▼−v族化合物半導体およびその形成方法
US4840921A (en) 1987-07-01 1989-06-20 Nec Corporation Process for the growth of III-V group compound semiconductor crystal on a Si substrate
JPH0666274B2 (ja) 1987-07-01 1994-08-24 日本電気株式会社 ▲iii▼−v族化合物半導体の形成方法
FI81926C (fi) 1987-09-29 1990-12-10 Nokia Oy Ab Foerfarande foer uppbyggning av gaas-filmer pao si- och gaas-substrater.
DE3743938C2 (de) 1987-12-23 1995-08-31 Cs Halbleiter Solartech Verfahren zum Atomschicht-Epitaxie-Aufwachsen einer III/V-Verbindungshalbleiter-Dünnschicht
US5166092A (en) 1988-01-28 1992-11-24 Fujitsu Limited Method of growing compound semiconductor epitaxial layer by atomic layer epitaxy
US5130269A (en) 1988-04-27 1992-07-14 Fujitsu Limited Hetero-epitaxially grown compound semiconductor substrate and a method of growing the same
JPH0824191B2 (ja) 1989-03-17 1996-03-06 富士通株式会社 薄膜トランジスタ
US5290748A (en) 1990-01-16 1994-03-01 Neste Oy Polymerization catalyst for olefines
US5338389A (en) 1990-01-19 1994-08-16 Research Development Corporation Of Japan Method of epitaxially growing compound crystal and doping method therein
JPH042699A (ja) 1990-04-18 1992-01-07 Mitsubishi Electric Corp 結晶成長方法
US5225366A (en) 1990-06-22 1993-07-06 The United States Of America As Represented By The Secretary Of The Navy Apparatus for and a method of growing thin films of elemental semiconductors
US5483919A (en) 1990-08-31 1996-01-16 Nippon Telegraph And Telephone Corporation Atomic layer epitaxy method and apparatus
US5705224A (en) 1991-03-20 1998-01-06 Kokusai Electric Co., Ltd. Vapor depositing method
US5316793A (en) 1992-07-27 1994-05-31 Texas Instruments Incorporated Directed effusive beam atomic layer epitaxy system and method
US5270247A (en) 1991-07-12 1993-12-14 Fujitsu Limited Atomic layer epitaxy of compound semiconductor
US6001669A (en) 1991-09-09 1999-12-14 Philips Electronics North America Corporation Method for producing II-VI compound semiconductor epitaxial layers having low defects
JPH05102152A (ja) * 1991-10-11 1993-04-23 Sony Corp 半導体装置
US5336324A (en) 1991-12-04 1994-08-09 Emcore Corporation Apparatus for depositing a coating on a substrate
US5397428A (en) 1991-12-20 1995-03-14 The University Of North Carolina At Chapel Hill Nucleation enhancement for chemical vapor deposition of diamond
US5256244A (en) 1992-02-10 1993-10-26 General Electric Company Production of diffuse reflective coatings by atomic layer epitaxy
US5480818A (en) 1992-02-10 1996-01-02 Fujitsu Limited Method for forming a film and method for manufacturing a thin film transistor
US5458084A (en) 1992-04-16 1995-10-17 Moxtek, Inc. X-ray wave diffraction optics constructed by atomic layer epitaxy
AU4378893A (en) 1992-05-22 1993-12-30 Minnesota Mining And Manufacturing Company Ii-vi laser diodes with quantum wells grown by atomic layer epitaxy and migration enhanced epitaxy
US5278435A (en) 1992-06-08 1994-01-11 Apa Optics, Inc. High responsivity ultraviolet gallium nitride detector
US5306666A (en) * 1992-07-24 1994-04-26 Nippon Steel Corporation Process for forming a thin metal film by chemical vapor deposition
US5532511A (en) 1992-10-23 1996-07-02 Research Development Corp. Of Japan Semiconductor device comprising a highspeed static induction transistor
US5443647A (en) 1993-04-28 1995-08-22 The United States Of America As Represented By The Secretary Of The Army Method and apparatus for depositing a refractory thin film by chemical vapor deposition
US5526244A (en) * 1993-05-24 1996-06-11 Bishop; Vernon R. Overhead luminaire
US5330610A (en) 1993-05-28 1994-07-19 Martin Marietta Energy Systems, Inc. Method of digital epilaxy by externally controlled closed-loop feedback
US6130147A (en) 1994-04-07 2000-10-10 Sdl, Inc. Methods for forming group III-V arsenide-nitride semiconductor materials
JP3181171B2 (ja) 1994-05-20 2001-07-03 シャープ株式会社 気相成長装置および気相成長方法
FI97730C (fi) 1994-11-28 1997-02-10 Mikrokemia Oy Laitteisto ohutkalvojen valmistamiseksi
FI100409B (fi) 1994-11-28 1997-11-28 Asm Int Menetelmä ja laitteisto ohutkalvojen valmistamiseksi
FI97731C (fi) 1994-11-28 1997-02-10 Mikrokemia Oy Menetelmä ja laite ohutkalvojen valmistamiseksi
US5804488A (en) 1995-08-24 1998-09-08 Taiwan Semiconductor Manufacturing Company, Ltd. Method of forming a tungsten silicide capacitor having a high breakdown voltage
US6084302A (en) 1995-12-26 2000-07-04 Micron Technologies, Inc. Barrier layer cladding around copper interconnect lines
US6342277B1 (en) * 1996-08-16 2002-01-29 Licensee For Microelectronics: Asm America, Inc. Sequential chemical vapor deposition
US5916365A (en) 1996-08-16 1999-06-29 Sherman; Arthur Sequential chemical vapor deposition
JP2923753B2 (ja) 1996-08-21 1999-07-26 工業技術院長 Iii族原子層の形成方法
FI100758B (fi) 1996-09-11 1998-02-13 Planar Internat Oy Ltd Menetelmä ZnS:Mn-loisteainekerroksen kasvattamiseksi ohutkalvoelektrol uminenssikomponentteja varten
US6335280B1 (en) 1997-01-13 2002-01-01 Asm America, Inc. Tungsten silicide deposition process
US6043177A (en) 1997-01-21 2000-03-28 University Technology Corporation Modification of zeolite or molecular sieve membranes using atomic layer controlled chemical vapor deposition
US5879459A (en) 1997-08-29 1999-03-09 Genus, Inc. Vertically-stacked process reactor and cluster tool system for atomic layer deposition
US5866795A (en) 1997-03-17 1999-02-02 Applied Materials, Inc. Liquid flow rate estimation and verification by direct liquid measurement
US5851849A (en) 1997-05-22 1998-12-22 Lucent Technologies Inc. Process for passivating semiconductor laser structures with severe steps in surface topography
US6140237A (en) 1997-06-16 2000-10-31 Chartered Semiconductor Manufacturing Ltd. Damascene process for forming coplanar top surface of copper connector isolated by barrier layers in an insulating layer
US6287965B1 (en) * 1997-07-28 2001-09-11 Samsung Electronics Co, Ltd. Method of forming metal layer using atomic layer deposition and semiconductor device having the metal layer as barrier metal layer or upper or lower electrode of capacitor
KR100385946B1 (ko) * 1999-12-08 2003-06-02 삼성전자주식회사 원자층 증착법을 이용한 금속층 형성방법 및 그 금속층을장벽금속층, 커패시터의 상부전극, 또는 하부전극으로구비한 반도체 소자
KR100269306B1 (ko) 1997-07-31 2000-10-16 윤종용 저온처리로안정화되는금속산화막으로구성된완충막을구비하는집적회로장치및그제조방법
JP4097747B2 (ja) * 1997-08-07 2008-06-11 株式会社アルバック バリア膜形成方法
US5989623A (en) * 1997-08-19 1999-11-23 Applied Materials, Inc. Dual damascene metallization
KR100261017B1 (ko) * 1997-08-19 2000-08-01 윤종용 반도체 장치의 금속 배선층을 형성하는 방법
US5904569A (en) 1997-09-03 1999-05-18 National Semiconductor Corporation Method for forming self-aligned vias in multi-metal integrated circuits
US6197683B1 (en) * 1997-09-29 2001-03-06 Samsung Electronics Co., Ltd. Method of forming metal nitride film by chemical vapor deposition and method of forming metal contact of semiconductor device using the same
US6348376B2 (en) * 1997-09-29 2002-02-19 Samsung Electronics Co., Ltd. Method of forming metal nitride film by chemical vapor deposition and method of forming metal contact and capacitor of semiconductor device using the same
US5972179A (en) * 1997-09-30 1999-10-26 Lucent Technologies Inc. Silicon IC contacts using composite TiN barrier layer
KR100274603B1 (ko) 1997-10-01 2001-01-15 윤종용 반도체장치의제조방법및그의제조장치
FI104383B (fi) * 1997-12-09 2000-01-14 Fortum Oil & Gas Oy Menetelmä laitteistojen sisäpintojen päällystämiseksi
KR100282853B1 (ko) 1998-05-18 2001-04-02 서성기 연속기체분사에의한반도체박막증착장치
KR100267885B1 (ko) 1998-05-18 2000-11-01 서성기 반도체 박막증착장치
US6025627A (en) 1998-05-29 2000-02-15 Micron Technology, Inc. Alternate method and structure for improved floating gate tunneling devices
KR100319888B1 (ko) * 1998-06-16 2002-01-10 윤종용 선택적 금속층 형성방법, 이를 이용한 커패시터 형성 및 콘택홀 매립방법
KR100278657B1 (ko) * 1998-06-24 2001-02-01 윤종용 반도체장치의금속배선구조및그제조방법
KR100287180B1 (ko) * 1998-09-17 2001-04-16 윤종용 계면 조절층을 이용하여 금속 배선층을 형성하는 반도체 소자의 제조 방법
KR100327328B1 (ko) * 1998-10-13 2002-05-09 윤종용 부분적으로다른두께를갖는커패시터의유전막형성방버뵤
KR100297719B1 (ko) * 1998-10-16 2001-08-07 윤종용 박막제조방법
KR100331544B1 (ko) 1999-01-18 2002-04-06 윤종용 반응챔버에 가스를 유입하는 방법 및 이에 사용되는 샤워헤드
US6200893B1 (en) * 1999-03-11 2001-03-13 Genus, Inc Radical-assisted sequential CVD
US6540838B2 (en) * 2000-11-29 2003-04-01 Genus, Inc. Apparatus and concept for minimizing parasitic chemical vapor deposition during atomic layer deposition
US6305314B1 (en) * 1999-03-11 2001-10-23 Genvs, Inc. Apparatus and concept for minimizing parasitic chemical vapor deposition during atomic layer deposition
KR100347379B1 (ko) * 1999-05-01 2002-08-07 주식회사 피케이엘 복수매 기판의 박막 증착 공정이 가능한 원자층 증착장치
US6218298B1 (en) 1999-05-19 2001-04-17 Infineon Technologies North America Corp. Tungsten-filled deep trenches
US6124158A (en) 1999-06-08 2000-09-26 Lucent Technologies Inc. Method of reducing carbon contamination of a thin dielectric film by using gaseous organic precursors, inert gas, and ozone to react with carbon contaminants
US6071808A (en) 1999-06-23 2000-06-06 Lucent Technologies Inc. Method of passivating copper interconnects in a semiconductor
US6391785B1 (en) * 1999-08-24 2002-05-21 Interuniversitair Microelektronica Centrum (Imec) Method for bottomless deposition of barrier layers in integrated circuit metallization schemes
US6511539B1 (en) * 1999-09-08 2003-01-28 Asm America, Inc. Apparatus and method for growth of a thin film
DE60028394T2 (de) * 1999-10-15 2007-03-29 Asm International N.V. Konforme auskleidungsschichten für damaszenmetallisierungen
US6475276B1 (en) * 1999-10-15 2002-11-05 Asm Microchemistry Oy Production of elemental thin films using a boron-containing reducing agent
KR100304714B1 (ko) * 1999-10-20 2001-11-02 윤종용 금속 할로겐 가스를 사용한 반도체 소자의 금속 박막 형성방법
US6780704B1 (en) * 1999-12-03 2004-08-24 Asm International Nv Conformal thin films over textured capacitor electrodes
US6434821B1 (en) * 1999-12-06 2002-08-20 General Electric Company Method of making a combustion chamber liner
FI118343B (fi) 1999-12-28 2007-10-15 Asm Int Laite ohutkalvojen valmistamiseksi
FI20000099A0 (fi) * 2000-01-18 2000-01-18 Asm Microchemistry Ltd Menetelmä metalliohutkalvojen kasvattamiseksi
KR100803770B1 (ko) * 2000-03-07 2008-02-15 에이에스엠 인터내셔널 엔.브이. 구배(graded)박막
FI117979B (fi) * 2000-04-14 2007-05-15 Asm Int Menetelmä oksidiohutkalvojen valmistamiseksi
KR100363088B1 (ko) * 2000-04-20 2002-12-02 삼성전자 주식회사 원자층 증착방법을 이용한 장벽 금속막의 제조방법
US6482733B2 (en) * 2000-05-15 2002-11-19 Asm Microchemistry Oy Protective layers prior to alternating layer deposition
WO2001088972A1 (en) * 2000-05-15 2001-11-22 Asm Microchemistry Oy Process for producing integrated circuits
KR100647442B1 (ko) * 2000-06-07 2006-11-17 주성엔지니어링(주) 원자층 증착법을 이용한 박막 형성방법
KR100403611B1 (ko) * 2000-06-07 2003-11-01 삼성전자주식회사 금속-절연체-금속 구조의 커패시터 및 그 제조방법
US7253076B1 (en) * 2000-06-08 2007-08-07 Micron Technologies, Inc. Methods for forming and integrated circuit structures containing ruthenium and tungsten containing layers
KR100387255B1 (ko) * 2000-06-20 2003-06-11 주식회사 하이닉스반도체 반도체 소자의 금속 배선 형성 방법
US6368954B1 (en) * 2000-07-28 2002-04-09 Advanced Micro Devices, Inc. Method of copper interconnect formation using atomic layer copper deposition
US6455421B1 (en) * 2000-07-31 2002-09-24 Applied Materials, Inc. Plasma treatment of tantalum nitride compound films formed by chemical vapor deposition
KR100630666B1 (ko) * 2000-08-09 2006-10-02 삼성전자주식회사 금속 콘택 및 커패시터를 포함하는 반도체 소자 제조방법
KR100396879B1 (ko) * 2000-08-11 2003-09-02 삼성전자주식회사 동일 물질로 이루어진 이중막을 포함하는 다중막으로캡슐화된 캐패시터를 구비한 반도체 메모리 소자 및 그의제조 방법
US6903005B1 (en) * 2000-08-30 2005-06-07 Micron Technology, Inc. Method for the formation of RuSixOy-containing barrier layers for high-k dielectrics
US6355561B1 (en) * 2000-11-21 2002-03-12 Micron Technology, Inc. ALD method to improve surface coverage
KR100869326B1 (ko) * 2000-11-30 2008-11-18 에이에스엠 인터내셔널 엔.브이. 자기장치용 박막
KR100385947B1 (ko) * 2000-12-06 2003-06-02 삼성전자주식회사 원자층 증착 방법에 의한 박막 형성 방법
US20020076507A1 (en) * 2000-12-15 2002-06-20 Chiang Tony P. Process sequence for atomic layer deposition
US6432821B1 (en) 2000-12-18 2002-08-13 Intel Corporation Method of copper electroplating
KR20020056260A (ko) * 2000-12-29 2002-07-10 박종섭 반도체 소자의 금속 게이트 형성방법
KR100400031B1 (ko) * 2001-01-17 2003-09-29 삼성전자주식회사 반도체 소자의 콘택 플러그 및 그 형성 방법
US6844604B2 (en) * 2001-02-02 2005-01-18 Samsung Electronics Co., Ltd. Dielectric layer for semiconductor device and method of manufacturing the same
US6951804B2 (en) * 2001-02-02 2005-10-04 Applied Materials, Inc. Formation of a tantalum-nitride layer
KR100400033B1 (ko) * 2001-02-08 2003-09-29 삼성전자주식회사 다층 배선 구조를 갖는 반도체 소자 및 그의 제조방법
KR100395766B1 (ko) * 2001-02-12 2003-08-25 삼성전자주식회사 강유전체 기억 소자 및 그 형성 방법
US20020117399A1 (en) * 2001-02-23 2002-08-29 Applied Materials, Inc. Atomically thin highly resistive barrier layer in a copper via
FI109770B (fi) * 2001-03-16 2002-10-15 Asm Microchemistry Oy Menetelmä metallinitridiohutkalvojen valmistamiseksi
US6369430B1 (en) * 2001-04-02 2002-04-09 Motorola, Inc. Method of preventing two neighboring contacts from a short-circuit caused by a void between them and device having the same
US6420189B1 (en) * 2001-04-27 2002-07-16 Advanced Micro Devices, Inc. Superconducting damascene interconnected for integrated circuit
US6447933B1 (en) * 2001-04-30 2002-09-10 Advanced Micro Devices, Inc. Formation of alloy material using alternating depositions of alloy doping element and bulk material
DE60218494T2 (de) * 2001-05-18 2007-11-15 Keystone Engineering Inc., Los Angeles Unterkonstruktion für offshore-struktur
KR100363332B1 (en) * 2001-05-23 2002-12-05 Samsung Electronics Co Ltd Method for forming semiconductor device having gate all-around type transistor
US6828218B2 (en) * 2001-05-31 2004-12-07 Samsung Electronics Co., Ltd. Method of forming a thin film using atomic layer deposition
US6806145B2 (en) * 2001-08-31 2004-10-19 Asm International, N.V. Low temperature method of forming a gate stack with a high k layer deposited over an interfacial oxide layer
US6960537B2 (en) * 2001-10-02 2005-11-01 Asm America, Inc. Incorporation of nitrogen into high k dielectric film
US6423619B1 (en) * 2001-11-30 2002-07-23 Motorola, Inc. Transistor metal gate structure that minimizes non-planarity effects and method of formation

Also Published As

Publication number Publication date
US20020197863A1 (en) 2002-12-26
WO2003001590A3 (en) 2003-02-20
JP2004536451A (ja) 2004-12-02
WO2003001590A2 (en) 2003-01-03
KR20040012943A (ko) 2004-02-11
KR100871082B1 (ko) 2008-11-28
EP1397833A2 (en) 2004-03-17
US6849545B2 (en) 2005-02-01

Similar Documents

Publication Publication Date Title
JP4511171B2 (ja) 順次堆積法を用いて複合積層膜を形成するシステムと方法
US7101795B1 (en) Method and apparatus for depositing refractory metal layers employing sequential deposition techniques to form a nucleation layer
US6551929B1 (en) Bifurcated deposition process for depositing refractory metal layers employing atomic layer deposition and chemical vapor deposition techniques
KR101351657B1 (ko) 박막 형성 방법, 컴퓨터 판독가능 매체 및 박막 기상 증착 시스템
KR101892344B1 (ko) 금속막의 성막 방법
US7273814B2 (en) Method for forming a ruthenium metal layer on a patterned substrate
US7749815B2 (en) Methods for depositing tungsten after surface treatment
US20070116888A1 (en) Method and system for performing different deposition processes within a single chamber
US20070209588A1 (en) Exhaust system for a vacuum processing system
JP6851173B2 (ja) 成膜装置および成膜方法
US20070235319A1 (en) Multi-processing using an ionized physical vapor deposition (ipvd) system

Legal Events

Date Code Title Description
A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20050315

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20081118

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20090216

RD03 Notification of appointment of power of attorney

Free format text: JAPANESE INTERMEDIATE CODE: A7423

Effective date: 20090216

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20091208

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20100305

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20100406

A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20100506

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20130514

Year of fee payment: 3

R150 Certificate of patent or registration of utility model

Ref document number: 4511171

Country of ref document: JP

Free format text: JAPANESE INTERMEDIATE CODE: R150

Free format text: JAPANESE INTERMEDIATE CODE: R150

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20130514

Year of fee payment: 3

RD02 Notification of acceptance of power of attorney

Free format text: JAPANESE INTERMEDIATE CODE: R3D02

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20130514

Year of fee payment: 3

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

LAPS Cancellation because of no payment of annual fees