DE60028394T2 - Konforme auskleidungsschichten für damaszenmetallisierungen - Google Patents

Konforme auskleidungsschichten für damaszenmetallisierungen Download PDF

Info

Publication number
DE60028394T2
DE60028394T2 DE60028394T DE60028394T DE60028394T2 DE 60028394 T2 DE60028394 T2 DE 60028394T2 DE 60028394 T DE60028394 T DE 60028394T DE 60028394 T DE60028394 T DE 60028394T DE 60028394 T2 DE60028394 T2 DE 60028394T2
Authority
DE
Germany
Prior art keywords
metal
phase
layer
species
monolayer
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Expired - Fee Related
Application number
DE60028394T
Other languages
English (en)
Other versions
DE60028394D1 (de
Inventor
Ivo Raaijmakers
P. Suvi HAUKKA
H. Ernst GRANNEMAN
Antero Ville SAANILA
Juha Pekka SOININEN
Kai-Erik Elers
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
ASM International NV
Original Assignee
ASM International NV
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by ASM International NV filed Critical ASM International NV
Publication of DE60028394D1 publication Critical patent/DE60028394D1/de
Application granted granted Critical
Publication of DE60028394T2 publication Critical patent/DE60028394T2/de
Anticipated expiration legal-status Critical
Expired - Fee Related legal-status Critical Current

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/02Pretreatment of the material to be coated
    • C23C16/0272Deposition of sub-layers, e.g. to promote the adhesion of the main coating
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/04Coating on selected surface areas, e.g. using masks
    • C23C16/045Coating cavities or hollow spaces, e.g. interior of tubes; Infiltration of porous substrates
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/06Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of metallic material
    • C23C16/08Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of metallic material from metal halides
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/32Carbides
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • CCHEMISTRY; METALLURGY
    • C30CRYSTAL GROWTH
    • C30BSINGLE-CRYSTAL GROWTH; UNIDIRECTIONAL SOLIDIFICATION OF EUTECTIC MATERIAL OR UNIDIRECTIONAL DEMIXING OF EUTECTOID MATERIAL; REFINING BY ZONE-MELTING OF MATERIAL; PRODUCTION OF A HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; SINGLE CRYSTALS OR HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; AFTER-TREATMENT OF SINGLE CRYSTALS OR A HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; APPARATUS THEREFOR
    • C30B25/00Single-crystal growth by chemical reaction of reactive gases, e.g. chemical vapour-deposition growth
    • C30B25/02Epitaxial-layer growth
    • CCHEMISTRY; METALLURGY
    • C30CRYSTAL GROWTH
    • C30BSINGLE-CRYSTAL GROWTH; UNIDIRECTIONAL SOLIDIFICATION OF EUTECTIC MATERIAL OR UNIDIRECTIONAL DEMIXING OF EUTECTOID MATERIAL; REFINING BY ZONE-MELTING OF MATERIAL; PRODUCTION OF A HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; SINGLE CRYSTALS OR HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; AFTER-TREATMENT OF SINGLE CRYSTALS OR A HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; APPARATUS THEREFOR
    • C30B29/00Single crystals or homogeneous polycrystalline material with defined structure characterised by the material or by their shape
    • C30B29/02Elements
    • CCHEMISTRY; METALLURGY
    • C30CRYSTAL GROWTH
    • C30BSINGLE-CRYSTAL GROWTH; UNIDIRECTIONAL SOLIDIFICATION OF EUTECTIC MATERIAL OR UNIDIRECTIONAL DEMIXING OF EUTECTOID MATERIAL; REFINING BY ZONE-MELTING OF MATERIAL; PRODUCTION OF A HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; SINGLE CRYSTALS OR HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; AFTER-TREATMENT OF SINGLE CRYSTALS OR A HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; APPARATUS THEREFOR
    • C30B29/00Single crystals or homogeneous polycrystalline material with defined structure characterised by the material or by their shape
    • C30B29/10Inorganic compounds or compositions
    • C30B29/36Carbides
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/283Deposition of conductive or insulating materials for electrodes conducting electric current
    • H01L21/285Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation
    • H01L21/28506Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers
    • H01L21/28512Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic Table
    • H01L21/28556Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic Table by chemical means, e.g. CVD, LPCVD, PECVD, laser CVD
    • H01L21/28562Selective deposition
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • H01L21/76807Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics for dual damascene structures
    • H01L21/7681Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics for dual damascene structures involving one or more buried masks
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76843Barrier, adhesion or liner layers formed in openings in a dielectric
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76871Layers specifically deposited to enhance or enable the nucleation of further layers, i.e. seed layers
    • H01L21/76873Layers specifically deposited to enhance or enable the nucleation of further layers, i.e. seed layers for electroplating
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/5226Via connections in a multilevel interconnection structure
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/532Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body characterised by the materials
    • H01L23/53204Conductive materials
    • H01L23/53209Conductive materials based on metals, e.g. alloys, metal silicides
    • H01L23/53214Conductive materials based on metals, e.g. alloys, metal silicides the principal metal being aluminium
    • H01L23/53223Additional layers associated with aluminium layers, e.g. adhesion, barrier, cladding layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/532Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body characterised by the materials
    • H01L23/53204Conductive materials
    • H01L23/53209Conductive materials based on metals, e.g. alloys, metal silicides
    • H01L23/53228Conductive materials based on metals, e.g. alloys, metal silicides the principal metal being copper
    • H01L23/53238Additional layers associated with copper layers, e.g. adhesion, barrier, cladding layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/532Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body characterised by the materials
    • H01L23/53204Conductive materials
    • H01L23/53209Conductive materials based on metals, e.g. alloys, metal silicides
    • H01L23/53242Conductive materials based on metals, e.g. alloys, metal silicides the principal metal being a noble metal, e.g. gold
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/532Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body characterised by the materials
    • H01L23/53204Conductive materials
    • H01L23/53209Conductive materials based on metals, e.g. alloys, metal silicides
    • H01L23/53242Conductive materials based on metals, e.g. alloys, metal silicides the principal metal being a noble metal, e.g. gold
    • H01L23/53252Additional layers associated with noble-metal layers, e.g. adhesion, barrier, cladding layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/532Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body characterised by the materials
    • H01L23/5329Insulating materials
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/532Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body characterised by the materials
    • H01L23/5329Insulating materials
    • H01L23/53295Stacked insulating layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/0001Technical content checked by a classifier
    • H01L2924/0002Not covered by any one of groups H01L24/00, H01L24/00 and H01L2224/00

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Physics & Mathematics (AREA)
  • Materials Engineering (AREA)
  • Organic Chemistry (AREA)
  • Metallurgy (AREA)
  • General Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Mechanical Engineering (AREA)
  • Manufacturing & Machinery (AREA)
  • Crystallography & Structural Chemistry (AREA)
  • Inorganic Chemistry (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)
  • Chemical Vapour Deposition (AREA)
  • Electrodes Of Semiconductors (AREA)

Description

  • Gebiet der Erfindung
  • Die Erfindung betrifft allgemein die Bildung von Auskleidungsschichten in Hohlräumen mit hohem Aspektverhältnis während der Herstellung integrierter Schaltkreise und insbesondere die Bildung von Barriereschichten, mit denen in Systemen zur Metallisierung von dualen Damaszenstrukturen Gräben und Kontaktdurchgänge ausgekleidet werden.
  • Hintergrund der Erfindung
  • Bei der Herstellung integrierter Schaltkreise werden Schichten aus isolierenden, leitenden und halbleitenden Materialien abgeschieden und in Mustern aufgebracht, um gewünschte Strukturen zu produzieren. "Backend"- oder Metallisierungsprozesse umfassen die Bildung von Kontakten und die Bildung von Metalleitungen oder -drähten. Die Kontaktbildung verbindet in vertikaler Richtung leitfähige Schichten durch eine isolierende Schicht. Herkömmlicherweise werden Kontaktdurchgänge oder -öffnungen in der isolierenden Schicht gebildet, was typischerweise eine Form von Oxid, wie Borphosphatsilikatglas (BPSG), oder aus Tetraethylorthosilikat-(TEOS-)Vorläufern gebildete Oxide umfaßt. Die Durchgänge werden dann mit leitfähigem Material gefüllt, wodurch elektrische Vorrichtungen und Leitungen oberhalb und unterhalb der isolierenden Schichten miteinander verbunden werden. Die durch vertikale Kontakte miteinander verbundenen Schichten beinhalten typischerweise horizontale Metalleitungen, die durch den integrierten Schaltkreis verlaufen. Solche Leitungen werden üblicherweise durch Abscheiden einer Metallschicht über der isolierenden Schicht, Maskieren der Metallschicht in einem gewünschten Leiterbild und Wegätzen von Metall zwischen den gewünschten Drähten oder leitfähigen Leitungen gebildet.
  • Das Damaszierungsverfahren umfaßt die Bildung von Gräben im Muster der gewünschten Leitungen, das Auffüllen der Gräben mit einem Metall oder einem anderen leitfähigen Material und das anschließende Rückätzen des Metalls bis auf die isolierende Schicht. Somit verbleiben Drähte innerhalb der Gräben, die in dem gewünschten Muster voneinander isoliert sind. Der Rückätzprozeß umgeht somit die schwierigeren photolithographischen Maskierungs- und Ätzprozesse bei der konventionellen Definition von Metalleitungen.
  • Bei einer Erweiterung der Damaszierungsverfahren umfaßt ein als duale Damaszierung bekannter Prozeß die Bildung zweier isolierender Schichten, die typischerweise durch ein Ätzstopmaterial voneinander getrennt sind, und die Bildung von Gräben in der oberen isolierenden Schicht, wie es oben für das Damaszierungsverfahren beschrieben wurde. Nachdem die Gräben eingeätzt wurden, wird eine weitere Maske verwendet, um Kontaktdurchgänge nach unten durch den Boden der Gräben und die untere isolierende Schicht zu ätzen, um tiefer liegende leitfähige Elemente freizulegen, wo Kontakte gewünscht sind.
  • Leitfähige Elemente, wie Gateelektroden, Kondensatoren, Kontakte, Leitungen und Leiterschichten, müssen für einen einwandfreien Betrieb des integrierten Schaltkreises jeweils elektrisch voneinander isoliert sein. Zusätzlich zur Bereitstellung von isolierenden Schichten um solche leitfähigen Elemente herum muß dafür Sorge getragen werden, daß eine Diffusion und ein Hindurchstechen von leitfähigen Materialien durch die isolierenden Schichten, was zu unerwünschten Kurzschlüssen zwischen Vorrichtungen und Leitungen führen kann, verhindert wird. Oft werden in einem Substrataufbau Schutzschichten zwischen den Wänden von Durchgängen oder Gräben ausgebildet, um dazu beizutragen, daß das abgeschiedene Material innerhalb der Wände der Durchgänge oder Gräben gehalten wird. Barrieren sind daher für Damaszierungsanwendungen und duale Damaszierungs-Verbindungsanwendungen insbesondere mit kleinen, schnell diffundierenden Elementen, wie Kupfer, geeignet.
  • Kandidatenmaterialien für Schutzschichten sollten vor allem wirkungsvolle Diffusionsbarriereeigenschaften zeigen. Zusätzlich sollten die Materialien eine gute Adhäsion an benachbarten Materialien (z.B. Oxid-Durchgangswände, Adhäsionsschichten, Ätzstopschichten und/oder metallische Materialien, mit denen die Durchgänge und Gräben gefüllt sind) zeigen. Für viele Anwendungen wird eine Barriereschicht in einem Stromflußweg positioniert und muß somit leitfähig sein. Typischerweise wurden Barrieren aus Metallnitriden (MNx), wie Titannitrid (TiN), Tantalnitrid (TaN) und Wolframnitrid (WN), ausgebildet, die für eine Auskleidung von Kontaktdurchgängen und Leitungsgräben und für andere Anwendungsformen von leitfähigen Barrieren ausreichend leitfähig und dicht sind.
  • Diese beschichteten Durchgänge oder Gräben werden dann mittels irgendeinem aus einer Vielzahl von Verfahren, einschließlich chemischer Dampfabscheidung (CVD), physikalischer Dampfabscheidung (PVD) und Elektroplattierung, mit Metall aufgefüllt. Um eine effektive Leitfähigkeit bereitzustellen und eine Elektromigration im Betrieb zu vermeiden, sollte das Metall einer Kontakt- oder Leitungsschicht den Durchgang oder Graben auffüllen, ohne Hohlräume oder Schlüssellöcher zu hinterlassen. Das vollständige Auffüllen tiefer, schmaler Öffnungen mit leitfähigem Material wird immer mehr zu einer Herausforderung, da die Dimensionen integrierter Schaltkreise ständig verkleinert werden, um so im Betrieb eine höhere Verarbeitungsgeschwindigkeit und einen geringeren Energieverbrauch zu erzielen.
  • Wie es in den 1 und 2 gezeigt ist, macht die Verwendung einer leitfähigen Barriereschicht und/oder anderer Auskleidungen das Auffüllen der Gräben und Durchgänge des dualen Damaszierungsverfahrens sogar noch schwieriger. 1 veranschaulicht ein duales Damaszierungsverfahren, bei dem eine obere isolierende Schicht 10 auf einer unteren isolierenden Schicht 12 ausgebildet wird, welche wiederum auf einer leitfähigen Leiterschicht 14 ausgebildet ist, wobei vorzugsweise eine dielektrische Diffusionsbarriere 15 dazwischenliegt. Die dielektrische Barriere 15 dient dazu, zu verhindern, daß Kupfer oder ein anderes leitfähiges Material aus der darunterliegenden Leiterschicht 14 in die darüberliegende dielektrische Schicht 12 diffundiert.
  • Eine Maske wird verwendet, um Gräben 16 in einem gewünschten Leiterbild in Mustern aufzubringen und einzuätzen. In der veranschaulichten Ausführungsform wird der Graben 16 bis auf die Ebene einer Ätzstopschicht 19, die zwischen den beiden Isolierschichten 10, 12 ausgebildet ist, eingeätzt. Diese Ätzstopschicht 19 wird typischerweise vor der Abscheidung der oberen isolierenden Schicht 10 in Mustern aufgebracht und geätzt, wobei eine Hartmaske gebildet wird, die die horizon talen Abmessungen gewünschter Kontaktdurchgänge definiert, welche sich vom Boden des Grabens 16 aus erstrecken sollen. Das fortgesetzte Ätzen durch die Hartmaske 19 öffnet einen Kontaktdurchgang 20 vom Boden des Grabens 16 zur unteren leitfähigen Leiterschicht 14. 1 zeigt auch eine obere Ätzstopschicht oder eine Stopschicht für chemisch-mechanisches Polieren (CMP) 21 auf der oberen isolierenden Schicht 10, um eine spätere Planarisierungsstufe zu stoppen, wie es für einen Fachmann auf der Hand liegt.
  • Schutzschichten 22, die bevorzugt aus leitfähigem Material gebildet sind, werden dann auf den exponierten horizontalen Oberflächen und den Seitenwandoberflächen ausgebildet. Typischerweise umfassen die Schichten 22 wenigstens ein Metallnitrid und können zusätzlich adhäsionsverstärkende Schichten und Keimschichten beinhalten. Beispielsweise kann die Schicht 22 eine Dreifachschicht aus Ti/TiN/Cu umfassen. In einer solchen Struktur dient die Titanschicht dazu, die Adhäsion an exponierten Oxidseitenwänden zu verbessern, das Titannitrid dient als Diffusionsbarriere und eine dünne Kupferschicht dient als Keimschicht für die spätere Elektroplattierung von Kupfer. In weiteren Beispielen können die Schichten 22 Tantalnitrid- oder Wolframnitridbarrieren umfassen.
  • Die konforme Abscheidung der Schichten 22 ist jedoch bei herkömmlichen Verfahren sehr schwierig. Beispielsweise erfordert die physikalische Dampfabscheidung (PVD), wie das Sputtern, einer Metallschicht (als Adhäsions-, Barriere- und/oder Keimschicht) wenigstens etwa 50 Å (0,005 μm) auf allen Oberflächen des Grabens 16 und des Kontaktdurchgangs 20. Leider erfordert die PVD von Metall in Hohlräumen mit hohem Aspektverhältnis eine viel stärkere Abscheidung auf den oberen Oberflächen des Werkstücks, um eine ausreichende Abdeckung des Bodens des Durchgangs zu bewirken. Beispielsweise erfordern typische Graben- und Kontaktstrukturen für duale Damaszierungssysteme gemäß dem Stand der Technik die PVD von etwa 500 Å (0,05 μm) an Metall, damit 5 nm (50 Å) an Metall den Boden und die Seitenwände des Kontakts 20 erreichen.
  • Diese schlechte Stufenabdeckung ist ein Ergebnis des hohen Aspektverhältnisses von Durchgängen, die in heutigen Ausgestaltungen von integrierten Schaltkreisen für duale Damaszierungsverfahren gebildet werden. Das Aspektverhältnis eines Kontaktdurchgangs ist definiert als das Verhältnis von Tiefe oder Höhe zu Breite. Im Falle von Kontakten bei der dualen Damaszierung verlaufen der Graben 16 und der Kontaktdurchgang 20 zusammen durch zwei Ebenen von isolierenden Schichten 10, 12 hindurch, so daß das effektive Aspektverhältnis des Durchgangs 20 sehr hoch ist.
  • Herkömmliche Abscheidungsprozesse liefern aus vielen verschiedenen Gründen eine sehr schlechte Stufenabdeckung (d.h. das Verhältnis der Seitenwandabdeckung zur Feld- oder der horizontalen Oberflächenabdeckung) bei solchen Durchgängen mit hohem Aspektverhältnis. Aufgrund der Direktionalität von PVD-Techniken tendiert das abgeschiedene Material dazu, sich schneller an den oberen Rändern 26 des Grabens 16 und den oberen Rändern 28 des Durchgangs 20 anzusammeln als am Boden 30 des Durchgangs. Infolge der raschen Ansammlung von abgeschiedenem Material an den oberen Oberflächen der Struktur besetzen die Auskleidestoffe einen großen Teil der Breite der leitfähigen Leitung in dem Graben 16 und einen proportional noch größeren Teil des Kontaktdurchgangs 20. Diese aufgestackten Ränder 26, 28 werfen dann einen Schatten in die tiefer liegenden Bereiche der Struktur, so daß tiefer liegende Oberflächen und insbesondere tiefer liegen de Ränder vor einer weiteren Abscheidung geschützt sind. Obwohl die PVD-Abscheidung z.B. mittels Kollimation oder Ionisation des abgeschiedenen Dampfs spezifischer auf den Boden des Durchgangs ausgerichtet werden kann, geht eine solche zusätzliche Direktionalität tendenziell zu Lasten der Seitenwandabdeckung.
  • Chemische Dampfabscheidungs-(CVD-)Prozesse wurden für bestimmte Metalle und Metallnitride entwickelt. Die CVD zeigt eine tendenziell bessere Stufenabdeckung als PVD-Verfahren. Damit CVD-Prozesse eine gute Stufenabdeckung erzielen können, muß die Reaktion nach dem sogenannten "oberflächenkontrollierten" System ausgeführt werden. In diesem System haften Reaktionsspezies beim ersten Auftreffen nicht an Graben- oder Durchgangswänden an. Vielmehr prallen die Spezies mehrere Male (z.B. 10- bis 500-mal) von Graben-/Durchgangsoberflächen ab, ehe sie reagieren.
  • Die CVD-Prozesse aus dem Stand der Technik laufen bei der Abscheidung von Barriereschichten bei Temperaturen, die niedrig genug sind, um mit den umgebenden Materialien vereinbar zu sein, nicht vollständig innerhalb des oberflächenkontrollierten Bereichs ab. Dementsprechend wird selbst bei CVD-Prozessen tendenziell weitaus weniger Material am Boden des Kontakts einer dualen Damaszenstruktur 20 abgeschieden als auf den oberen Oberflächen und den Seitenwänden der Struktur. Die oberen Ränder des Grabens 16 und des Kontakts 20 weisen eine hohe Konzentration von Oberflächenbereich zu Volumen auf. Die Abscheidungen auf den horizontalen oberen Oberflächen und den angrenzenden vertikalen Seitenwandoberflächen verbinden sich, was zu einer gesteigerten Abscheidungsrate in der Nähe der Ränder 26, 28 führt. Zusätzlich diffundieren fließende Reaktanten langsam in den begrenzten Raum des Grabens 16 und des Kontakts 20 hinein. Dementsprechend ist die Konzentration der Reaktanten, die den Boden 30 des Durchgangs erreichen, relativ zu der Konzentration der Reaktanten, die die oberen Oberflächen der Struktur erreichen, stark reduziert. Obwohl somit die CVD im Vergleich zur PVD etwas besser ist, ist dennoch die CVD-Stufenabdeckung der dualen Damaszenstrukturen bei den meisten der derzeit bekannten Niedrigtemperatur-CVD-Techniken nach wie vor uneinheitlich.
  • Um schnellere Betriebsgeschwindigkeiten und einen geringeren Energieverbrauch zu erzielen, werden die Dimensionen integrierter Schaltkreise ständig verkleinert. Mit zunehmender Verkleinerung wird das Aspektverhältnis von Kontakten und Gräben immer größer. Dies ist darauf zurückzuführen, daß, während die Breite oder die horizontalen Abmessungen von Strukturen in integrierten Schaltkreisen immer weiter abnehmen, die Dicke der isolierenden Schichten, die Metallschichten voneinander trennen, nicht in gleichem Maße reduziert werden kann. Die Reduzierung der Dicke der isolierenden Schichten wird durch das Phänomen der parasitären Kapazität beschränkt, wobei Ladungsträger durch die Kapazität über die dielektrischen Schichten, die in Sandwichform zwischen leitfähigen Drähten angeordnet sind, verlangsamt oder zum Stillstand gebracht werden. Es ist bekannt, daß eine solche parasitäre Kapazität eine lähmende Wirkung hätte, wenn die isolierende Schicht bei der Verkleinerung der horizontalen Abmessungen proportional dünner gemacht würde.
  • In 2 ist eine verkleinerte Version von 1 dargestellt, wobei gleiche Teile mit gleichen Bezugszahlen und dem zusätzlichen Suffix "a" bezeichnet sind. Wie es gezeigt ist, führt eine fortgesetzte Verkleinerung zu einem ausgeprägteren Effekt der ungleichmäßigen Stufenabdeckung bei der Auskleidung von dualen Damaszenstrukturen. Die Ansammlung von Material an den Rändern 28a des Kontaktdurchgangs 20a reduziert rasch die Größe der Öffnung, wodurch die Konzentration von Reaktanten, die den Kontaktdurchgang 20a erreichen, noch weiter verringert wird. Dementsprechend verringert sich die Abdeckung der Bodenfläche 30a des Durchgangs sogar noch schneller. Darüber hinaus ist der Prozentanteil des Grabens 16a, den die Auskleidungsmaterialien bedecken, bei der verkleinerten Struktur aus 2 viel größer. Da das Auskleidungsmaterial typischerweise weniger leitfähig ist als das nachfolgende Füllmetall (z.B. Kupfer), wird die Gesamtleitfähigkeit reduziert. Schlimmer noch, es können Spitzen an den Rändern 28a des Kontaktdurchgangs abbrechen, ehe der Boden 30a ausreichend bedeckt ist, oder sie können während der Abscheidung des Füllmetalls abbrechen.
  • Demzufolge besteht ein Bedarf nach effektiveren Verfahren zum Beschichten von Gräben und Durchgängen in integrierten Schaltkreisen, insbesondere im Kontext der Metallisierung von dualen Damaszenstrukturen.
  • Ritala et al., Chem. Vap. Deposition (1999) 5 (1): 7–9 offenbaren die Abscheidung von TiN unter Anwendung der Atomlagenabscheidung.
  • Zusammenfassung der Erfindung
  • Um diesen Bedarf zu erfüllen, werden hierin Verfahren zur Abscheidung von Auskleidungsmaterialien in Gräben und Kontaktdurchgängen bei Systemen zur Metallisierung von dualen Damaszenstrukturen mit hohem Aspektverhältnis bereitgestellt. In vorteilhafter Weise erzielen die Verfahren eine hohe Stufenabdeckung, so daß auf allen Oberflächen nur die erforderliche Mindestdicke der Auskleidungsschicht ausgebildet werden muß. Es werden Beispiele zur Verwendung der Verfahren zur Bildung entweder einer oder mehrerer unter Adhäsions-, Barriere- und Elektroplattierungs-Keimschichten bereitgestellt.
  • Gemäß einem Aspekt der vorliegenden Erfindung wird ein Metallisierungsverfahren bereitgestellt, welches folgendes umfaßt:
    Bilden einer Öffnung in einer Isolierschicht auf einem Halbleitersubstrat, um wenigstens einen Teil eines darunterliegenden leitfähigen Elements freizulegen,
    Auskleiden von Oberflächen der Öffnung mit nicht mehr als etwa einer Monoschicht einer halogenidterminierten Metallspezies in einer ersten Phase und
    Entfernen von Halogenresten von dem halogenidterminierten Metall durch Reduktion mit Triethylbor in einer zweiten Phase, die sich von der ersten Phase und einer dritten Phase unterscheidet,
    Adsorbieren von nicht mehr als etwa einer Monoschicht einer reaktiven Spezies auf der Metallspezies in der dritten Phase und
    Wiederholen der ersten, zweiten und dritten Phase in wenigstens etwa 10 Zyklen.
  • Im allgemeinen umfassen die Verfahren Zyklen von Reaktantenphasen, wobei jede Phase eine selbstbegrenzende Wirkung hat. "Reine" Metallschichten können beispielsweise durch ab wechselndes Adsorbieren von selbstsättigenden, halogenidterminierten Metallmonoschichten und Reduzieren der Metall enthaltenden Monoschicht ausgebildet werden. Metallnitride, die für leitfähige Diffusionsbarrieren geeignet sind, können ausgebildet werden durch abwechselndes Adsorbieren von selbstbegrenzenden, Metall enthaltenden Monoschichten und Ausführen von Ligandenaustauschreaktionen, wobei auf den Metall enthaltenden Monoschichten Halogenreste durch Stickstoff enthaltende Spezies ersetzt werden. Bei der Erfindung werden die Reste der selbstterminierenden, Metall enthaltenden Monoschicht in einer zwischengeschalteten Fänger- oder Einfängerphase vor der Stickstoffphase unter Verwendung von Triethylbor reduziert.
  • In vorteilhafter Weise ermöglichen es die Verfahren, in Öffnungen mit hohen Aspektverhältnissen (z.B. Gräben und Durchgängen) leitfähige Schichten mit einheitlicher Dicke auszubilden, und zwar, angepaßt an ihre jeweiligen Funktionen, bevorzugt so dünn wie möglich. Das verbleibende Volumen in solchen Öffnungen wird dadurch maximiert, was ein entsprechend größeres Volumen an hochgradig leitfähigen Füllmaterialien, wie Kupfer, für Metalleitungen und eingebaute Kontakte ermöglicht.
  • Kurze Beschreibung der Zeichnungen
  • Diese und weitere Aspekte der Erfindung werden für einen Fachmann offensichtlich bei Betrachtung der nachstehenden Beschreibung, der anhängenden Ansprüche und der Zeichnungen, die die Erfindung veranschaulichen und nicht beschränken sollen und wobei:
  • 1 ein schematischer Querschnitt durch eine duale Damaszenstruktur mit einer herkömmlichen Barriereschicht, die den Graben und den Kontaktdurchgang auskleidet, ist,
  • 2 allgemein eine ausgekleidete duale Damaszenstruktur ähnlich wie 1 für einen verkleinerten integrierten Schaltkreis zeigt,
  • 3 ein Flußdiagramm ist, welches allgemein ein Verfahren zum Auskleiden dualer Damaszenstrukturen mit hohem Aspektverhältnis vor dem Auffüllen mit einem noch weitaus leitfähigeren Material zeigt,
  • 4 ein beispielhaftes Gasflußdiagramm für das Abscheiden einer Barriereschicht gemäß einer bevorzugten Ausführungsform der vorliegenden Erfindung ist und
  • die 513 schematische Querschnitte durch einen teilgefertigten integrierten Schaltkreis zeigen, die allgemein die Konstruktion, die Auskleidung und das Auffüllen eines Grabens und eines Durchgangs, die in isolierenden Schichten auf einem Halbleitersubstrat ausgebildet sind, gemäß einem bevorzugten Prozeßablauf eines dualen Damaszierungsverfahrens veranschaulichen.
  • Ausführliche Beschreibung der bevorzugten Ausführungsform
  • Obwohl sie im Kontext bestimmter bevorzugter Materialien beschrieben wurden, versteht es sich im Hinblick auf die vorliegende Offenbarung, daß die hier beschriebenen Verfahren und Strukturen sich auf eine Vielzahl anderer Materialien zum Auskleiden von Damaszenstrukturen anwenden lassen.
  • Wie es oben im Abschnitt zum Hintergrund diskutiert wurde, wird durch das Auskleiden von Damaszenstrukturen und insbesondere dualen Damaszenstrukturen mittels physikalischer Dampfabscheidung (PVD) und herkömmlicher chemischer Dampfabscheidung (CVD) in nachteiliger Weise ein großer Teil der Gräben und Kontaktdurchgänge gefüllt. Dementsprechend verbleibt weniger Raum für das nachfolgende, hochgradig leitfähige Füllmaterial. Die Verwendung eines dünneren Auskleidungsmaterials würde mehr Raum für hochgradig leitfähige Füllmetalle, wie Kupfer, lassen, was wiederum die Leitfähigkeit und die Geschwindigkeit der Signalübertragung im Betrieb für den integrierten Schaltkreis steigern würde. Herkömmliche Verfahren wie PVD und CVD produzieren naturgemäß in Richtung des oberen Endes der Damaszenstruktur dickere Schichten als am Boden. Obwohl sehr viel Forschung betrieben wurde, um eine konformere Stufenabdeckung von Gräben und Kontaktdurchgängen in dualen Damaszenstrukturen zu erzielen, ist es sehr schwierig, allen Oberflächen solcher Strukturen die gleiche Konzentration an Reaktantenspezies (oder mittels PVD aufgesputtertem Material) zuzuführen. Insbesondere ist es schwierig, den oberen Oberflächen solcher Strukturen die gleiche Konzentration von abgeschiedenen Spezies zuzuführen, wie sie dem Boden tiefer, begrenzter Kontaktdurchgänge, die sich vom Boden eines bereits tiefen Grabens aus erstrecken, zugeführt wird.
  • Durch die Bereitstellung einer nahezu vollkommenen Stufenabdeckung erreichen die bevorzugten Ausführungsformen in vorteilhafter Weise auf allen Oberflächen von Gräben und Kontaktdurchgängen in einer dualen Damaszenstruktur die notwendige Mindestdicke für die gewünschten Auskleidungsschichten. Wünschenswerterweise sind die Verfahren der bevorzugten Ausführungsform im Vergleich zu den unteren Bereichen des Grabens und des Kontaktdurchgangs in den oberen Bereichen weniger abhängig von der relativen Konzentration der Reaktantenspezies.
  • Wie es aus den 58 ersichtlich ist, werden gemäß den bevorzugten Ausführungsformen isolierende Schichten auf einem Halbleitersubstrat ausgebildet. Wie aus 5, auf die zunächst Bezug genommen wird, zu erkennen ist, wird die erste oder untere isolierende Schicht 50 auf einer Barriereschicht 51 und einem leitfähigen Element 52 ausgebildet, welches in der veranschaulichten Ausführungsform Teil einer unteren Zwischenverbindungsschicht ist. Für den Fachmann versteht es sich, daß die Metallisierungssysteme typischerweise eine Metallzusammensetzung für mehrere Leiterschichten einsetzen (z.B. Kupferzwischenverbindungen oder Aluminiumzwischenverbindungen). Die bevorzugten Ausführungsformen können an viele verschiedene Materialien angepaßt werden, jedoch sind bestimmte Ausführungsformen speziell auf die Auskleidung von Damaszenstrukturen ausgerichtet, bei denen der Boden des Durchgangs oder das untere leitfähige Element 52 eine hochgradig leitfähige Kupferleitung umfaßt. Die erste isolierende Schicht 50 ist vorzugsweise in einer Dicke ausgebildet, die ausreichend ist, um das untere leitfähige Element 52 von den oberen Leitungsstrukturen, die ausgebildet werden sollen, zu isolieren. Eine Ätzstopschicht oder Hartmaske 54 (67) ist auf der unteren isolierenden Schicht 50 ausgebildet, und eine zweite oder obere isolierende Schicht 56 (8) ist auf der Ätzstopschicht 54 ausgebildet. Eine zweite Ätz- oder CMP-Stopschicht 58 (auch bekannt als Abschirmschicht) ist vorzugsweise ebenfalls auf der oberen isolierenden Schicht 56 ausgebildet.
  • In der dargestellten Ausführungsform umfaßt jede der oberen und unteren isolierenden Schichten 50, 56 mittels plasmaverstärkter CVD, die Tetraethylorthosilikat als Vorläufer verwendet, abgeschiedenes Oxid. Die isolierenden Schichten 50, 56 des bevorzugten Materials (in der Industrie als "PECVD TEOS" bezeichnet) werden vorzugsweise mit einer Dicke zwischen etwa 0,3 μm und 1,5 μm, bevorzugter zwischen etwa 0,5 μm und 1,0 μm ausgestaltet. Für den Fachmann ist es offensichtlich, daß die isolierende Schicht irgendeines aus einer Anzahl weiterer geeigneter dielektrischer Materialien umfassen kann. Beispielsweise wurden kürzlich dielektrische Materialien entwickelt, die im Vergleich zu herkömmlichen Oxiden eine geringe Permittivität (kleines k) aufweisen. Diese dielektrischen Materialien mit kleinem k umfassen polymere Materialien, poröse Materialien und fluordotierte Oxide. Die vorliegenden Verfahren zur Auskleidung von Gräben und Kontaktdurchgängen sind auch zusammen mit solchen Materialien mit kleinem k von Nutzen.
  • Die Ätzstopschichten 54, 58 der veranschaulichten Ausführungsform umfassen jeweils ein Material, welches relativ zu den isolierenden Schichten 50, 56 verschiedene Ätzraten zeigt, was eine bessere Kontrolle der Ätzprozesse erlaubt. In der dargestellten Ausführungsform enthalten die Ätzstopschichten 54, 58 Siliciumnitrid (Si3N4), welches vorzugsweise in einer Dicke von zwischen etwa 10 nm und 70 nm (etwa 100 Ä und 700 Å) und bevorzugter zwischen etwa 200 Å (0,02 μm) und 50 nm (500 Å) bereitgestellt wird. Die untere Barriereschicht 51 umfaßt vorzugsweise auch Si3N4.
  • Wie es oben im Abschnitt zum Hintergrund diskutiert wurde, überträgt nach der Ausbildung der unteren isolierenden Schicht 50 und der Ätzstopschicht 54 (5 und 6) ein Maskierungs- und Ätzprozeß ein Muster von Öffnungen 55 (von denen eines in 7 gezeigt ist) auf die Ätzstopschicht 54. Die zweite oder obere isolierende Schicht 56 und die optionale CMP-Stopschicht 58 werden dann auf der Hartmaske 54 ausgebildet.
  • Gemäß 9A, auf die nun Bezug genommen wird, wird das Substrat maskiert und Gräben 60 (von denen einer gezeigt ist) werden durch die obere isolierende Schicht 56 geätzt, was vorzugsweise auf den freigelegten Abschnitten der ersten Ätzstopschicht 54 endet. Für einen Fachmann versteht es sich, daß die Gräben 60 gemäß einer Ausgestaltung eines integrierten Schaltkreises in gewünschten Mustern für Metalleitungen auf der isolierenden Schicht 56 eingeätzt werden. In der veranschaulichten Ausführungsform beträgt die Breite des Grabens weniger als etwa 0,35 μm und bevorzugter weniger als etwa 0,25 μm.
  • Das fortgesetzte Ätzen durch die Hartmaske 54 definiert Kontaktdurchgänge 62 (von denen einer gezeigt ist), die sich vom Boden des Grabens und durch die untere isolierende Schicht 50 nach unten erstrecken und darunterliegende leitfähige Elemente (z.B. die Metalleitung 52) freilegen. Die Kontaktdurchgänge 62 werden durch die Öffnungen 55 in der Hartmaske 54 an diskreten Orten entlang der Gräben 60 definiert. In wünschenswerter Weise haben die Kontaktdurchgänge 62 eine Breite von weniger als etwa 0,35 μm und bevorzugter zwischen etwa 0,05 μm und 0,25 μm. Die Breite oder der Durchmesser eines Kontaktdurchgangs 62 kann gleich sein wie oder etwas kleiner sein als die durch den Graben 60 oben definierte Leitungsbreite.
  • Das effektive Aspektverhältnis (Tiefe:Breite) des Kontaktdurchgangs 62 ist daher vorzugsweise größer als etwa 2:1. Da die effektive Tiefe des Kontaktdurchgangs 62 durch die beiden isolie renden Schichten 50, 56 definiert wird, ist das effektive Aspektverhältnis noch bevorzugter größer als etwa 3:1 und beträgt am meisten bevorzugt zwischen etwa 4:1 und 8:1. Die bevorzugten Ausführungsformen sind insbesondere in Verbindung mit Vorrichtungen der zukünftigen Generation, bei denen die Leitungsbreiten und die Kontaktbreiten noch weiter reduziert werden, von Nutzen.
  • Gemäß den 9B bis 9D, auf die nun Bezug genommen wird, haben die bevorzugten Ausführungsformen auch zusammen mit Variationen der dualen Damaszenstruktur aus 9A einen besonderen Nutzen. Teile, die denjenigen aus 9A ähneln, sind mit den gleichen Bezugszahlen bezeichnet.
  • Gemäß 9B, auf die nun Bezug genommen wird, ist eine duale Damaszenstruktur ohne Abdeckung gezeigt. Wenn die Ausgestaltungsvorschriften Durchgänge 62 ohne Abdeckung zulassen (und diese wünschenswert sind, um eine höhere Schaltkreisdichte zu erzielen), kann eine Maskenfehlausrichtung zu noch größeren Aspektverhältnissen führen. Wenn die Seitenwand eines Durchgangs aus der entsprechenden Kante der durch die Hartmaske 54 definierten Öffnung 55 entfernt wird, wird die effektive Größe des Kontakts kleiner, so daß die Aspektverhältnisse leicht das Doppelte der oben für die in 9A veranschaulichte Ausführungsform aufgeführten betragen können.
  • Gemäß 9C, auf die nun Bezug genommen wird, zeigen Durchgänge, die nicht vollständig angelegt sind, in ähnlicher Weise höhere effektive Aspektverhältnisse. Unter solchen Umständen überlappt die Öffnung 55 der Hartmaske 54 eine Kante 70 des leitfähigen Schaltkreiselements 52. Kleine überätzte Löcher 72 mit sehr hohem Aspektverhältnis sind in einer isolierenden oder dielektrischen Schicht 74, die das Schaltkreiselement 52 umgibt, gebildet. Die Tiefe des überätzten Loches 72 ist natürlich von der Selektivität des Ätzens zwischen der Barriereschicht 51 und der es umgebenden dielektrischen Schicht 74 abhängig.
  • 9D zeigt die Auswirkungen einer Unterhöhlung der Barriereschicht 51 beim Ätzen des Durchgangs. Wenn die Barriereschicht 51 mittels eines selektiven Ätzvorgangs aus dem Boden des Durchgangs geätzt wird, um das darunterliegende Schaltkreiselement 52 freizulegen, wird die Barriereschicht 51 tendenziell seitlich vertieft. Die resultierenden Hohlräume 80 sind mittels herkömmlicher Verfahren sehr schwierig auszukleiden.
  • 9E veranschaulicht noch eine weitere nicht ideale Damaszenstruktur. Wenn die Photoresistschicht, die zum Aufbringen eines Musters auf die Struktur verwendet wurde, entfernt wird, werden die aus dielektrischem Material mit kleinem k gebildeten isolierenden Schichten 50, 56 anfällig gegenüber Angriffen, was in dem Graben 60 und dem Durchgang 61 ein faßförmiges Profil hinterläßt. Es ist auch schwierig, diese Struktur mittels konventioneller Verfahren in effektiver Weise auszukleiden und aufzufüllen.
  • In ähnlicher Weise können viele andere nicht ideale Bedingungen zu anderen geschlossenen Profilen, Hohlräumen und/oder extrem hohen Aspektverhältnissen für Gräben und Durchgänge in dualen Damaszenstrukturen führen. Unter solchen Umständen ist ein herkömmliches Verfahren zum Auskleiden und Auffüllen dieser Strukturen ohne Bildung von Hohlräumen ungeeignet. Im Gegensatz dazu können die Verfahren gemäß den bevorzugten Ausführungsformen in effektiver Weise selbst die abweichenden Strukturen der 9B bis 9E auskleiden. Darüber hinaus ist der Fachmann leicht in der Lage, die hierin offenbarten Verfahren und Filme auch über den Kontext einer dualen Damaszenstruktur hinaus anzuwenden. Beispielsweise können die hierin offenbarten Verfahren auch in effektiver Weise verwendet werden, um Gräben in einzelnen Damaszen-Leitungssystemen auszukleiden oder um konventionelle Kontaktdurchgänge und Öffnungen zu beschichten. Die Auskleidungsverfahren sind zusammen mit den Verfahrensabläufen der dualen Damaszierung gemäß den bevorzugten Ausführungsformen von besonderem Nutzen.
  • Verfahren zur Bildung konformer Auskleidungen
  • Die so gebildete Damaszenstruktur wird anschließend mit einer hohen Stufenabdeckung ausgekleidet. Gemäß den bevorzugten Ausführungsformen werden Auskleidungen durch einen periodischen Prozeß gebildet, wobei jeder Zyklus eine Schicht auf dem Werkstück in selbstbegrenzender Weise abscheidet, umsetzt oder adsorbiert. Jeder Zyklus umfaßt drei verschiedene Phasen, wobei die Phasen 1 und 3 eine Sättigungsreaktion mit selbstbegrenzender Wirkung sind, die nicht mehr als etwa eine atomare Monoschicht des gewünschten Auskleidungsmaterials hinterlassen.
  • 3 zeigt allgemein ein Verfahren zur Bildung von Auskleidungsschichten für Damaszenstrukturen mit hoher Stufenabdeckung. Das bevorzugte Verfahren ist eine Form der Atomlagenabscheidung (ALD), wobei die Reaktanten dem Werkstück in wechselnden Pulsen in einem Zyklus zugeführt werden. Vorzugsweise bildet jeder Zyklus mittels Adsorption und vorzugsweise mittels Chemisorption nicht mehr als etwa eine Monoschicht aus Auskleidungsmaterial. Die Substrattemperatur wird innerhalb eines Rahmens gehalten, der die Chemisorption vereinfacht. Insbesondere wird die Substrattemperatur auf einer Temperatur gehalten, die ausreichend niedrig ist, um intakte Bindungen zwischen adsorbierten Spezies und der darunterliegenden Oberfläche aufrechtzuerhalten und den Zerfall der Reaktantenspezies zu verhindern. Andererseits wird die Substrattemperatur auf einer Temperatur gehalten, die ausreichend hoch ist, um eine Kondensation der Reaktanten zu vermeiden und die Aktivierungsenergie für die gewünschten Oberflächenreaktionen in jeder Phase bereitzustellen. Natürlich ist der geeignete Temperaturbereich für jede gegebene ALD-Reaktion von der Oberflächenterminierung und den beteiligten Reaktantenspezies abhängig.
  • Jeder Puls oder jede Phase jedes Zyklus hat vorzugsweise eine selbstbegrenzende Wirkung. In den unten aufgeführten Beispielen ist jede der Phasen selbstterminierend (d.h. bei einer adsorbierten und vorzugsweise chemisorbierten Monoschicht verbleibt eine Oberfläche, die mit der Chemie dieser Phase nicht reagiert). Ein Überschuß an Reaktantenvorläufern wird in jeder Phase zugeführt, um die Oberflächen der Struktur zu sättigen. Die Oberflächensättigung stellt sicher, daß die Reaktanten alle verfügbaren reaktiven Stellen (vorbehaltlich physikalischer Größenbeschränkungen, wie sie unten ausführlicher diskutiert werden) besetzen, während die Selbstterminierung ein übermäßiges Filmwachstum an Stellen, die den Reaktanten länger ausgesetzt sind, verhindert. Die Sättigung und die selbstterminierende Chemie stellen zusammen eine ausgezeichnete Stufenabdeckung sicher.
  • Wie es veranschaulicht ist, beginnt das Verfahren gemäß den bevorzugten Ausführungsformen mit der Bildung 100 einer dualen Damaszenstruktur, wie sie beispielsweise in den 9A bis 9D gezeigt ist und oben diskutiert wurde.
  • Wenn es notwendig ist, werden die freigelegten Oberflächen der dualen Damaszenstruktur (z.B. die Oberflächen des Grabens und der Seitenwand des Durchgangs und der Metallboden, wie in 9A gezeigt, oder die Oberflächen einer zuvor abgeschiedenen Adhäsionsschicht) terminiert 102, um mit der ersten Phase des ALD-Prozesses zu reagieren. Die ersten Phasen (siehe Vergleichstabellen I bis III, Tabelle IV) reagieren beispielsweise mit Hydroxyl-(OH-) oder NHx-Terminierung. In den unten diskutierten Beispielen erfordern die Siliciumoxid- und Siliciumnitridoberflächen der dualen Damaszenstruktur keine separate Terminierung. Bestimmte Metalloberflächen, wie z.B. der Boden des Durchgangs 61 (9A), können beispielsweise durch Behandlung mit Ammoniak terminiert werden. Wenn das abzuscheidende Auskleidungsmaterial ein Metallnitrid ist, kann für die Oberflächenterminierung in Betracht gezogen werden, daß sie die Bildung einer ersten Adhäsionsschicht und deren Oberflächenterminierung umfaßt, wie es unter Bezugnahme auf Tabelle I unten ausführlicher diskutiert wird, eventuell mit einer zusätzlichen Oberflächenterminierungsbehandlung der Adhäsionsschicht.
  • Nach der ersten Oberflächenterminierung 102 wird dem Werkstück notwendigenfalls eine erste chemische Komponente zugeführt 104. Gemäß den bevorzugten Ausführungsformen, die unten unter Bezugnahme auf 4 ausführlicher diskutiert werden, umfaßt die erste chemische Komponente eine Metall enthaltende Verbindung, die mit den aus der vorherigen Stufe 102 verbliebenen terminierten Oberflächen reagiert. Dementsprechend wird bei der Oberflächenterminierung eine Metall enthaltende Spezies ersetzt oder adsorbiert. Diese Schicht aus einer Metall enthaltenden Spezies ist selbstterminierend, so daß jegliche überschüssige Bestandteile der ersten chemischen Komponente nicht weiter mit der durch diesen Prozeß gebildeten Monoschicht reagieren. Ein Halogenidligand terminiert die Metall enthaltende Monoschicht.
  • Die Metall enthaltende reaktive Spezies wird vorzugsweise in Gasform bereitgestellt und wird demzufolge im folgenden als Metallgasquelle bezeichnet. In einigen Beispielen hat die reaktive Spezies tatsächlich einen Schmelzpunkt, der oberhalb der Prozeßtemperatur liegt (z.B. schmilzt in Vergleichstabelle V unten CuCl bei 430°C, während der Prozeß bei etwa 350°C ausgeführt wird). Dennoch wird die Metallgasquelle für Zwecke der vorliegenden Beschreibung als "flüchtig" angesehen, wenn die Spezies unter den Prozeßbedingungen einen Dampfdruck aufweist, der ausreichend ist, um die Spezies dem Werkstück in ausreichender Konzentration zuzuführen, um freigelegte Oberflächen zu sättigen.
  • Die erste chemische Komponente wird dann aus der Reaktionskammer entfernt 106. In den veranschaulichten Ausführungsformen wird in Stufe 106 lediglich der Fluß der ersten chemischen Komponente gestoppt, während ein Trägergas für eine ausreichende Zeitdauer weiter strömen kann, um überschüssige Reaktanten und Reaktionsnebenprodukte aus den Durchgängen, den Gräben und der Reaktionskammer zu diffundieren oder auszuspülen, und zwar vorzugsweise mit Spülgas mit mehr als etwa dem doppelten Volumen der Reaktionskammer, bevorzugter mit mehr als etwa dem dreifachen Volumen der Reaktionskammer. In der veranschaulichten Ausführungsform umfaßt das Entfernen 106 das weitere Strömenlassen von Spülgas für zwischen etwa 0,1 Sekunden und 20 Sekunden nach dem Stoppen des Flusses der ersten chemischen Komponente. In anderen Anordnungen kann die Kammer zwischen wechselnden chemischen Komponenten vollständig evakuiert werden. Siehe beispielsweise PCT-Veröffentlichungsnummer WO 96/17107, veröffentlicht am 6. Juni 1996, mit dem Titel METHOD AND APPARATUS FOR GROWING THIN FILMS. Zusammen stellen die Adsorption 104 und die Entfernung 106 der Reaktanten eine erste Phase in einem ALD-Zyklus dar.
  • Wenn die Reaktanten der ersten chemischen Komponente aus der Kammer entfernt wurden 106, wird dem Werkstück eine zweite chemische Komponente 108 zugeführt. Die zweite chemische Komponente reagiert wünschenswerterweise mit der in Stufe 104 gebildeten selbstterminierenden Monoschicht. In den veranschaulichten Ausführungsformen, die unten unter Bezugnahme auf 4 ausführlicher beschrieben werden, umfaßt diese Reaktion das Zuführen einer Stickstoffgasquelle zu dem Werkstück. Stickstoff oder Stickstoff enthaltende Spezies aus der Stickstoffgasquelle reagieren vorzugsweise mit der zuvor adsorbierten, Metall enthaltenden Spezies, wobei ein Metallnitrid anstelle der Metall enthaltenden Monoschicht zurückbleibt.
  • In anderen Anordnungen kann die zweite chemische Komponente einfach die Ligandenterminierung der in Stufe 104 gebildeten adsorbierten Metallkomplexmonoschicht einfangen oder entfernen (z.B. durch Ligandenaustausch, Sublimation oder Reduktion) oder die Monoschicht auf andere Weise auf die Abscheidung/Adsorption einer weiteren Monoschicht und/oder die Reaktion mit einer weiteren chemischen Komponente vorbereiten (siehe z.B. Vergleichstabelle I, Tabelle IV und Vergleichstabelle V unten). Die Reaktion 108 ist wünschenswerterweise auch selbstterminierend. Die Reaktanten sättigen die begrenzte Anzahl an Reaktionsstellen, die aus Stufe 104 verblieben sind. Die Temperatur- und Druckbedingungen sind vorzugsweise so ausgestaltet, daß eine Diffusion von Reaktanten aus der zweiten chemischen Komponente durch die Monoschicht zu darunterliegenden Materialien vermieden wird. Die zweite chemische Komponente hinterläßt auch eine Oberflächenterminierung, die so wirkt, daß sie die Abscheidung in einer sättigenden Reaktionsphase begrenzt. In den veranschaulichten Ausführungsformen der Vergleichstabellen II und III unten reagieren Stickstoff- und NHx-Reste, die eine Metallnitridmonoschicht terminieren, nicht mit NH3 aus der zweiten chemischen Komponente.
  • Nach einer Zeitdauer, die ausreichend ist, um die Metall enthaltende Monoschicht vollständig zu sättigen und mit der zweiten chemischen Komponente umzusetzen, wird die zweite chemische Komponente von dem Werkstück entfernt 110. Wie bei der Entfernung 106 der ersten chemischen Komponente umfaßt diese Stufe 110 vorzugsweise das Stoppen des Flusses der zweiten chemischen Komponente und das fortgesetzte Strömenlassen von Trägergas für eine Zeitdauer, die ausreichend ist, damit überschüssige Reaktanten und Reaktionsnebenprodukte aus der zweiten chemischen Komponente aus den Durchgängen und Gräben der Damaszenstruktur hinausdiffundieren und aus der Reaktionskammer gespült werden können. Beispielsweise können Reaktanten und Reaktionsnebenprodukte durch Einleiten von Spülgas nach dem Stoppen des Flusses der ersten chemischen Komponente entfernt werden, und zwar vorzugsweise mit wenigstens etwa dem doppelten Kammervolumen an Spülgas und bevorzugter mit wenigstens etwa dem dreifachen Kammervolumen. In der veranschaulichten Ausführungsform umfaßt das Entfernen 110 das fortgesetzte Fließenlassen von Spülgas für zwischen etwa 0,1 Sekunden und 20 Sekunden nach dem Stoppen des Flusses der ersten chemischen Komponente. Die Reaktion 108 und die Entfernung 110 stellen zusammen eine zweite Phase 111 in einem ALD-Zyklus dar.
  • In der veranschaulichten Ausführungsform, die außerhalb des Schutzumfangs der Erfindung liegt und bei der sich zwei Phasen abwechseln, wird die erste Phase des ALD-Prozesses wiederholt, sobald die überschüssigen Reaktanten und Nebenprodukte der zweiten chemischen Komponente aus den Durchgängen und Gräben und vorzugsweise aus der Reaktionskammer hinausdiffundiert sind. Dementsprechend bildet die erneute Zuführung 104 der ersten chemischen Komponente an das Werkstück eine weitere selbstterminierende Monoschicht.
  • Die beiden Phasen 107, 111 repräsentieren somit einen Zyklus 115, der wiederholt wird, um in einem ALD-Prozeß Monoschichten zu bilden. Die erste chemische Komponente reagiert im allgemeinen mit der von der zweiten chemischen Komponente im vorherigen Zyklus verbliebenen Terminierung. Falls notwendig, kann der Zyklus 115 ausgeweitet werden und eine unterschiedliche Oberflächenvorbereitung ähnlich zu Stufe 102 beinhalten, wie es in 3 in gestrichelten Linien gezeigt ist. Der Zyklus 115 setzt sich dann mit den Stufen 104 bis 110 fort. Dieser Zyklus 115 wird mit ausreichender Häufigkeit wiederholt, um eine Auskleidungsschicht in der dualen Damaszenstruktur zu bilden, die eine Dicke hat, welche ausreichend ist, damit sie ihre gewünschte Funktion ausüben kann.
  • Obwohl in 3 nur eine erste und eine zweite chemische Komponente gezeigt sind, versteht es sich, daß in anderen Anordnungen auch zusätzliche chemische Komponenten in jedem Zyklus enthalten sein können (siehe beispielsweise Tabelle IV unten). Weiterhin versteht es sich, daß der Zyklus, obwohl er in den Beispielen unten mit einer ersten Metallphase und einer anschließenden Stickstoffphase gezeigt ist, auch mit der Stickstoffphase beginnen kann, was von den Oberflächen und den chemischen Komponenten der Phasen abhängig ist.
  • Bildung von Metalladhäsions-Auskleidungsschichten (außerhalb des Schutzumfangs der Erfindung)
  • In Abhängigkeit von den freigelegten Materialien und der gewünschten Chemie der ALD kann vor der Bildung einer Diffusionsbarriereschicht eine Adhäsionsschicht wünschenswert sein oder nicht. Bei TEOS-Oxiden haben die Erfinder herausgefunden, daß die Verwendung einer Adhäsionsschicht nicht notwendig ist. Andererseits können Adhäsionsschichten für Durchgänge und Gräben, die in alternativen isolierenden Materialien, wie aufgespritzten Dielektrika und Materialien mit kleinem k, ausgebildet sind, wünschenswert sein. Leitfähige Adhäsionsschichten können ebenfalls wünschenswert sein, um die Reaktion der ersten Phase gegenüber Metalleitungen oder Erdungsblöcken 52, die am Boden des Durchgangs 61 freigelegt sind (9A), zu vereinfachen.
  • Wenn eine Adhäsionsschicht gewünscht ist, umfaßt die Adhäsionsschicht vorzugsweise eine Auskleidungsschicht aus "reinem" Metall auf den Oxid-, Metall- und Ätzstopschichten der dualen Damaszenstrukturen. Vor der Ausbildung der bevorzugten Barriereschichten wird daher vorzugsweise eine duale Damaszenstruktur ähnlich derjenigen in den 9A bis 9E mit einer Metalladhäsionsschicht ausgekleidet. Wie es im Stand der Technik bekannt ist, können solche Adhäsionsschichten mittels PVD oder CVD gebildet werden. Beispielsweise sind im Stand der Technik PVD-Techniken mit Titan und CVD-Techniken mit Wolfram gut bekannt.
  • Bevorzugter wird die Adhäsionsschicht mittels ALD ausgebildet, wie es durch die Prozeßanleitung in Vergleichstabelle I unten beispielhaft veranschaulicht wird. Es versteht sich, daß die hier unter Bezugnahme auf 3 und unter Bezugnahme auf die speziellen Beispiele von Metallnitriden, wie sie unten ausgeführt werden, offenbarten Prinzipien auf die Bildung einer Vielzahl von Auskleidungsmaterialien anwendbar sind. Beispielsweise kann eine reine Metallschicht abgeschieden werden, indem abwechselnd halogenterminierte Monoschichten aus Metall und strömende Reduktionsmittel, d.h. Triethylbor, abgeschieden werden, um die Halogenterminierung zu entfernen.
  • Die Entfernung der Terminierung der Metallmonoschicht durch Binden und Wegtragen des Liganden kann allgemeiner als "Einfangen" oder "Fangen" des Liganden bezeichnet werden. Im nächsten Zyklus kann daher die Metallgasquelle in einer weiteren selbstterminierenden Phase auf die darunterliegende Metallmonoschicht adsorbieren. Das resultierende ALD-Metall ist als Adhäsionsschicht vor der Abscheidung der Barriereschicht und als Keimschicht nach der Abscheidung der Barriereschicht und vor der Elektroplattierung besonders geeignet.
  • Dementsprechend umfaßt eine der Reaktantenspezies eine Metall enthaltende Spezies mit einem Halogenidliganden. Beispielhafte Metallvorläufer umfassen TaCl5 und TiCl4. In der veranschaulichten Ausführungsform wird mittels ALD in abwechselnden Metall- und reduzierenden Phasen, die durch Spülstufen voneinander getrennt sind, eine Keimschicht aus Wolfram (W) gebildet. In der Prozeßanleitung von Vergleichstabelle I unten wechselt Wolframhexafluorid (WF6) mit einem Fänger in der Form des Reduktionsmittels Triethylbor ((CH3CH2)3B) ab.
  • Vergleichstabelle I
    Figure 00140001
  • Durch Plasmageneratoren bereitgestellte Radikale können die Abscheidung von Metall enthaltenden Schichten bei den niedrigen Temperaturen der ALD-Prozesse vereinfachen. Ein weiterer beispielhafter ALD-Prozeßablauf mit Metall wird in dem US-Patent Nr. 5,916,365 von Sherman, erteilt am 29. Juni 1999, bereitgestellt.
  • Verfahren zur Bildung von Barriereschichten aus Metallnitrid
  • 4 und die Tabellen II bis IV unten veranschaulichen beispielhafte Prozesse zur Bildung von Barriereschichten aus Metallnitrid, die die Strukturen der 9A bis 9E auskleiden. Der Einfachheit halber werden die gleichen Bezugszahlen verwendet, um auf die Phasen und Stufen der Beispiele mit Metallnitrid (4) Bezug zu nehmen, die der allgemeinen Beschreibung von 3 entsprechen.
  • In 4, auf die nun Bezug genommen wird, wird ein Gasflußablauf gemäß einer bestimmten Ausführungsform außerhalb des Schutzumfangs der Erfindung dargestellt. In dem veranschaulichten Beispiel wird ein leitfähiges Nitrid und insbesondere ein Metallnitrid gebildet, indem dem Werkstück abwechselnd eine Metallgasquelle und eine Stickstoffgasquelle zugeführt werden. Die erste Phase oder Metallphase 107 in jedem Zyklus chemisorbiert eine Schicht eines Metall enthaltenden Materials, wünschenswerterweise in Abwesenheit der Stickstoffgasquelle. Die zweite Phase oder Stickstoffphase 111 in jedem Zyklus setzt ein Stickstoff enthaltendes Material auf der abgeschiedenen, Metall enthaltenden Schicht um oder adsorbiert es, wünschenswerterweise in Abwesenheit der Metallgasquelle. Es versteht sich, daß in anderen Anordnungen die Reihenfolge der Phasen umgekehrt werden kann und daß die Stufen des Entfernens von Reaktanten oder des Spülens als Teil des vorausgehenden oder nachfolgenden Reaktantenpulses betrachtet werden können.
  • Die Oberflächen der Damaszenstruktur, auf denen das Auskleidungsmaterial ausgebildet werden soll, werden zunächst terminiert, um eine Oberfläche bereitzustellen, die mit der Metallgasquelle reagiert. In der Ausführungsform von 9A beinhalten die freigelegten Oberflächen, auf denen eine Abscheidung gewünscht ist, die Seitenwände der isolierenden Schichten 50, 56 (in der veranschaulichten Ausführungsform TEOS), freigelegte Ätzstopschichten 54, 58 und den Boden des Kontaktdurchgangs 62, der durch das untere leitfähige Element 52 (in der veranschaulichten Ausführungsform Kupfer) definiert wird. Diese Oberflächen werden vorzugsweise für die Ausbildung von Barriereschichten durch Abscheiden einer Adhäsionsschicht, wünschenswerterweise mittels ALD-Metallabscheidung, wie sie oben diskutiert wurde, und eine weitere Behandlung der Metalladhäsionsschicht beispielsweise mit NH3 hergestellt. Ohne Adhäsionsschicht können Reaktanten der Metallphase 107 auf die Oxid- und Nitridoberflächen der bevorzugten Damaszenstruktur ohne separate Oberflächenterminierung chemisorbieren. In Abhängigkeit von den chemischen Komponenten der Metallphase 107 kann eine Oberflächenbehandlung der freigelegten Metalleitung 52 (z.B. mit NH3) bereitgestellt werden.
  • Am meisten bevorzugt ist die Metallphase 107 selbstbegrenzend, so daß während der ersten Phase nicht mehr als etwa eine atomare Monoschicht abgeschieden wird. In wünschenswerter Weise wird eine flüchtige Metallgasquelle in einem Puls 104 bereitgestellt. Beispielhafte Metallgasquellen umfassen Titantetrachlorid (TiCl4), Wolframhexafluorid (WF6), Tantalpentachlorid (TaCl5) und Kupferchlorid (CuCl).
  • Nach einer Zeitdauer, die ausreichend ist, damit die Metallgasquelle in den Boden des Kontaktdurchgangs der dualen Damaszenstruktur diffundieren kann, beendet das Abstellen der Metallgasquelle den Metallpuls 104. Vorzugsweise fließt in einer Spülstufe 106 weiterhin ein Trägergas, bis die Metallgasquelle aus der Kammer gespült wurde.
  • Während des Pulses 104 reagiert die Metallgasquelle mit freigelegten und terminierten Oberflächen des Werkstücks, um eine "Monoschicht" aus Metall enthaltenden Spezies abzuscheiden oder zu chemisorbieren. Während die Reaktanten theoretisch an jeder verfügbaren Stelle auf der freigelegten Schicht des Werkstücks chemisorbieren, begrenzt die physikalische Größe der adsorbierten Spezies (insbesondere mit Terminierungsliganden) im allgemeinen die Abdeckung in jedem Zyklus auf einen Bruchteil einer Monoschicht. In dem Beispiel aus Vergleichstabelle II züchtet der ALD-Prozeß Metallnitridschichten von ungefähr 0,35 Å/Zyklus (0,035 nm/Zyklus), so daß sich eine vollständige Monoschicht aus abgeschiedenem Material für TiN, das eine Hauptgitterkonstante von etwa 4,2 Å (0,42 nm) hat, etwa alle 15 Zyklen bildet. Jeder Zyklus wird durch ein Paar von Metallgasquellen- und Stickstoffgasquellenpulsen repräsentiert. Der Begriff "Monoschicht", wie er hier verwendet wird, bezieht sich daher auf einen Bruchteil einer Monoschicht während der Abscheidung, was sich in erster Linie auf die selbstbegrenzende Wirkung des Pulses 104 bezieht.
  • Insbesondere ist die auf dem Werkstück abgeschiedene/adsorbierte, Metall enthaltende Spezies selbstterminierend, so daß die Oberfläche nicht mehr mit der Metallgasquelle reagiert. In den unten aufgeführten Beispielen hinterläßt TiCl4 (Vergleichstabelle II) eine Monoschicht aus chloridterminiertem Titan. WF6 (Vergleichstabelle III und Tabelle IV) hinterläßt eine Monoschicht aus fluorterminiertem Wolfram. In ähnlicher Weise hinterlassen andere flüchtige Metallhalogenide halogenidterminierte Oberflächen. Diese Oberflächen reagieren während des Metallgasquellenpulses 104 nicht mehr mit der Metallquelle oder anderen Bestandteilen des Reaktantenstroms. Da eine übermäßige Aussetzung an die Reaktanten nicht zu einer übermäßigen Abscheidung führt, sagt man, daß die chemische Komponente während der Metallphase 107 des Prozesses selbstbegrenzend ist. Trotz einer längeren Aussetzung an eine höhere Konzentration von Reaktanten ist die Abscheidung auf den oberen Oberflächen des Werkstücks nicht stärker als die Abscheidung auf dem Boden des Durchgangs.
  • In einer zweiten Phase 111 des Zyklus 115 wird dem Werkstück dann ein Puls 108 einer Stickstoffgasquelle zugeführt. In den veranschaulichten Beispielen enthält die Stickstoffgasquelle Ammoniak. Vorzugsweise wird die zweite Phase 111 für eine Zeitdauer aufrechterhalten, die ausreichend ist, um die Monoschicht der Metall enthaltenden Spezies, die von der ersten Phase 107 zurückgeblieben ist, vollständig der Stickstoffgasquelle auszusetzen. Nach einer Zeitdauer, die ausreichend ist, damit die Stickstoffgasquelle in den Boden des Kontaktdurchgangs der dualen Damaszenstruktur diffundieren kann, beendet das Abschalten des Flusses der Metallgasquelle den Stickstoffpuls 108. Vorzugsweise strömt in einer Spülstufe 110 weiterhin Trägergas ein, bis die Stickstoffgasquelle aus der Kammer gespült wurde.
  • Während des Stickstoffpulses 108 reagiert die Stickstoffgasquelle mit der oder chemisorbiert auf die selbstterminierende Metallmonoschicht, die von der ersten Phase 107 zurückgeblieben ist. In den Ausführungsformen der Vergleichstabellen II und III umfaßt diese Chemisorption eine sättigende Ligandenaustauschreaktion, wodurch die Halogenterminierung der Metallmonoschicht durch eine Stickstoff enthaltende Spezies ersetzt wird. In der Ausführungsform nach Tabelle IV entfernt im Gegensatz dazu eine zwischengeschaltete Einfang- oder Fängerphase vor einem Stickstoffpuls zuerst die Halogenterminierung der Metallmonoschicht. In diesem Fall reagiert in einer dritten Phase die Stickstoff enthaltende Spezies mit dem oder adsorbiert auf das durch die Einfangphase freigelegte Metall. In jedem Fall wird dadurch ein Metallnitrid gebildet, und zwar vorzugsweise in einer einzelnen Monoschicht. In wünschenswerter Weise hinterläßt der Prozeß eine stöchiometrische Menge von Metallnitrid. Wie es in Bezug auf die Metallphase 107 diskutiert wurde, muß die Monoschicht aufgrund der physikalischen Größe der adsorbierten Spezies nicht alle verfügbaren Stellen besetzen. Die zweite Phase 111 hat jedoch auch eine selbstbegrenzende Wirkung.
  • Insbesondere reagiert die Stickstoffgasquelle mit der Metall enthaltenden Spezies, die während des vorherigen Pulses der Metallgasquelle auf das Werkstück chemisorbiert wurde. Die Reaktion ist auch oberflächenterminiert, da Ammoniak während des Pulses 108 nicht mit Stickstoff und NHx-Resten, die die Metallnitridmonoschicht terminieren, reagiert. Darüber hinaus werden die Temperatur- und Druckbedingungen so ausgestaltet, daß eine Diffusion von Ammoniak durch die Metallmonoschicht zu darunterliegenden Materialien vermieden wird. Trotz einer längeren Aussetzung an eine höhere Konzentration von Reaktanten in dieser sättigenden, selbstbegrenzenden Reaktionsphase 111 übersteigt die Dicke des auf den oberen Oberflächen des Werkstücks ausgebildeten Metallnitrids nicht die Dicke des auf dem Boden des Durchgangs ausgebildeten Metallnitrids.
  • Die Metallphase 107 (einschließlich des Metallgasquellenpulses 104 und der Spülstufe 106) und die Stickstoffphase 108 (einschließlich des Stickstoffgasquellenpulses 108 und der Spülstufe 110) definieren zusammen einen Zyklus 115, der in einem ALD-Prozeß wiederholt wird. Nach dem ersten Zyklus 115 wird ein zweiter Zyklus 115a ausgeführt, wobei wieder ein Metallgasquellenpuls 104a zugeführt wird. Die Metallgasquelle chemisorbiert eine Metall enthaltende Spezies auf der Oberfläche des in dem vorherigen Zyklus 115 ausgebildeten Metallnitrids. Die Metall enthaltenden Spezies reagieren gut mit der freigelegten Oberfläche, wodurch eine weitere Monoschicht oder ein Bruchteil einer Monoschicht aus einer Metall enthaltenden Spezies abgeschieden wird und erneut eine selbstterminierende Oberfläche zurückbleibt, die nicht weiter mit der Metallgasquelle reagiert. Der Strom 104a der Metallgasquelle wird gestoppt und aus der Kammer gespült 106a, und (gemäß Vergleichstabellen II und III) eine zweite Phase 111a des zweiten Zyklus 115a stellt eine Stickstoffgasquelle bereit, um die zweite Metallmonoschicht zu nitrifizieren. Gemäß dem Beispiel aus Tabelle IV geht der Stickstoffphase eine zwischengeschaltete Einfang- oder Fängerphase voraus.
  • Der Zyklus 115a wird wenigstens 10-mal und bevorzugter wenigstens etwa 20-mal wiederholt, bis ein Metallnitrid ausgebildet wurde, welches eine ausreichende Dicke hat, um in der dualen Damaszenstruktur eine Barrierefunktion auszuüben. In vorteilhafter Weise können durch die Verfahren gemäß den Ausführungsformen Schichten mit einer Dicke von weniger als etwa 20 nm (200 Å) und bevorzugter weniger als etwa 100 Å (0,01 μm) mit einer nahezu vollkommenen Stufenabdeckung ausgebildet werden.
  • Beispiele
  • Die Tabellen unten stellen beispielhafte Prozeßanleitungen zur Ausbildung von Metallnitridschichten, die für Barriereanwendungen in Metallisierungssystemen für duale Damaszenstrukturen geeignet sind, für die integrierte Verarbeitung in extrem großem Maßstab bereit. Jede der Prozeßanleitungen stellt einen Zyklus in einem Einzelwafer-Prozeßmodul dar. Insbesondere wurden die veranschaulichten Parameter für die Verwendung in dem Einzelwafer-ALD-Modul, welches unter dem Handelsnamen Pulsar 2000TM von ASM Microchemistry Ltd. in Finnland kommerziell erhältlich ist, entwickelt.
  • Es sei angemerkt, daß die Parameter in den Tabellen unten (und auch in Vergleichstabelle I oben) nur beispielhaft sind. Jede Prozeßphase ist in wünschenswerter Weise so ausgestaltet, daß die Oberflächen der Durchgänge und Gräben gesättigt werden. Spülstufen sind vorgesehen, um zwischen Reaktionsphasen Reaktanten aus den Durchgängen zu entfernen. Die hier angegebenen Beispiele wurden auf ebenen, ungemusterten Waferoberflächen in einer Pulsar 2000TM-Reaktionskammer von ASM Microchemistry Ltd., Finnland, ausgeführt. Es wurde festgestellt, daß ähnliche ALD-Prozesse eine mehr als 90%-ige Stufenabdeckung in Hohlräumen mit Aspektverhältnissen von mehr als etwa 20 erzielen. Im Hinblick auf die hier angegebene Offenbarung kann der Fachmann die Abscheidungsbedingungen für verschiedene Reaktionskammern und verschiedene ausgewählte Bedingungen einfach modifizieren, ersetzen oder in anderer Weise verändern, um bei akzeptablen Abscheidungsraten gesättigte, selbstterminierende Phasen zu erzielen.
  • In vorteilhafter Weise sind die hier beschriebenen ALD-Prozesse relativ unempfindlich gegenüber Druck und Reaktantenkonzentration, solange die Zufuhr von Reaktanten ausreichend ist, um die Oberflächen von Gräben und Durchgängen zu sättigen. Des weiteren können die Prozesse bei niedrigen Temperaturen ausgeführt werden. Die Temperatur des Werkstücks wird vorzugsweise während des gesamten Prozesses zwischen etwa 300°C und 500°C gehalten, um relativ schnelle Abscheidungsraten zu erzielen und gleichzeitig die Wärmebilanz während des Backend-Prozesses zu erhalten. Bevorzugter wird die Temperatur zwischen etwa 350°C und 400°C und am meisten bevorzugt zwischen etwa 380°C und 400°C gehalten. Der Druck in der Kammer kann zwischen dem Millitorr-Bereich bis hin zu Überatmosphärendruck liegen, wird jedoch bevorzugt zwischen etwa 133 Pa und 66,5 kPa (1 Torr und 500 Torr), bevorzugter zwischen etwa 10 Torr (1330 Pa) und 100 Torr (13.300 Torr) gehalten.
  • Vergleichstabelle II
    Figure 00190001
  • Vergleichstabelle II oben gibt Parameter für die ALD einer Titannitrid-(TiN-)Barriereschicht in Gräben und Kontaktdurchgängen einer dualen Damaszenstruktur an. Wie bereits erwähnt, enthält die Metallgasquelle Titantetrachlorid (TiCl4), das Trägergas enthält Stickstoff (N2) und die Stickstoffgasquelle enthält vorzugsweise Ammoniak (NH3).
  • In der ersten Phase des ersten Zyklus chemisorbiert TiCl4 auf die Oxid-, Nitrid-, Metall- und/oder OH- oder NHx-terminierten Oberflächen der Gräben und Kontaktdurchgänge der dualen Damaszenstruktur. Die Metallgasquelle umfaßt bei vorgegebenen anderen Prozeßparametern vorzugsweise einen ausreichenden Prozentanteil an Trägergas, um die Oberflächen der Damaszenstruktur zu sättigen. Eine Titankomplexmonoschicht bleibt auf den Oberflächen von Gräben und Durchgängen zurück, und diese Monoschicht ist selbstterminierend mit Chlorid.
  • In wünschenswerter Weise umfaßt der Reaktor einen Katalysator, um die Metallgasquelle in eine kleinere und/oder reaktivere Spezies umzuwandeln. In der veranschaulichten Ausführungsform weist die bevorzugte Reaktionskammer Titanwände auf, die in vorteilhafter Weise TiCl4 in TiCl3 umwandeln. Die kleineren Spezies diffundieren leicht in Durchgänge, sie besetzen mehr reaktive Stellen pro Zyklus und chemisorbieren leichter auf die aktiven Stellen. Dementsprechend ermöglicht der Katalysator schnellere Abscheidungsraten. Für den Fachmann ist es offensichtlich, daß für andere chemische Komponenten auch andere Katalysatoren verwendet werden können.
  • Nachdem der TiCl4-Strom gestoppt und durch den kontinuierlichen Zustrom von Trägergas gespült wurde, wird dem Werkstück ein NH3-Puls zugeführt. Ammoniak macht bei vorgegebenen anderen Prozeßparametern vorzugsweise einen ausreichenden Prozentanteil des Trägergases aus, um die Oberfläche der Metall enthaltenden Monoschicht zu sättigen. Das NH3 reagiert in einer Ligandenaustauschreaktion gut mit der chloridterminierten Oberfläche der Metallmonoschicht, wobei eine Monoschicht aus Titannitrid (TiN) gebildet wird. Die Reaktion ist durch die Anzahl an verfügbaren, zuvor chemisorbierten Metallchloridkomplexen beschränkt. Weder Ammoniak noch das Trägergas reagieren weiter mit der resultierenden Titannitridmonoschicht, und die Monoschicht verbleibt mit einer Stickstoff- und NHx-Brückenterminierung. Die bevorzugten Temperatur- und Druckparameter hemmen darüber hinaus die Diffusion von Ammoniak durch die Metallmonoschicht.
  • Im nächsten Zyklus bringt die erste Phase TiCl4 ein, welches gut mit der Oberfläche der Titannitridmonoschicht reagiert, was wiederum eine chloridterminierte Titanschicht hinterläßt. Die zweite Phase des zweiten Zyklus verläuft dann so, wie es in Bezug auf den ersten Zyklus beschrieben wurde. Diese Zyklen werden wiederholt, bis die gewünschte Schichtdicke von Titannitrid ausgebildet wurde.
  • In der veranschaulichten Ausführungsform strömt während beider Phasen jedes Zyklus weiterhin Trägergas mit einer konstanten Geschwindigkeit ein. Es versteht sich jedoch, daß Reaktanten durch Evakuieren der Kammer zwischen abwechselnden Gaspulsen entfernt werden können. In einer Anordnung umfaßt der bevorzugte Reaktor Hardware und Software, um während der gepulsten Abscheidung einen konstanten Druck aufrechtzuerhalten.
  • Vergleichstabelle III
    Figure 00200001
  • Vergleichstabelle III oben gibt Parameter für die ALD von Wolframnitrid (WN) an. Wie bereits erwähnt, enthält die Metallgasquelle Wolframhexafluorid (WF6), das Trägergas enthält Stickstoff (N2), und die Stickstoffgasquelle enthält vorzugsweise Ammoniak (NH3). Während jeder der Reaktionsphasen werden die Reaktanten in einer im Hinblick auf die anderen Parameter ausreichenden Menge zugeführt, um die Oberfläche zu sättigen.
  • In diesem Fall ist die in der Metallphase ausgebildete Metallmonoschicht selbstterminierend mit Fluorid, welches unter den bevorzugten Bedingungen nicht leicht mit WF6 reagiert. Die bevorzugte Stickstoffgasquelle reagiert jedoch während der Stickstoffphase mit oder adsorbiert auf die fluoridterminierte Oberfläche in einer Reaktion, die durch die beschränkte Zufuhr von zuvor adsorbierten Wolframfluoridkomplexen beschränkt ist. Darüber hinaus hinterläßt die Nitridierung eine Stickstoff- und NHx-Terminierung, die in der sättigenden Phase nicht weiter mit überschüssigem Ammoniak reagiert.
  • Tabelle IV
    Figure 00210001
  • Tabelle IV oben gibt Parameter für einen weiteren ALD-Prozeß zur Ausbildung von Wolframnitrid (WN) an. Die veranschaulichte Ausführungsform ist insbesondere vorteilhaft für die direkte Abscheidung einer Barriereschicht auf Metall am Boden des Durchgangs und von isolierenden Oberflächen des Grabens und des Durchgangs, ohne daß eine Adhäsionsschicht dazwischenliegt. Wie bereits erwähnt, enthält das Metallgas Wolframhexafluorid (WF6), das Trägergas enthält Stickstoff (N2), und die Stickstoffgasquelle enthält vorzugsweise Ammoniak (NH3). In diesem Fall entfernt ein Einfänger oder Fänger die von der Metallphase zurückgelassenen Liganden. Insbesondere reduziert ein starkes Reduktionsmittel, welches in der veranschaulichten Ausführungsform TEB (Triethylbor) enthält, die halogenterminierte Metallkomplexmonoschicht oder entfernt sie auf andere Weise. Die Stickstoffgasquelle reagiert dann leicht mit der reduzierten Metalloberfläche. In anderen Anordnungen kann der Einfänger die Halogenterminierung in einer Ligandenaustauschreaktion ersetzen, wobei in wünschenswerter Weise eine Oberfläche verbleibt, die mit einer nachfolgenden, Stickstoff enthaltenden Spezies reagiert. Die Stickstoffphase sättigt die Reaktionsstellen, die von der Einfängerphase hinterlassen wurden (d.h. in der veranschaulichten Ausführungsform die freigelegte Wolframoberfläche) und hinterläßt eine Stickstoff- und NHx-Terminierung, welche in der Sättigungsphase nicht weiter mit überschüssigem Ammoniak reagiert.
  • Die zwischengeschaltete Reduktionsphase führt zu einer Kristallinität von Metallnitrid, die eine geringere Widerstandsfähigkeit aufweist als Filme, die durch die Ligandenaustauschreaktion von Vergleichstabelle III gebildet wurden. Eine solche verminderte Widerstandsfähigkeit ist vorteilhaft im Kontext der bevorzugten Barriereschicht der dualen Damaszenstruktur, wobei die Barriere in dem leitfähigen Pfad der Leitungen integrierter Schaltkreise plaziert wird.
  • Darüber hinaus bindet der zwischengeschaltete Fänger, wie er durch den TEB-Puls von Tabelle IV dargestellt ist, vor der Einführung der Ammoniakphase die von der vorherigen Metallphase hinterlassenen Halogenidreste und trägt sie weg. In vorteilhafter Weise reagiert die Ammoniakphase direkt mit dem in der ersten Phase ausgebildeten Metall, statt in einer Ligandenaustauschreaktion Halogenwasserstoff (z.B. HF) freizusetzen. Im Gegensatz zu HF korrodiert der Komplex, der durch die Bindung von Halogeniden an den Einfänger oder Fänger gebildet wurde, empfindliche Oberflächen, wie das Metall am Boden der Damaszenstruktur, nicht. Dementsprechend ist die Metalleitung 52 der dualen Damaszenstruktur vor den korrodierenden Wirkungen von HF oder anderen Halogenidspezies geschützt. Es hat sich herausgestellt, daß der Prozeß aus Tabelle IV insbesondere dort von Vorteil ist, wo wie in der bevorzugten Ausführungsform die Metalleitung 52 Kupfer enthält. Das Ätzen des Kupfers wird minimiert und die Einheitlichkeit der abdeckenden Metallnitridabscheidung wird dadurch verbessert.
  • Sobald durch das Verfahren von Tabelle IV eine erste dünne Schicht (z.B. 3–10 nm) von Metallnitrid (z.B. WN) ausgebildet wurde, kann die weitere Abscheidung von Barriere- und/oder Adhäsionsmaterialien ohne die zwischengeschaltete Fängerphase fortgesetzt werden. Zwei-Phasen-Zyklen, die Ligandenaustauschreaktionen verwenden, können in effizienterer Weise eine dickere Barriereschicht auf der ersten Schicht bilden. Beispielsweise kann dem WN nach dem Verfahren von Tabelle IV eine weitere Abscheidung von TiN folgen, z.B. mit dem Verfahren von Tabelle II. Die obere TiN-Oberfläche einer WN/TiN-Barriere zeigt eine bessere Kompatibilität mit einigen Prozeßabläufen.
  • Verfahren zur Ausbildung von Metallkeimschichten
  • Nach Ausbildung der Metallnitridbarriereschicht kann eine Keimschicht gewünscht sein, je nach dem Verfahren, welches verwendet wird, um die duale Damaszenstruktur aufzufüllen, und in Abhängigkeit von der Leiffähigkeit der abgeschiedenen Barriereschicht. In der veranschaulichten Ausführungsform wird wünschenswerterweise ein Kupferfüllmittel auf die veranschaulichten Metallnitridbarriereschichten elektroplattiert. Dementsprechend wird vorzugsweise zuerst eine hochgradig leitfähige Keimschicht auf der Barriereschicht ausgebildet.
  • Wie es im Stand der Technik bekannt ist, umfaßt die Keimschicht vorzugsweise eine Metallschicht, bevorzugter Kupfer, und kann mittels irgendeinem aus einer Anzahl von Prozessen abgeschieden werden. Beispielsweise verwenden Verfahren des Standes der Technik PVD oder Sputtern, um eine Kupferkeimschicht zu bilden. In Verbindung mit der hohen Stufenabdeckung, die beim Ausbilden der vorherigen Metallnitridbarriereschicht mittels ALD erhalten wird, können solche Verfahren für viele duale Damaszenstrukturen geeignet sein.
  • Vorzugsweise wird ein CVD-Prozeß verwendet, um die Keimschicht mit größerer Stufenabdeckung abzuscheiden. Metallorganische CVD-(MOCVD-)Techniken werden beispielsweise von Wolf et al., "Process and equipment simulation of copper chemical vapour deposition using Cu(HFAC)VTMS", Microelectronic Engineering, Band 45, Nr. 1, S. 15–27 (Feb. 1999), offenbart.
  • Am meisten bevorzugt wird die Keimschicht auch mittels ALD ausgebildet. Das Volumen, das durch die Ausbildung einer oder mehrerer der Adhäsions-, Barriere- und Keimschichten mit hoher Stufenabdeckung eingespart wird, trägt somit zu einer leitfähigeren Leitung bei, weil ein größeres Volumen für das leitfähigere Füllmaterial zur Verfügung steht und eine gesteigerte Wahrscheinlichkeit besteht, die Kontaktdurchgänge und Gräben vollständig aufzufüllen.
  • Vergleichstabelle V
    Figure 00230001
  • Vergleichstabelle V oben veranschaulicht einen ALD-Prozeß mit reinem Metall ähnlich demjenigen aus Vergleichstabelle I oben. In alternierenden Phasen wird Kupferchlorid zuerst adsorbiert und dann mit TEB reduziert. In vorteilhafter Weise ist Kupferchlorid im Vergleich zu organischen Kupferspezies eine kleinere reaktive Spezies, was eine schnelle und vollständigere Sättigung reaktiver Stellen auf dem Werkstück vereinfacht.
  • Resultierende Graben- und Durchgangsleitungen
  • In 10, auf die nun Bezug genommen wird, ist die duale Damaszenstruktur aus 9A mit einer Auskleidungsschicht 150 mit hoher Stufenabdeckung gezeigt, die gemäß den oben beschriebenen Verfahren aufgebaut wurde. Wie es zuvor erwähnt wurde, kann die Auskleidungsschicht 150 in Abhängigkeit von den Materialien der Durchgangs- und Grabenstruktur und von den chemischen Komponenten der verschiedenen Abscheidungsstufen zusätzlich zu einer Metallnitridbarriereschicht eine erste Metalladhäsionsschicht umfassen. Die Auskleidungsschicht 150 kann beispielsweise eine Doppelschicht aus W/TiN, W/WN, Ti/TiN, Ti/WN und irgendeine aus einer Anzahl weiterer Kombinationen aus Adhäsionsfilm und Barrierefilm umfassen. In dem Beispiel aus Vergleichstabelle IV wird die Barriereschicht direkt auf Metalloberflächen und isolierenden Oberflächen der dualen Damaszenstruktur abgeschieden und kann optional eine WN/TiN-Doppelschicht umfassen. Vorzugsweise wird wenigstens eine der Teilschichten mittels ALD gemäß den oben offenbarten Verfahren ausgebildet.
  • Gemäß den Barriereerfordernissen dualer Damaszierungsverfahren und insbesondere in Verbindung mit schnell diffundierendem Metallfüllmaterial aus Kupfer wird die Metallnitridbarriereschicht der Auskleidungsschicht 150 mit in etwa der minimalen Dicke ausgebildet, die für eine angemessene Ausübung ihrer Barrierefunktion notwendig ist. Dementsprechend hat die Metallnitridschicht, mit der die Struktur aus tiefen Gräben und Durchgängen ausgekleidet ist, vorzugsweise eine Dicke von etwa 20 Å (0,002 μm). Gleichzeitig ermöglicht die hohe Stufenabdeckung, wie sie durch die hier offenbarten Verfahren bereitgestellt wird, die einheitliche Ausbildung der gewünschten Dicke auf allen Oberflächen des Grabens 60 und des Kontaktdurchgangs 62, einschließlich der isolierenden Seitenwände und eines leitfähigen Bodens des Durchgangs. Dementsprechend ist die Metallnitridauskleidung in dem Durchgang 62 an irgendeinem Punkt der Struktur und an irgendeinem Punkt während des Prozesses vorzugsweise nicht mehr als etwa 20 nm (200 Å) dick. Bevorzugter werden die Metallnitride der bevorzugten Ausführungsformen mit einer Dicke von zwischen etwa 2 nm und 10 nm (20 Å und 100 Å) und am meisten bevorzugt zwischen etwa 40 Å und 80 Å (0,04 und 0,008 μm) abgeschieden.
  • Unter den bevorzugten Bedingungen wird pro Zyklus eine Menge an Material abgeschieden, die für einen Bruchteil einer Monoschicht ausreichend ist, was auf die physikalische Größe der chemisorbierten Spezies zurückzuführen ist, die ein Besetzen aller verfügbaren Stellen verhindert, insbesondere wenn die adsorbierte Spezies organische Liganden beinhaltet. In dem Beispiel aus Vergleichstabelle II wächst TiN um etwa 0,035 nm/Zyklus (0,35 Å/Zyklus), so daß vorzugsweise mehr als etwa 50 Zyklen, bevorzugter zwischen etwa 60 und 300 Zyklen und am meisten bevorzugt zwischen etwa 60 und 200 Zyklen ausgeführt werden, um eine zur Verhinderung der Diffusion von Kupfer geeignete TiN-Barriereschicht zu erzeugen.
  • Wie bereits erwähnt, ermöglichen die hier beschriebenen Verfahren selbst bei den Graben- und Durchgangsstrukturen der bevorzugten Ausführungsformen mit hohem Aspektverhältnis eine extrem hohe Stufenabdeckung (definiert als die Dicke der Auskleidung am Boden des Durchgangs im Verhältnis zur Dicke der Auskleidung an den Seitenwänden des Durchgangs). Die Auskleidungsschicht 150 und insbesondere mittels ALD ausgebildeter) Filme) in der Auskleidungsschicht 150 zeigen vorzugsweise eine Stufenabdeckung von mehr als 90%, bevorzugter von mehr als etwa 93% und am meisten bevorzugt von mehr als etwa 97%.
  • Gemäß 11, auf die nun Bezug genommen wird, wird optional eine Keimschicht 155 auf der Auskleidungsschicht 150 ausgebildet. Wie es oben erwähnt wurde, ist eine solche Keimschicht 155 wünschenswert, wenn das Füllmetall mittels Elektroplattierung abgeschieden werden soll und wenn die Auskleidungsschicht 155 eine für eine effektive Elektroplattierung unzureichende Leittähigkeit zeigt. Unter solchen Bedingungen kann die Keimschicht 155 mittels PVD, bevorzugter mittels CVD und am meisten bevorzugt mittels ALD abgeschieden werden. In der veranschaulichten Ausführungsform wird "reines" Kupfer für die Keimschicht verwendet. In anderen Anordnungen kann Wolfram als Keimschicht für die Elektroplattierung verwendet werden. In noch anderen Anordnungen wird keine Keimschicht auf der Auskleidungsschicht 150 verwendet, wie es z.B. in Prozeßabläufen der Fall ist, die einem Füllvorgang ohne Elektroplattierung vorausgehen oder bei denen die Barriereschicht ausreichend leitfähig ist (z.B. Wolframnitrid) und eine direkte Keimbildung von elektroplattiertem Kupfer ermöglicht.
  • Gemäß 12, auf die nun Bezug genommen wird, wird die ausgekleidete Damaszenstruktur dann mit einem hochgradig leitfähigen Metall 160 aufgefüllt. In der veranschaulichten Ausführungsform, bei der ein Keimfilm auf der Auskleidungsschicht 150 ausgebildet wird, umfaßt das Füllmetall 160 vorzugsweise elektroplattiertes Kupfer. In anderen Anordnungen kann Metall, wie Aluminium, unter hohem Druck und/oder bei hohen Temperaturen abgeschieden werden, um einen Rückfluß in tiefe Graben- und Durchgangsstrukturen zu unterstützen, wie es für den Fachmann auf der Hand liegt. Wirkungsvolle Barrieren sind auch wichtig, um ein Durchstechen unter den rauhen Bedingungen während solcher Abscheidungsprozesse zu verhindern.
  • Laut 13, auf die nun Bezug genommen wird, werden die Strukturen dann mittels chemisch-mechanischer Planarisierung (CMP) oder anderer Rückätzprozesse planarisiert, um in den Gräben 60 isolierte Leitungen 170 mit eingebauten Kontakten 180, die sich von dort nach unten erstrecken, zu hinterlassen. Die Diffusion des Füllmaterials 160 wird sowohl während des Füllprozesses als auch während irgendeines nachfolgenden Prozesses bei hoher Temperatur verhindert.
  • Obwohl die vorstehende Erfindung im Hinblick auf bestimmte bevorzugte Ausführungsformen beschrieben wurde, sind für Durchschnittsfachleute auf dem Gebiet weitere Ausführungsformen offensichtlich. Obwohl beispielsweise Prozesse spezifisch mit bestimmten Auskleidungsmaterialien ausgeführt werden, liegt es für einen Fachmann auf der Hand, daß ALD-Verfahren verwendet werden können, um Damaszenstrukturen mit anderen Materialien auszukleiden. Darüber hinaus versteht es sich für den Fachmann, daß es, obwohl die Erfindung im Zusammenhang mit einem bestimmten Prozeßablauf und einer bestimmten Struktur für die Metallisierung von dualen Damaszenstrukturen beschrieben wurde, Variationen solcher Systeme gibt, für die die hier offenbarten Verfahren von Nutzen sind. Außerdem sind für den Fachmann in Kenntnis der hiesigen Offenbarung weitere Kombinationen, Auslassungen, Substitutionen und Modifikationen selbstverständlich. Dementsprechend soll die vorliegende Erfindung nicht durch die Auflistung der bevorzugten Ausführungsformen beschränkt sein, sondern soll vielmehr unter Bezugnahme auf die anhängenden Ansprüche definiert werden.

Claims (16)

  1. Metallisierungsverfahren, welches folgendes umfaßt: Bilden einer Öffnung in einer Isolierschicht auf einem Halbleitersubstrat, um wenigstens einen Teil eines darunterliegenden leitfähigen Elements freizulegen, Auskleiden von Oberflächen der Öffnung mit nicht mehr als etwa einer Monoschicht einer halogenidterminierten Metallspezies in einer ersten Phase und Entfernen von Halogenresten von dem halogenidterminierten Metall durch Reduktion mit Triethylbor in einer zweiten Phase, die sich von der ersten Phase und einer dritten Phase unterscheidet, Adsorbieren von nicht mehr als etwa einer Monoschicht einer reaktiven Spezies auf der Metallspezies in der dritten Phase und Wiederholen der ersten, zweiten und dritten Phase in wenigstens etwa 10 Zyklen.
  2. Verfahren nach Anspruch 1, welches das Wiederholen der ersten, zweiten und dritten Phase umfaßt, bis sich eine Schicht mit einer Dicke zwischen etwa 0,002 bis 0,02 μm (20 Å bis 200 Å) bildet.
  3. Verfahren nach Anspruch 1, welches während des Wiederholens der ersten, zweiten und dritten Phase weiterhin das Zuführen eines Trägergases umfaßt.
  4. Verfahren nach Anspruch 1, wobei die Öffnung ein Schlitz ist, der als Teil eines einzelnen Damaszierungsverfahrens gebildet wird.
  5. Verfahren nach Anspruch 1, wobei die Öffnung ein Durchgangsloch ist, welches sich vom Boden eines Damaszierungsschlitzes, der als Teil eines doppelten Damaszierungsverfahrens gebildet wurde, erstreckt.
  6. Verfahren nach Anspruch 1, wobei die Öffnung ein Loch ist.
  7. Verfahren nach Anspruch 1, welches weiterhin das Bilden einer Metallkeimschicht mittels eines Atomschicht-Abscheidungsverfahrens, welches das Inkontaktbringen des Substrats mit Kupferchlorid und einem Triethylbor-Reduzierungsmittel beinhaltet, umfaßt.
  8. Verfahren nach Anspruch 1, wobei die reaktive Spezies der dritten Phase eine Stickstoff enthaltende Spezies umfaßt.
  9. Verfahren nach Anspruch 8, wobei die Stickstoff enthaltende Spezies Ammoniak umfaßt.
  10. Verfahren nach Anspruch 8, wobei die erste Phase das Einströmen von Wolframhexafluorid umfaßt, die zweite Phase das Einströmen von Triethylbor umfaßt und die dritte Phase das Einströmen von Ammoniak umfaßt.
  11. Verfahren nach Anspruch 1, welches vor der ersten Phase weiterhin das Vorbereiten von Oberflächen der Öffnung auf das Umsetzen mit der Metallspezies umfaßt.
  12. Verfahren nach Anspruch 11, wobei das Vorbereiten das Abscheiden einer Adhäsionsschicht umfaßt.
  13. Verfahren nach Anspruch 12, wobei die Adhäsionsschicht eine Metallschicht umfaßt.
  14. Verfahren nach Anspruch 12, wobei das Vorbereiten weiterhin das Bilden einer Oberflächenterminierung auf der Adhäsionsschicht umfaßt, wobei die Metallspezies eine Ligandenaustauschreaktion mit der Oberflächenterminierung ausführt.
  15. Verfahren nach Anspruch 11, wobei das Vorbereiten das Bilden einer Oberflächenterminierung auf wenigstens einigen Oberflächen der Öffnung umfaßt, wobei die Metallspezies eine Ligandenaustauschreaktion mit der Oberflächenterminierung ausführt.
  16. Verfahren nach Anspruch 15, wobei die Oberflächenterminierung eine NHx-Terminierung zumindest an freigelegten Metalloberflächen der Öffnung umfaßt.
DE60028394T 1999-10-15 2000-08-24 Konforme auskleidungsschichten für damaszenmetallisierungen Expired - Fee Related DE60028394T2 (de)

Applications Claiming Priority (5)

Application Number Priority Date Filing Date Title
US15979999P 1999-10-15 1999-10-15
US159799P 1999-10-15
US17694400P 2000-01-18 2000-01-18
US176944P 2000-01-18
PCT/US2000/023213 WO2001029891A1 (en) 1999-10-15 2000-08-24 Conformal lining layers for damascene metallization

Publications (2)

Publication Number Publication Date
DE60028394D1 DE60028394D1 (de) 2006-07-06
DE60028394T2 true DE60028394T2 (de) 2007-03-29

Family

ID=26856310

Family Applications (1)

Application Number Title Priority Date Filing Date
DE60028394T Expired - Fee Related DE60028394T2 (de) 1999-10-15 2000-08-24 Konforme auskleidungsschichten für damaszenmetallisierungen

Country Status (6)

Country Link
EP (1) EP1221177B1 (de)
JP (1) JP5173098B2 (de)
KR (1) KR100795534B1 (de)
DE (1) DE60028394T2 (de)
TW (1) TW478105B (de)
WO (1) WO2001029891A1 (de)

Families Citing this family (60)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
FI20000099A0 (fi) 2000-01-18 2000-01-18 Asm Microchemistry Ltd Menetelmä metalliohutkalvojen kasvattamiseksi
US6319766B1 (en) 2000-02-22 2001-11-20 Applied Materials, Inc. Method of tantalum nitride deposition by tantalum oxide densification
US6620723B1 (en) 2000-06-27 2003-09-16 Applied Materials, Inc. Formation of boride barrier layers using chemisorption techniques
US6765178B2 (en) 2000-12-29 2004-07-20 Applied Materials, Inc. Chamber for uniform substrate heating
US6825447B2 (en) 2000-12-29 2004-11-30 Applied Materials, Inc. Apparatus and method for uniform substrate heating and contaminate collection
US6951804B2 (en) 2001-02-02 2005-10-04 Applied Materials, Inc. Formation of a tantalum-nitride layer
US6660126B2 (en) 2001-03-02 2003-12-09 Applied Materials, Inc. Lid assembly for a processing system to facilitate sequential deposition techniques
US6878206B2 (en) 2001-07-16 2005-04-12 Applied Materials, Inc. Lid assembly for a processing system to facilitate sequential deposition techniques
US6734020B2 (en) 2001-03-07 2004-05-11 Applied Materials, Inc. Valve control system for atomic layer deposition chamber
US6849545B2 (en) * 2001-06-20 2005-02-01 Applied Materials, Inc. System and method to form a composite film stack utilizing sequential deposition techniques
TW581822B (en) * 2001-07-16 2004-04-01 Applied Materials Inc Formation of composite tungsten films
US9051641B2 (en) 2001-07-25 2015-06-09 Applied Materials, Inc. Cobalt deposition on barrier surfaces
KR101013231B1 (ko) * 2001-09-14 2011-02-10 에이에스엠 인터내셔널 엔.브이. 환원펄스를 이용한 원자층증착에 의한 질화금속증착
US20030049931A1 (en) * 2001-09-19 2003-03-13 Applied Materials, Inc. Formation of refractory metal nitrides using chemisorption techniques
US6607976B2 (en) * 2001-09-25 2003-08-19 Applied Materials, Inc. Copper interconnect barrier layer structure and formation method
TW589684B (en) 2001-10-10 2004-06-01 Applied Materials Inc Method for depositing refractory metal layers employing sequential deposition techniques
WO2003038892A2 (en) * 2001-10-26 2003-05-08 Applied Materials, Inc. Atomic-layer-deposited tantalum nitride and alpha-phase tantalum as barrier layers for copper metallization
US6916398B2 (en) 2001-10-26 2005-07-12 Applied Materials, Inc. Gas delivery apparatus and method for atomic layer deposition
US7780785B2 (en) 2001-10-26 2010-08-24 Applied Materials, Inc. Gas delivery apparatus for atomic layer deposition
US6821891B2 (en) 2001-11-16 2004-11-23 Applied Materials, Inc. Atomic layer deposition of copper using a reducing gas and non-fluorinated copper precursors
US7081271B2 (en) * 2001-12-07 2006-07-25 Applied Materials, Inc. Cyclical deposition of refractory metal silicon nitride
US6729824B2 (en) 2001-12-14 2004-05-04 Applied Materials, Inc. Dual robot processing system
US6809026B2 (en) 2001-12-21 2004-10-26 Applied Materials, Inc. Selective deposition of a barrier layer on a metal film
US6939801B2 (en) 2001-12-21 2005-09-06 Applied Materials, Inc. Selective deposition of a barrier layer on a dielectric material
KR100805843B1 (ko) * 2001-12-28 2008-02-21 에이에스엠지니텍코리아 주식회사 구리 배선 형성방법, 그에 따라 제조된 반도체 소자 및구리 배선 형성 시스템
US6620670B2 (en) 2002-01-18 2003-09-16 Applied Materials, Inc. Process conditions and precursors for atomic layer deposition (ALD) of AL2O3
US6827978B2 (en) 2002-02-11 2004-12-07 Applied Materials, Inc. Deposition of tungsten films
US6833161B2 (en) 2002-02-26 2004-12-21 Applied Materials, Inc. Cyclical deposition of tungsten nitride for metal oxide gate electrode
US6720027B2 (en) 2002-04-08 2004-04-13 Applied Materials, Inc. Cyclical deposition of a variable content titanium silicon nitride layer
US6932871B2 (en) 2002-04-16 2005-08-23 Applied Materials, Inc. Multi-station deposition apparatus and method
US7186385B2 (en) 2002-07-17 2007-03-06 Applied Materials, Inc. Apparatus for providing gas to a processing chamber
US20040071878A1 (en) * 2002-08-15 2004-04-15 Interuniversitair Microelektronica Centrum (Imec Vzw) Surface preparation using plasma for ALD Films
US6753248B1 (en) 2003-01-27 2004-06-22 Applied Materials, Inc. Post metal barrier/adhesion film
JP4361747B2 (ja) * 2003-03-04 2009-11-11 東京エレクトロン株式会社 薄膜の形成方法
US20040175926A1 (en) * 2003-03-07 2004-09-09 Advanced Micro Devices, Inc. Method for manufacturing a semiconductor component having a barrier-lined opening
GB0330010D0 (en) * 2003-12-24 2004-01-28 Cavendish Kinetics Ltd Method for containing a device and a corresponding device
US7405143B2 (en) 2004-03-25 2008-07-29 Asm International N.V. Method for fabricating a seed layer
US8323754B2 (en) 2004-05-21 2012-12-04 Applied Materials, Inc. Stabilization of high-k dielectric materials
US8119210B2 (en) 2004-05-21 2012-02-21 Applied Materials, Inc. Formation of a silicon oxynitride layer on a high-k dielectric material
US8993055B2 (en) 2005-10-27 2015-03-31 Asm International N.V. Enhanced thin film deposition
TWI329135B (en) 2005-11-04 2010-08-21 Applied Materials Inc Apparatus and process for plasma-enhanced atomic layer deposition
US8268409B2 (en) 2006-10-25 2012-09-18 Asm America, Inc. Plasma-enhanced deposition of metal carbide films
JP5551681B2 (ja) 2008-04-16 2014-07-16 エーエスエム アメリカ インコーポレイテッド アルミニウム炭化水素化合物を使用する金属炭化物膜の原子層堆積
US7666474B2 (en) 2008-05-07 2010-02-23 Asm America, Inc. Plasma-enhanced pulsed deposition of metal carbide films
US20100062149A1 (en) 2008-09-08 2010-03-11 Applied Materials, Inc. Method for tuning a deposition rate during an atomic layer deposition process
US10513772B2 (en) 2009-10-20 2019-12-24 Asm International N.V. Process for passivating dielectric films
US9412602B2 (en) 2013-03-13 2016-08-09 Asm Ip Holding B.V. Deposition of smooth metal nitride films
US8846550B1 (en) 2013-03-14 2014-09-30 Asm Ip Holding B.V. Silane or borane treatment of metal thin films
US8841182B1 (en) 2013-03-14 2014-09-23 Asm Ip Holding B.V. Silane and borane treatments for titanium carbide films
US9394609B2 (en) 2014-02-13 2016-07-19 Asm Ip Holding B.V. Atomic layer deposition of aluminum fluoride thin films
US10643925B2 (en) 2014-04-17 2020-05-05 Asm Ip Holding B.V. Fluorine-containing conductive films
KR102216575B1 (ko) 2014-10-23 2021-02-18 에이에스엠 아이피 홀딩 비.브이. 티타늄 알루미늄 및 탄탈륨 알루미늄 박막들
US9941425B2 (en) 2015-10-16 2018-04-10 Asm Ip Holdings B.V. Photoactive devices and materials
US9786492B2 (en) 2015-11-12 2017-10-10 Asm Ip Holding B.V. Formation of SiOCN thin films
US9786491B2 (en) 2015-11-12 2017-10-10 Asm Ip Holding B.V. Formation of SiOCN thin films
KR102378021B1 (ko) 2016-05-06 2022-03-23 에이에스엠 아이피 홀딩 비.브이. SiOC 박막의 형성
US10847529B2 (en) 2017-04-13 2020-11-24 Asm Ip Holding B.V. Substrate processing method and device manufactured by the same
US10504901B2 (en) 2017-04-26 2019-12-10 Asm Ip Holding B.V. Substrate processing method and device manufactured using the same
CN114875388A (zh) 2017-05-05 2022-08-09 Asm Ip 控股有限公司 用于受控形成含氧薄膜的等离子体增强沉积方法
US10991573B2 (en) 2017-12-04 2021-04-27 Asm Ip Holding B.V. Uniform deposition of SiOC on dielectric and metal surfaces

Family Cites Families (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5916365A (en) * 1996-08-16 1999-06-29 Sherman; Arthur Sequential chemical vapor deposition
US5989623A (en) * 1997-08-19 1999-11-23 Applied Materials, Inc. Dual damascene metallization
TW439151B (en) * 1997-12-31 2001-06-07 Samsung Electronics Co Ltd Method for forming conductive layer using atomic layer deposition process
KR100269328B1 (ko) * 1997-12-31 2000-10-16 윤종용 원자층 증착 공정을 이용하는 도전층 형성방법
US6303523B2 (en) * 1998-02-11 2001-10-16 Applied Materials, Inc. Plasma processes for depositing low dielectric constant films

Also Published As

Publication number Publication date
DE60028394D1 (de) 2006-07-06
KR20030020257A (ko) 2003-03-08
EP1221177B1 (de) 2006-05-31
JP5173098B2 (ja) 2013-03-27
KR100795534B1 (ko) 2008-01-16
EP1221177A1 (de) 2002-07-10
JP2003531474A (ja) 2003-10-21
WO2001029891A1 (en) 2001-04-26
TW478105B (en) 2002-03-01

Similar Documents

Publication Publication Date Title
DE60028394T2 (de) Konforme auskleidungsschichten für damaszenmetallisierungen
DE10393738T5 (de) Abdichtung poröser Strukturen
US7670944B2 (en) Conformal lining layers for damascene metallization
JP5102416B2 (ja) 集積回路中の多孔質絶縁層上に薄膜を形成するための方法、及び集積回路中の多孔質絶縁層上の金属窒化物バリア層の形成方法
DE69833140T2 (de) Abscheidung einer Diffusionsbarriereschicht
US6391785B1 (en) Method for bottomless deposition of barrier layers in integrated circuit metallization schemes
DE69837674T2 (de) Doppeldamaszen-metallisierung
DE60125338T2 (de) Gradierte dünne schichten
DE102009023377B4 (de) Verfahren zur Herstellung eines Mikrostrukturbauelements mit einer Metallisierungsstruktur mit selbstjustiertem Luftspalt
DE102005057075B4 (de) Halbleiterbauelement mit einer Kupferlegierung als Barrierenschicht in einer Kupfermetallisierungsschicht und Verfahren zu dessen Herstellung
DE102005035740A1 (de) Verfahren zur Herstellung einer isolierenden Barrierenschicht für eine Kupfermetallisierungsschicht
DE102006056626A1 (de) Verfahren zum selektiven Herstellen einer leitenden Barrierenschicht durch ALD
DE102005052001B4 (de) Halbleiterbauelement mit einem Kontaktpfropfen auf Kupferbasis und ein Verfahren zur Herstellung desselben
DE102020119184A1 (de) Diffusionssperre für halbleitervorrichtung und verfahren
DE102007020266B3 (de) Halbleiterstruktur mit einem elektrisch leitfähigen Strukturelement und Verfahren zu ihrer Herstellung
DE102005046976B4 (de) Verfahren zur Herstellung einer Wolframverbindungsstruktur mit verbesserter Seitenwandbedeckung der Barrierenschicht
DE102004062472A1 (de) Verfahren zur Herstellung einer Metallverbindungsleitung unter Verwendung einer bei niedriger Temperatur gebildeten Barrierenmetallschicht

Legal Events

Date Code Title Description
8364 No opposition during term of opposition
8339 Ceased/non-payment of the annual fee