DE102009023377B4 - Verfahren zur Herstellung eines Mikrostrukturbauelements mit einer Metallisierungsstruktur mit selbstjustiertem Luftspalt - Google Patents

Verfahren zur Herstellung eines Mikrostrukturbauelements mit einer Metallisierungsstruktur mit selbstjustiertem Luftspalt Download PDF

Info

Publication number
DE102009023377B4
DE102009023377B4 DE102009023377.6A DE102009023377A DE102009023377B4 DE 102009023377 B4 DE102009023377 B4 DE 102009023377B4 DE 102009023377 A DE102009023377 A DE 102009023377A DE 102009023377 B4 DE102009023377 B4 DE 102009023377B4
Authority
DE
Germany
Prior art keywords
layer
forming
dielectric
sacrificial material
metal
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Expired - Fee Related
Application number
DE102009023377.6A
Other languages
English (en)
Other versions
DE102009023377A1 (de
Inventor
Robert Seidel
Thomas Werner
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
GlobalFoundries Dresden Module One LLC and Co KG
GlobalFoundries Inc
Original Assignee
GlobalFoundries Dresden Module One LLC and Co KG
GlobalFoundries Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by GlobalFoundries Dresden Module One LLC and Co KG, GlobalFoundries Inc filed Critical GlobalFoundries Dresden Module One LLC and Co KG
Priority to DE102009023377.6A priority Critical patent/DE102009023377B4/de
Priority to US12/786,019 priority patent/US8048796B2/en
Publication of DE102009023377A1 publication Critical patent/DE102009023377A1/de
Application granted granted Critical
Publication of DE102009023377B4 publication Critical patent/DE102009023377B4/de
Expired - Fee Related legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/5222Capacitive arrangements or effects of, or between wiring layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • H01L21/76804Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics by forming tapered via holes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • H01L21/76807Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics for dual damascene structures
    • H01L21/76808Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics for dual damascene structures involving intermediate temporary filling with material
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/7682Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing the dielectric comprising air gaps
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76829Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers
    • H01L21/76834Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers formation of thin insulating films on the sidewalls or on top of conductors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76837Filling up the space between adjacent conductive structures; Gap-filling properties of dielectrics
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76885By forming conductive members before deposition of protective insulating material, e.g. pillars, studs
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/532Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body characterised by the materials
    • H01L23/5329Insulating materials
    • H01L23/53295Stacked insulating layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76843Barrier, adhesion or liner layers formed in openings in a dielectric
    • H01L21/76849Barrier, adhesion or liner layers formed in openings in a dielectric the layer being positioned on top of the main fill metal
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2221/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof covered by H01L21/00
    • H01L2221/10Applying interconnections to be used for carrying current between separate components within a device
    • H01L2221/1005Formation and after-treatment of dielectrics
    • H01L2221/101Forming openings in dielectrics
    • H01L2221/1015Forming openings in dielectrics for dual damascene structures
    • H01L2221/1031Dual damascene by forming vias in the via-level dielectric prior to deposition of the trench-level dielectric
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2221/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof covered by H01L21/00
    • H01L2221/10Applying interconnections to be used for carrying current between separate components within a device
    • H01L2221/1005Formation and after-treatment of dielectrics
    • H01L2221/101Forming openings in dielectrics
    • H01L2221/1015Forming openings in dielectrics for dual damascene structures
    • H01L2221/1036Dual damascene with different via-level and trench-level dielectrics
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/0001Technical content checked by a classifier
    • H01L2924/0002Not covered by any one of groups H01L24/00, H01L24/00 and H01L2224/00

Abstract

Verfahren mit:
Bilden einer Kontaktdurchführungsöffnung (141a) in einer dielektrischen Schicht (141) einer Metallisierungsschicht (140) eines Halbleiterbauelements (100);
Bilden einer Opfermaterialschicht (143) als leitendes Material auf der dielektrischen Schicht (141) und in der Kontaktdurchführungsöffnung (141a);
Bilden mehrerer Gräben (143a) in der Opfermaterialschicht, wobei einer der mehreren Gräben eine Verbindung zu der Kontaktdurchführungsöffnung (141a) besitzt;
Bilden von Metallgebieten (142) in den mehreren Gräben (143a) und in der Kontaktdurchführungsöffnung;
Entfernen der Opfermaterialschicht (143) zwischen funktionalen Metallgebieten der Metallgebiete (142) unter Anwendung eines selektiven Ätzprozesses (111), der selektiv zu den Metallgebieten (142) und zu der dielektrischen Schicht ist (141);
Beibehalten der Opfermaterialschicht zwischen nicht-funktionalen Metallgebieten der Metallgebiete; und
Abscheiden einer dielektrischen Deckschicht (144), um Luftspalte (144a) zwischen zumindest den funktionalen Metallgebieten zu bilden,
wobei funktionale Metallgebiete solche sind, bei denen eine elektrische Isolation erforderlich ist.

Description

  • Gebiet der vorliegende Erfindung
  • Im Allgemeinen betrifft die vorliegende Erfindung die Herstellung eines Mikrostrukturbauelements, etwa integrierte Schaltungen, insbesondere mit Metallisierungsschichten mit gut leitenden Metallen, etwa Kupfer, und mit dielektrischen Materialien, die Luftspalte aufweisen.
  • Beschreibung des Stands der Technik
  • In modernen integrierten Schaltungen haben die minimalen Strukturgrößen, etwa die Kanallänge von Feldeffekttransistoren, den Bereich deutlich unter 1 μm erreicht, wodurch das Leistungsverhalten dieser Schaltungen im Hinblick auf die Geschwindigkeit und/oder Leistungsaufnahme und/oder Vielfalt der Schaltungsfunktionen verbessert wurde. Wenn die Größe der einzelnen Schaltungselemente deutlich verringert wird, wodurch beispielsweise die Schaltgeschwindigkeit der Transistorelemente erhöht wird, wird jedoch auch die verfügbare Fläche für Verbindungsleitungen verringert, die elektrisch die einzelnen Schaltungselemente miteinander verbinden. Folglich müssen die Abmessungen dieser Verbindungsleitungen und die Abstände zwischen den Metallleitungen verringert werden, um den geringeren Anteil an verfügbarer Fläche und der größeren Anzahl an Schaltungselementen, die pro Einheitsfläche vorgesehen sind, Rechnung zu tragen.
  • In integrierten Schaltungen mit minimalen Abmessungen von ungefähr 0,25 μm und weniger ist ein begrenzender Faktor des Leistungsverhaltens die Signalausbreitungsverzögerungen, die durch die Schaltgeschwindigkeit der Transistorelemente hervorgerufen wird. Da die Kanallänge der Transistorelemente nunmehr 50 nm und weniger erreicht hat, ist die Signalausbreitungsverzögerung nicht mehr durch die Feldeffekttransistoren beschränkt, sondern ist auf Grund der erhöhten Schaltungsdichte durch die Verbindungsleitungen begrenzt, da die Kapazität (C) zwischen den Leitungen erhöht ist und auch der Widerstand (R) der Leitungen auf Grund ihrer geringeren Querschnittsfläche ansteigt. Die parasitären RC-Zeitkonstanten und die kapazitive Kopplung zwischen benachbarten Metallleitungen erfordern daher das Einführen einer neuen Art an Materialien, um die Metallisierungsschicht herzustellen.
  • Üblicherweise werden Metallisierungsschichten, d. h. die Verdrahtungsschichten mit Metallleitungen und Kontaktdurchführungen zum Bereitstellen der elektrischen Verbindung der Schaltungselemente gemäß einem spezifizierten Schaltungsaufbau, mittels eines dielektrischen Schichtstapels hergestellt, der beispielsweise Siliziumdioxid oder Siliziumnitrid mit Aluminium mit einem typischen Metall enthält. Da Aluminium eine ausgeprägte Elektromigration bei höheren Stromdichten aufweist, wie sie in integrierten Schaltungen mit äußerst größenreduzierten Strukturelementen erforderlich sind, wird Aluminium zusehens durch beispielsweise Kupfer ersetzt, das einen deutlich geringeren elektrischen Widerstand besitzt und auch eine höhere Widerstandsfähigkeit gegenüber Elektromigration aufweist. In anspruchsvollen Anwendungen werden zusätzlich zur Verwendung von Kupfer und/oder Kupferlegierungen die gut etablierten und gut bekannten dielektrischen Materialien Siliziumdioxid (ε ungefähr 4,2) und Siliziumnitrid (ε größer 7) zunehmend durch sogenannte dielektrische Materialien mit kleinem ε ersetzt, die eine relative Permittivität von ungefähr 3,0 oder weniger besitzen. Der Übergang von der gut bekannten und gut etablierten Aluminium/Siliziumdioxid-Metallisierungsschicht zu einer kupferbasierten Metallisierungsschicht möglicherweise in Verbindung mit einem dielektrischen Material mit kleinem ε ist jedoch mit einer Reihe von Problemen behaftet, die es zu lösen gilt.
  • Beispielsweise kann Kupfer nicht in relativ großen Mengen in effizienter Weise durch gut etablierte Abscheideverfahren, etwa chemische und physikalische Dampfabscheidung aufgebracht werden. Des weiteren kann Kupfer effizient gut etablierte anisotrope Ätzprozesse strukturiert werden. Daher ist die sogenannte Damaszener- oder Einlegetechnik häufig bei der Herstellung von Metallisierungsschichten eingesetzt, die Kupferleitungen und Kontaktdurchführungen aufweisen. In der Damaszener-Technik wird typischerweise die dielektrische Schicht abgeschieden und anschließen strukturiert, so dass diese Gräben und Kontaktlochöffnungen erhält, die nachfolgend mit Kupfer oder Legierungen davon mittels Plattierungsverfahren, etwa Elektroplattieren oder stromloses Plattieren, gefüllt werden. Da Kupfer effizient in einer Vielzahl von Dielektrika, etwa Siliziumdioxid und in vielen Dielektrika mit kleinem ε diffundiert, ist ggf. die Herstellung einer Diffusionsbarrierenschicht an Grenzflächen zu den benachbarten dielektrischen Materialien erforderlich. Des weiteren muss die Diffusion von Feuchtigkeit und Sauerstoff in das kupferbasierte Metall unterdrückt werden, da Kupfer effizient oxidierte Bereiche bildet, die möglicherweise die Eigenschaften der kupferbasierten Metallleitung im Hinblick auf die Haftung, die Leitfähigkeit und die Widerstandsfähigkeit gegenüber Elektromigration beeinträchtigen.
  • Während des Einfüllens eines leitenden Materials, etwa von Kupfer, in die Gräben und Kontaktlochöffnungen muss ein ausgeprägter Grad an Überfüllung vorgesehen werden, um zuverlässig die jeweiligen Öffnungen von unten nach oben zu füllen, ohne dass Hohlräume oder andere abscheideabhängigen Unregelmäßigkeiten hervorgerufen werden. Nach dem Metallabscheideprozess wird daher überschüssiges Material entfernt und die resultierende Oberflächentopographie wird eingeebnet, wobei beispielsweise elektrochemische Ätztechniken, chemisch-mechanisches Polieren (CMP) und dergleichen angewendet werden. Während eines CMP-Prozesses wird beispielsweise ein hoher Grad an mechanischer Belastung auf die bislang erzeugte Metallisierungsebene ausgeübt, das zu einem gewissen Grade an Strukturschäden führen kann, insbesondere wenn anspruchsvolle dielektrische Materialien mit geringer Permittivität verwendet werden. Wie zuvor erläutert ist, besitzt die kapazitive Kopplung zwischen benachbarten Metallleitungen unter Umständen einen großen Einfluss auf das gesamte Leistungsverhalten des Halbleiterbauelements, insbesondere in Metallisierungsebenen, die im Wesentlichen „kapazitätsabhängig” sind, d. h. in denen eine Vielzahl dicht liegender Metallleitungen entsprechend den Bauteilerfordernissen vorzusehen sind, wodurch möglicherweise eine Signalausbreitungsverzögerung und eine Signalstörung zwischen benachbarten Metallleitungen hervorgerufen wird. Aus diesem Grunde werden sogenannte dielektrische Materialien mit kleinem ε oder ultrakleinem ε eingesetzt, die für eine Dielektrizitätskonstante von 3,0 und deutlich kleiner sorgen, um damit das gesamte elektrische Leistungsverhalten der Metallisierungsebenen zu verbessern. Andererseits ist typischerweise ein reduzierte Permittivität des dielektrischen Materials mit einer geringeren mechanischen Stabilität verknüpft, wodurch aufwendige Strukturierungsschema erforderlich sein können, um nicht in unerwünschter Weise die Zuverlässigkeit des Metallisierungssystems zu beeinträchtigen.
  • Die kontinuierliche Verringerung der Strukturgröße, etwa mit Gatelängen von ungefähr 40 nm und weniger, macht kleinere Dielektrizitätskonstanten der jeweiligen dielektrischen Materialien notwendig, was zunehmend zu Ausbeuteverlusten auf Grund von beispielsweise nicht ausreichender mechanischer Stabilität entsprechender Materialien mit ultrakleinem ε beiträgt. Aus diesem Grunde wird vorgeschlagen „Luftspalte” zumindest in kritischen Bauteilbereichen vorzusehen, da Luft oder ähnliche Gase eine Dielektrizitätskonstante von ungefähr 1,0 besitzen, wodurch für eine reduzierte Gesamtpermittivität gesorgt wird, wobei dennoch die Verwendung weniger kritischer dielektrischer Materialien möglich ist. Durch das Einführen geeignet positionierter Luftspalte kann somit die gesamte Permittivität verringert werden, wobei dennoch die mechanische Stabilität des dielektrischen Materials besser sein kann im Vergleich zu konventionellen Dielektrika mit ultrakleinem ε. Beispielsweise wurde vorgeschlagen, Nano-Löcher in geeignete dielektrische Materialien einzubringen, die zufällig in dem dielektrischen Material verteilt sind, so dass die Dichte des dielektrischen Materials deutlich verringert wird. Das Erzeugen und die Verteilung der jeweiligen Nano-Löcher kann jedoch eine Vielzahl komplexer Prozessschritte zum Erzeugen der Löcher mit einer gewünschten Dichte erforderlich machen, während gleichzeitig die Gesamteigenschaften der dielektrischen Materialien im Hinblick auf die weitere Bearbeitung geändert werden können, beispielsweise in Bezug auf das Einebnen von Oberflächenbereichen, das Abscheiden weiterer Materialien, und dergleichen.
  • In anderen Vorgehensweisen werden aufwendige Lithographieprozesse zusätzlich angewendet, um geeignete Ätzmasken zur Herstellung von Spalten in der Nähe entsprechender Metallleitungen mit einer Position und Größe zu erzeugen, wie sie durch die lithographisch hergestellte Maske definiert sind. In diesem Falle sind jedoch zusätzliche teuere Lithographieschritte erforderlich, wobei auch die Positionierung und die Dimensionierung der jeweiligen Luftspalte auf die Eigenschaften der entsprechenden Lithographieprozesse beschränkt ist. Da typischerweise in kritischen Metallisierungsebenen die lateralen Abmessungen von Metallleitungen und die Abstände zwischen benachbarten Metallleitungen durch kritische Lithographieschritte bestimmt sind, ist eine geeignete und zuverlässige Fertigungssequenz zum Vorsehen von dazwischen liegenden Luftspalten auf der Grundlage der verfügbaren Lithographietechniken nur schwer erreichbar.
  • In anderen konventionellen Vorgehensweisen wird das dielektrische Material der betrachteten Metallisierungsschicht selektiv in Bezug auf die Metallleitungen bis hinab zu einer spezifizierten Tiefe geätzt, wodurch komplexe Lithographieschritte zum Positionieren der Luftspalte zwischen den Metallleitungen vermieden werden. Folglich wird eine selbstjustierte Technik erreicht, indem die Ätzselektivität zwischen den Metallleitungen und dem dielektrischem Material mit kleinem ε ausgenutzt wird. In anderen Fällen wird zumindest ein Teil des dielektrische Materials hergestellt, indem eine geeignete Materialzusammensetzung verwendet wird, die ein effizientes Entfernen etwa auf der Grundlage gut etablierter Ätzrezepte, und dergleichen ermöglicht. In diesem Falle werden die Metallleitungen in dem dielektrischen Opfermaterial gebildet, das dann effizient entfernt wird, um entsprechende Vertiefungen zu erhalten, die nachfolgend durch Abscheiden eines dielektrischen Materials verschlossen werden. Somit bieten die zuletzt genannten Vorgehensweisen selbstjustierte Prozessstrategien, um zumindest einen Teil des dielektrischen Materials bis hinab zu einer spezifizierten Tiefe auf der Grundlage von Ätztechniken zu entfernen, ohne dass kritische Lithographieprozesse erforderlich sind. Obwohl diese Vorgehensweisen sehr vielversprechend sind und zu einer kosteneffizienten Fertigungssequenz führen, sind dennoch signifikante Nachteile mit der Strukturierung der Kontaktlöcher und der Gräben in dem Schichtstapel verknüpft, der das dielektrische Material mit kleinem ε und das Opfermaterial enthält, wobei insbesondere Bauteilgenerationen mit Transistoren mit kritischen Abmessungen von ungefähr 40 nm und weniger betroffen sind.
  • Aus der US 2008/0 073 748 A1 ist ein Verfahren bekannt, bei dem eine Kontaktdurchführungsöffnung in einer Metallisierungsschicht eines Halbleiterbauelements gebildet wird, eine Opfermaterialschicht anschliessend mit mehreren metallisch gefüllten Gräben darin gebildet wird, und nach Entfernen der Opfermaterialschicht eine dielektrische Deckschicht zur Bildung von Luftspalten abgeschieden wird.
  • Aus der US 2004/0 232 552 A1 ist ein Dual-Damascene Luftspaltverfahren unter Verwendung einer Opfermaterialschicht bekannt.
  • Aus der US 7 026 235 B1 ist ein Dual-Damascene Verfahren unter Bildung von elektrisch isolierten Metallstrukturen bekannt.
  • Aus der DE 10 2008 044 984 A1 ist ein Halbleiterbauelement mit Verspannungsrelaxationsspalte bekannt, um die Chipgehäusewechselwirkungsstabilität zu verbessern.
  • Angesichts der zuvor beschriebenen Situation betrifft die vorliegende Erfindung Techniken und Halbleiterbauelemente, in denen Luftspalte in einer selbstjustierten Weise bereitgestellt werden, wobei eines oder mehrere zuvor erkannten Probleme vermieden oder zumindest in der Auswirkung reduziert wird.
  • Überblick über die Erfindung
  • Erfindungsgemäß wird ein Verfahren mit den Merkmalen von Anspruch 1 bereitgestellt.
  • Im Allgemeinen betrifft die vorliegende Erfindung ein Verfahren zur Herstellung eines Halbleiterbauelements, in dem Luftspalte zwischen Metallgebiete auf selbstjustierte Weise auf der Grundlage eines Opferfüllmaterials angeordnet werden, etwa in einem dielektrischen Material oder einem leitenden Material, wobei ausgeprägte Vorteile im Hinblick auf die Strukturierung der Kontaktlochöffnung und Metallgebiete erreicht werden, indem eine Kontaktlochöffnung, die eine Verbindung zu einer tiefer liegenden Metallisierungsebene oder einer Kontaktstruktur von Schaltungselementen herstellt, vor dem Strukturieren des Opferfüllmaterials gebildet wird. Folglich kann die Kontaktlochöffnung, die in einem geeigneten dielektrischen Material, etwa einem Material, das für Ätzstoppeigenschaften sorgt, etwa in Verbindung mit Kupfer einschließenden Eigenschaften, hergestellt ist, mit einem hohen Grad an Prozesseffizienz auf Grund der weniger anspruchsvollen Prozessbedingungen während der Strukturierungssequenz hergestellt werden. In ähnlicher Weise können auch die Gräben, die in dem Opferfüllmaterial zu bilden sind, das nach der Herstellung der Kontaktlochöffnung aufgebracht wird, ebenfalls auf der Grundlage besserer Prozessbedingungen im Vergleich zu konventionellen Strategien hergestellt werden, wodurch eine weitere Bauteilgrößenreduzierung möglich ist, ohne dass das Gesamtleistungsverhalten des Metallisierungssystems beeinträchtigt wird, wobei zusätzlich ein hoher Grad an Flexibilität beim Aufbau des Metallisierungssystems erreich wird.
  • Kurze Beschreibung der Zeichnungen
  • Weitere Ausführungsformen der vorliegenden Erfindung sind in den angefügten Patenansprüchen definiert und gehen deutlicher aus der folgenden detaillierten Beschreibung hervor, wenn diese mit Bezug zu den begleitenden Zeichnungen studiert wird, in denen:
  • 1a bis 1j schematisch Querschnittsansichten eines Halbleiterbauelements während diverser Fertigungsphasen zeigen, wobei eine Metallisierungsschicht mit Luftspalte zwischen dichtliegenden Metallleitungen gebildet wird, indem ein Opferfüllmaterial verwendet wird;
  • 1k bis 1m schematisch Querschnittsansichten an Halbleiterbauelementen zeigen, in denen eine Steuerung der Höhe der Deckschicht geeignet so ausgeführt wird, dass die Spalte zwischen benachbarten Metallleitungen geschlossen werden;
  • 1n bis 1p schematisch Querschnittsansichten von Halbleiterbauelementen gemäß einer Strategie zeigen, um die Wahrscheinlichkeit der Fehljustierung von Kontaktdurchführungen zu reduzieren, die so hergestellt werden, dass sie eine Verbindung zu Metallgebieten ergeben, die benachbarte Luftspalte aufweisen;
  • 1q und 1r schematisch Querschnittsansichten des Halbleiterbauelements gemäß Ausführungsformen zeigen, in denen das Opfermaterial in gewissen Bauteilbereichen gemäß anschaulicher Ausführungsformen selektiv bewahrt wird;
  • 1s schematisch eine Querschnittsansicht des Halbleiterbauelements zeigt, in welchem erfindungsgemäß ein oder mehrere Platzhaltermetallgebiete oder funktionslose Metallgebiete so gebildet sind, dass sie die gesamte Prozessgleichmäßigkeit verbessern und/oder die Wärmeabfuhreigenschaften und dergleichen verbessern; und
  • 2a und 2b schematisch Querschnittsansichten eines Halbleiterbauelements während einer Prozesssequenz zeigen, um Kontaktdurchführungen in einem ersten Bereich eines dielektrischen Materials vor dem Abscheiden des Opferfüllmaterials herzustellen.
  • Detaillierte Beschreibung
  • Die vorliegende Offenbarung stellt im Allgemeinen Techniken und Mikrostrukturbauelemente bereit, beispielsweise integrierte Schaltungen, in denen das elektrische Verhalten eines Metallisierungssystems verbessert werden kann, indem Luftspalte zwischen dicht liegenden Metallgebieten auf der Grundlage einer selbstjustierenden Technik vorgesehen werden, indem ein geeignetes Opferfüllmaterial verwendet wird, das zumindest lokal in selektiver Weise in Bezug auf ein dielektrisches Material entfernt wird, in welchem eine Kontaktdurchführung so gebildet wird, dass diese eine Verbindung zu einer tiefer liegenden Metallisierungsebene oder einer Kontaktstruktur des Halbleiterbauelements herstellt. Folglich wird eine sehr effiziente Gesamtprozessstrategie angewendet, da zumindest die Kontaktdurchführungsöffnung und in einigen anschaulichen Ausführungsformen auch die Kontaktdurchführungen separat in einem speziellen dielektrischen Material hergestellt werden, bevor das Opfermaterial abgeschieden wird, das dann ebenfalls auf der Grundlage besserer Prozessbedingungen strukturiert wird. Des weiteren kann ein hoher Grad an Flexibilität erreicht werden, indem die zuvor genannte Prozessstrategie angewendet wird, etwa im Hinblick auf das Ausbilden des dielektrischen Materials zur Herstellung der Kontaktdurchführungen und des Opfermaterials, das in einigen anschaulichen, nicht beanspruchten Ausführungsformen vollständig entfernt wird, während in anderen Fällen geeignete Materialeigenschaften des Opfermaterials vorteilhaft ausgenutzt werden, beispielsweise eine verbesserte Wärmeleitfähigkeit, bessere Stoppeigenschaften während eines CMP-(chemisch-mechanischer-Polier-)Prozesses und dergleichen können durch das Opfermaterial erreicht werden. Z. B. wird in einigen anschaulichen Ausführungsformen die Opferschicht in Form einer Kohlenstoffschicht vorgesehen, etwa als amorpher Kohlenstoff, als diamantartiger Kohlenstoff und dergleichen, wodurch u. a. die zuvor genannten Vorteile ausgenutzt werden. Beispielsweise können unter Anwendung von Kohlenstoffmaterial als das Opfermaterial, das in gewissen Bauteilbereichen beibehalten wird, effiziente Wärmesenkenkonfigurationen erzeugt werden, wodurch bessere Wärmeabfuhreigenschaften erreicht werden, obwohl weite Bereiche des Metallisierungssystems Luftspalte zum Reduzieren der parasitären Kapazität aufweisen. In anderen Fällen wird eine beliebige geeignete Materialzusammensetzung für das dielektrische Material und das Opfermaterial so ausgewählt, dass die gesamte Prozesssequenz, beispielsweise im Hinblick auf die Ätzstoppeigenschaften, den Kupfereinschluss, wenn dies erforderlich ist, und dergleichen, verbessert wird. Beispielsweise werden in einigen anschaulichen Ausführungsformen zumindest die Kontaktdurchführungen in dem dielektrischen Material hergestellt, das zusätzlich für den Kupfereinschluss sorgt, wodurch eine geringere Komplexität während des Abscheidens eines geeigneten Metalls erreich wird, da eine Abscheidung eines leitenden Barrierenmaterials weggelassen werden kann oder im Hinblick auf ein besseres Elektromigrationsverhalten anstatt dem Erfordernis eines zuverlässigen Kupfereinschlusses ausgeführt werden kann. Des weiteren können die Materialien so gewählt werden, dass bessere Bedingungen bei der selektiven Abscheidung eines leitenden Deckmaterials erreicht werden, wodurch ein besseres Elektromigrationsverhalten erreicht wird, ohne dass die Wahrscheinlichkeit des Erzeugens unterätzter Bereiche in den jeweiligen Kontaktdurchführungen zum Ausführen nasschemischer Reinigungsprozesse vor dem Abscheiden des Metalls in den Kontaktdurchführungsöffnungen und Grabenöffnungen erhöht wird.
  • Da die vorliegende Erfindung Techniken und Bauelemente betrifft, die das Positionieren und Dimensionieren von Luftspalte in einer selbstjustierenden Weise und bei Bedarf in einer lokal selektiven Weise ermöglichen, können die hierin offenbarten Prinzipien sehr vorteilhaft auf komplexe Mikrostrukturbauelemente, etwa integrierte Schaltungen mit Transistorelementen der 55 nm-Technologie oder der 22 nm-Technologie und darunter angewendet werden. Die hierin offenbarten Prinzipien können jedoch auch auf weniger kritische Mikrostrukturbauelemente angewendet werden, wodurch die Verwendung besserer dielektrischer Materialien möglich ist, da entsprechende Luftspalte in Bauteilbereichen vorgesehen werden können, die eine geringe Permittivität erfordern, was sogar mit „konventionellen” dielektrischen Materialien bewerkstelligt werden kann. Somit soll die vorliegende Offenbarung nicht auf spezielle kritische Bauteilabmessungen eingeschränkt erachtet werden, sofern derartige Einschränkungen nicht explizit an den angefügten Patentansprüchen oder in den in der Beschreibung angeführten Ausführungsformen angegeben sind.
  • Mit Bezug zu den begleitenden Zeichnungen werden nunmehr weitere anschauliche Ausführungsformen detaillierter beschieben.
  • 1a zeigt schematisch eine Querschnittsansicht eines Mikrostrukturbauelements 100, das eine integrierte Schaltung mit Schaltungselementen, etwa Transistoren, Kondensatoren, Widerständen und dergleichen repräsentiert. In diesem Falle enthält das Bauelement 100 eine Bauteilebene, die in und über einem Substrat 101 ausgebildet ist, in welchem halbleiterbasierte Schaltungselemente vorgesehen sind. Der Einfachheit halber sind derartige Schaltungselemente in 1a nicht gezeigt. Wie zuvor erläutert ist, werden beispielsweise Transistorelemente in der Bauteilebene mit kritischen Abmessungen von 50 nm und weniger, etwa 30 nm und weniger, vorgesehen, wenn komplexe Bauelemente betrachtet werden. In anderen Fällen kann zusätzlich oder alternativ das Substrat 101 geeignete Mikrostrukturbauelemente, etwa mikromechanische Komponenten, optoelektronische Komponenten und dergleichen aufweisen, wobei zumindest einige diese Komponenten eine Verbindungsstruktur erfordern, die in einem Metallisierungssystem 150 gebildet ist. Wie zuvor erläutert ist, ist in komplexen integrierten Schaltungen eine sehr große Anzahl elektrischer Verbindungen erforderlich und somit wird typischerweise eine Vielzahl von Metallisierungsschichten 130, 140 in dem Metallisierungssystem 150 vorgesehen. Beispielsweise repräsentieren die Metallisierungsschichten 130, 140 zwei von mehreren Metallisierungsschichten des Systems 150, wovon jede mit einer darunter liegenden Metallisierungsschicht gemäß dem erforderlichen gesamten Schaltungsaufbau verbunden ist. In anderen Fällen repräsentiert die Schicht 130 des Metallisierungssystems 150 eine Kontaktstruktur, die geeignete Kontaktelemente aufweist, die beispielsweise auf der Grundlage eines metallenthaltenden Materials hergestellt sind, um eine Verbindung zu Halbleiterbauelementen herzustellen. In diesem Falle wird die Metallisierungsschicht 140 als die erste Metallisierungsschicht betrachtet, während die Schicht 130 als eine Schnittstelle betrachtet wird, die das Metallisierungssystem 150 mit den eigentlichen Schaltungselementen, die in und über dem Substrat 101 gebildet sind, verbindet. Die Schicht 120 umfasst ein dielektrisches Material 131, das in Form eines beliebigen geeigneten Materials vorgesehen wird, etwa als dielektrisches Material mit kleinem ε, als dielektrisches Material mit einer Dielektrizitätskonstante von ungefähr 3,0 oder dergleichen. Es sollte beachtet werden, dass in einigen anschaulichen Ausführungsformen (nicht gezeigt) die Schicht 130 einen ähnlichen Aufbau besitzt wie die Metallisierungsschicht 140, wie dies nachfolgend detaillierter beschrieben ist, so dass auch entsprechende Luftspalte in der Schicht 130 bei Bedarf vorgesehen werden. In anderen Fällen repräsentiert das dielektrische Material 131 das dielektrische Material einer Kontaktstruktur, die somit ein geeignetes dielektrisches Zwischenschichtmaterial möglicherweise in Verbindung mit verspannungsinduzierenden dielektrischen Komponenten aufweist, um das gesamte Leistungsverhalten der entsprechenden Transistorelemente zu verbessern, da typischerweise eine speziell gestaltete Verformungskomponente in Transistoren die Ladungsträgerbeweglichkeit gleich verbessern kann. Die Schicht 130 umfasst ferner leitende Gebiete 132, etwa Metallleitungen, Kontaktelemente und dergleichen, wobei dies von dem gesamten Aufbau der Schicht 130 abhängt.
  • In der gezeigten Fertigungsphase umfasst die Metallisierungsschicht 140 ein dielektrisches Material 141, das mit einer Dicke vorgesehen ist, die geeignet ist, um darin eine Kontaktdurchführungsöffnung in einer späteren Fertigungsphase zu erzeugen. Das dielektrische Material 141 umfasst ein beliebiges geeignetes Material, das mit der weiteren Bearbeitung des Bauelements 100 kompatibel ist. In einigen anschaulichen Ausführungsformen ist das dielektrische Material 141 aus einem dielektrischen Material aufgebaut, das für Ätzstoppeigenschaften im Hinblick auf ein Opfermaterial sorgt, das noch in einer späteren Fertigungsphase abzuscheiden ist. Zu diesem Zweck können gut etablierte „konventionelle” dielektrische Materialien in Form von siliziumnitridbasierten Materialien, stickstoffenthaltenden Siliziumkarbidmaterialien und dergleichen eingesetzt werden, die eine hohe Ätzselektivität in Bezug auf eine Vielzahl von Materialien bieten, die typischerweise in einem Fertigungsprozess für komplexe Halbleiterbauelemente angewendet werden. Des weiteren kann das dielektrische Material 141 eine Diffusionsblockierwirkung für eine Vielzahl von Atomsorten, etwa Kupferatome, besitzen, was vorteilhaft ist im Hinblick auf das Einschließen entsprechender Metallsorten in den aktiven Gebieten 132. In anderen Fällen werden die leitenden Gebiete 132, die etwa in Form von kupferenthaltenden Kontaktelementen, Metallleitungen und dergleichen vorgesehen sind, mittels eines geeigneten leitenden Barrierenmaterials (nicht gezeigt) in Verbindung mit einem leitenden Deckmaterial (nicht gezeigt) eingeschlossen werden, wenn dies als geeignet erachtet wird.
  • Das in 1a gezeigte Mikrostrukturbauelement 100 kann auf der Grundlage der folgenden Prozesse hergestellt werden. Nach der Herstellung von Schaltungselementen in und über dem Substrat 101 wird das Metallisierungssystem 150 hergestellt, in dem zunächst beispielsweise die Schicht 130 gebildet wird, etwa in Form einer Kontaktstruktur oder einer Metallisierungsschicht, wobei dies von der gesamten Strategie abhängt. Zu diesem Zweck wird das dielektrische Material 131 durch eine geeignete Abscheidetechnik aufgebracht, um das Material 131 in einer gewünschten Zusammensetzung bereitzustellen. In anderen Fällen wird eine Fertigungsstrategie angewendet, um die Schicht 130 herzustellen, wie dies auch in Bezug auf die Schicht 140 beschrieben ist, wenn eine reduzierte parasitäre Kapazität in der Schicht 130 auf der Grundlage selbstjustierter Luftspalte erforderlich ist. Danach wird das dielektrische Material 131 strukturiert und es werden die leitenden Gebiete 132 auf der Grundlage gut etablierter Prozessstrategien hergestellt. Daraufhin wird das Bauelement 100 der Einwirkung einer Abscheideumgebung 102 ausgesetzt, in der das dielektrische Material 141 beispielsweise durch CVD (chemische Dampfabscheidung), Aufschleudertechniken und dergleichen abhängig von der Art des dielektrischen Materials, das in der Schicht 141 verwendet wird, aufgebracht wird. In einigen anschaulichen Ausführungsformen enthält das dielektrische Material 141 ein Ätzstoppmaterial, wenn dies zum Strukturieren der Schicht 141 in einer nachfolgenden Fertigungsphase notwendig ist. In anderen Fällen werden zwei oder mehrere unterschiedliche Materialzusammensetzungen während des Abscheideprozesses 102 verwendet, um damit in geeigneter Weise die Gesamteigenschaften der Schicht 141, etwa im Hinblick auf Ätzstoppeigenschaften, Kupfereinschluss und dergleichen, einzustellen. Es sollte beachtet werden, dass typischerweise die „Dichte” an Metallstrukturelementen in der dielektrischen Schicht 141 weniger kritisch im Hinblick auf die parasitäre Kapazität ist, da vertikale Kontaktdurchführungen darin herzustellen sind, um eine Verbindung zu einigen der leitenden Gebiete 132 herzustellen. Folglich kann das dielektrische Material 141 mit geeigneten Materialeigenschaften im Hinblick auf die gesamte mechanische Stabilität, die Metalleinschlusseigenschaften, die Ätzstoppeigenschaften und dergleichen vorgesehen werden, anstatt dass eine sehr geringe Gesamtpermittivität erreicht werden muss. Des weiteren wird eine Dicke der Schicht 141 gemäß einer gewünschten Tiefe entsprechender Kontaktdurchführungen, die in der Schicht 141 zu bilden sind, ausgewählt.
  • 1b zeigt schematisch das Halbleiterbauelement 100 eine weiter fortgeschrittenen Fertigungsphase, in der eine Maskenschicht 103, etwa eine Lackmaske, möglicherweise in Verbindung mit einem Hartmaskenmaterial, einer Hartmaskenschicht und dergleichen, über dem dielektrischen Material 141 gebildet ist, um als eine Ätzmaske in einem Ätzprozess 104 zu dienen. Während des Ätzprozesses wird folglich eine Kontaktdurchführungsöffnung 141a in dem dielektrischen Material 141 gemäß den gesamten Entwurfsregeln des Bauelements 100 erzeugt. Beispielsweise beträgt eine laterale Erstreckung oder Breite der Kontaktdurchführungsöffnung 141a ungefähr 100 nm oder deutlich weniger, wenn anspruchsvolle Halbleiterbauelemente betrachtet werden. Die Maskenschicht 103 kann auf der Grundlage gut etablierter Lithographietechniken hergestellt werden, in denen ein Lackmaterial auf der Basis einer Lithographiemaske strukturiert wird, und dann als eine Ätzmaske möglicherweise in Verbindung mit zusätzlichen Materialien, etwa einem ARC-Material (antireflektierende Beschichtung) und dergleichen verwendet wird. Es sollte beachtet werden, dass weniger anspruchsvolle Prozessbedingungen vorhanden sind, da die Kontaktdurchführungsöffnung 141a durch das dielektrische Material 141 hindurchgebildet wird, ohne dass die Strukturierung eines moderat dicken dielektrischen Materials oder Schichtstapels erforderlich ist, wie dies häufig in konventionellen Prozessstrategien der Fall ist. Daher kann die Kontaktdurchführungsöffnung 141a mit einem hohen Grad an Reproduzierbarkeit auf Grund der besseren Prozessbedingungen, etwa im Hinblick auf das Bereitstellen moderat dicker Lackschichten möglicherweise in Verbindung mit komplexen Hartmaskenmaterialien und dergleichen positioniert und dimensioniert werden. Während des Ätzprozesses 104 werden Prozessparameter in geeigneter Weise eingestellt, um damit die gewünschte Form 141a, etwa im Hinblick auf die Seitenwandwinkel und dergleichen, einzustellen. Zu diesem Zweck werden geeignete Prozessparameter, etwa die Steuerung des Einbaus von Polymersorten in der Ätzumgebung 104 und dergleichen, gesteuert, um eine laterale Ätzrate während des Fortschreitens der Ätzfront zu vergrößern oder zu verkleinern, wenn durch das dielektrische Material 141 geätzt wird. Wie zuvor erläutert ist, kann das dielektrische Material 141 ein Ätzstoppmaterial aufweisen, wenn dies als geeignet erachtet wird, während in anderen Fällen Material der leitenden Gebiete 132 als ein geeignetes Ätzstoppmaterial dient.
  • 1c zeigt schematisch das Bauelement 100 in einer weiter fortgeschrittenen Fertigungsphase, d. h. nach dem Entfernen der Maskenschicht 103 (siehe 1b). Ferner unterliegt das Bauelement 100 einem Abscheideprozess 105, um ein Opfermaterial 143 auf dem dielektrischen Material 141 und in der Kontaktdurchführungsöffnung 141a zu bilden. Das Opfermaterial 143 weist eine beliebige geeignete Materialzusammensetzung auf, die in einigen anschaulichen Ausführungsformen eine hohe Ätzselektivität in Bezug auf das Material 141 im Hinblick auf eine Ätzchemie besitzt, die in einer späteren Fertigungsphase zum Strukturieren des Materials 143 angewendet wird. Es sollte beachtet werden, dass eine Zusammensetzung des Materials 143 im Hinblick auf bessere Prozessbedingungen während der weiteren Bearbeitung des Bauelements 100 und im Hinblick auf gewünschte Materialeigenschaften ausgewählt werden kann, wenn ein Teil des Opfermaterials 143 zu bewahren ist, anstatt dass eine sehr geringe dielektrische Konstante erforderlich ist. Wie zuvor erläutert ist, wird das Material 143 bei Bedarf von kritischen Bauteilbereichen entfernt, in denen eine reduzierte parasitäre Kapazität zwischen benachbarten Metallgebieten erforderlich ist, die in dem Material 143 in einer späteren Fertigungsphase hergestellt werden. In einigen anschaulichen Ausführungsformen wird das Material 143 in Form eines dielektrischen Materials vorgesehen, das auf Basis von Aufschleudertechniken aufgebracht wird, während in anderen Fällen eine beliebige andere geeignete Abscheideprozesstechnik angewendet wird, etwa CVD-artige Prozesse und dergleichen. Beispielsweise können viele oxidbasierte Materialien mittels Aufschleudertechniken, CVD, und dergleichen aufgebracht werden, und es können auch Polymermaterialien durch Aufschleudertechniken aufgebracht werden, solange deren Eigenschaften mit der weiteren Bearbeitung kompatibel sind. In einigen anschaulichen, nicht beanspruchten Ausführungsformen wird das Opfermaterial 143 im Wesentlichen vollständig in einer späteren Fertigungsphase abgetragen, so dass dessen Eigenschaften nur im Hinblick auf die weitere Bearbeitung ausgewählt werden, ohne dass spezielle Eigenschaften bezüglich der mechanischen Stabilität, der Wärmeableitung und dergleichen erforderlich sind. In einer anschaulichen, nicht beanspruchten Ausführungsform wird das Material 143 in Form eines Kohlenstoffmaterials bereitgestellt, das während des Abscheideprozesses 105 als ein diamantartiges Material aufgebracht wird, wodurch isolierende Eigenschaften erreicht werden, während in anderen erfindungsgemäßen Fällen ein im Wesentlichen amorphes Kohlenstoffmaterial vorgesehen wird, das eine elektrische Leitfähigkeit aufweist, wobei auch für bessere Wärmeabfuhreigenschaften gesorgt wird. Ferner bietet Kohlenstoffmaterial, selbst wenn es in Form eines isolierenden Materials bereitgestellt wird, bessere Wärmeableiteigenschaften im Vergleich zu einer Vielzahl anderer konventioneller dielektrischer Materialien. Des weiteren kann Kohlenstoffmaterial auf der Grundlage gut etablierter plasmagestützter Veraschungsprozesse für nasschemische Prozesstechniken abgetragen werden, so dass das dielektrische Material 141, beispielsweise in Form eines siliziumnitridbasierten Materials einen hohen Grad an Ätzselektivität während eines entsprechenden Ätzprozesses besitzt. Das Material 143 kann mit einer geeigneten Dicke abgeschieden werden, die im Wesentlichen einer Tiefe von Metallgebieten entspricht, noch in dem Opfermaterial 143 zu bilden sind. Des weiteren wird in der gezeigten Ausführungsform das Material 143 auch in der Kontaktdurchführungsöffnung 141a gebildet, was zu einer gewissen Oberflächentopographie über der Kontaktdurchführungsöffnung 141a führt, was jedoch durch das Abscheiden und durch Behandlung nachfolgender Materialschichten, etwa einem Hartmaskenmaterial, einem Lackmaterial und dergleichen kompensiert werden kann, um damit das Opfermaterial 143 zu strukturieren. In noch anderen Fällen wird das Material 143 beispielsweise durch CMP und dergleichen eingeebnet, wenn die resultierende Oberflächentopographie als ungeeignet erachtet wird.
  • 1d zeigt schematisch das Bauelement 100 in einer weiter fortgeschrittenen Fertigungsphase, in der eine weitere Ätzmaske 106 über dem Opfermaterial 143 möglicherweise in Verbindung mit einem Hartmaskenmaterial 107 gebildet ist, das ebenfalls als ein ARC-Material, als ein Ätzstoppmaterial in einer späteren Fertigungsphase und dergleichen dienen. Z. B. repräsentiert die Ätzmaske 106 ein strukturiertes Lackmaterial, das eine geeignete Dicke aufweist, um das Material 107 zu strukturieren, das dann zum Ätzen durch das Opfermaterial 143 verwendet wird. Wie gezeigt, können durch die Schichten 106, 107 Ungleichmäßigkeiten in der Oberflächentopographie des Opfermaterials 143 kompensiert werden, während in anderen Fällen, wenn die Oberflächentopographie als ungeeignet zum Ausführen eines entsprechenden Lithographieprozesses erachtet wird, eine Einebnung auf die Schicht 143 oder die Schicht 107 vor dem tatsächlichen Herstellen der Ätzmaske 106 angewendet werden kann. Die Maske 106 in Verbindung mit der Schicht 107 kann auf der Grundlage gut etablierter Prozesstechniken hergestellt werden, wobei ebenfalls bessere Bedingungen während des Abscheidens der Materialien 107, 106 geschaffen werden, da das Opfermaterial 143 weniger kritisch ist im Vergleich zu anspruchsvollen dielektrischen Materialien mit kleinem ε. Ferner kann eine erhöhte mechanische Stabilität auf der Grundlage der Materialien 141, 143 erreicht werden, wodurch eine zusätzliche Einebnung auf Basis eines Polierprozesses bei Bedarf möglich ist, ohne dass die Integrität des Metallisierungssystems 150 wesentlich beeinflusst wird.
  • 1e zeigt schematisch das Bauelement 100 während eines Ätzprozesses 108, in welchem Gräben 143a in dem Opfermaterial 143 auf der Grundlage der strukturierten Schicht 107 geschaffen werden. Der Ätzprozess 108 wird auf der Grundlage eines geeigneten Ätzrezepts ausgeführt, um die Gräben 143a zu erhalten, wovon einer mit der Kontaktdurchführungsöffnung 141 verbunden ist, wobei die bessere Ätzselektivität des Materials 141 in Bezug auf das Opfermaterial 143 für bessere Ätzbedingungen sorgt, beispielsweise im Vergleich zu konventionellen Strategien, in denen empfindliche dielektrische Materialien mit kleinem ε zu ätzen sind. Folglich kann die Neigung der Seitenwände 143s der Öffnungen 143a geeignet eingestellt werden, indem geeignete Parameterwerte ausgewählt werden, wie dies zuvor erläutert ist. Ferner kann der Ätzprozess 108 effizient auf der Grundlage des Materials 141 gesteuert werden, das als ein Ätzstoppmaterial dient, während die Ätzfront in die Kontaktdurchführungsöffnung 141a weiterschreitet, wodurch ebenfalls effizient das Material 143 darin entfernt wird. Folglich kann die Kontaktdurchführungsöffnung 141 während des Ätzprozesses 108 effizient „wieder geöffnet” werden, ohne dass die gesamte Größe und Form der Gräben 143a wesentlich beeinträchtigt werden. Da die Öffnung 141a und die Gräben 143a auf der Grundlage weniger anspruchsvoller Prozessbedingungen hergestellt werden, kann insgesamt die Gleichmäßigkeit und die Reproduzierbarkeit beim Strukturieren der Materialien 141 und 143 im Vergleich zu konventionellen Strategien verbessert werden.
  • 1f zeigt schematisch das Bauelement 100 mit einer Metallschicht 142a, beispielsweise mit einer Kupfersorte oder einem anderen gut leitendem Metallmaterial, möglicherweise in Verbindung mit einem leitenden Barrierenmaterial 142b. Beispielsweise wird eine Vielzahl von Materialien in Verbindung mit einem kupferbasierten Material verwendet, um bessere Elektromigrationseigenschaften oder einen Kupfereinschluss zu erreichen. Beispielsweise werden Tantal, Tantalnitrid, Titannitrid, eine Vielzahl von Legierungen, etwa Kobalt, Wolfram, Phosphor und dergleichen für diesen Zweck eingesetzt. In anderen Fällen wird das Material 142a ohne spezielles leitendes Barrierenmaterial vorgesehen, da das dielektrische Material 141 für die gewünschte Kupferdiffusionsblockierwirkung sorgen kann, während eine laterale Diffusion im Wesentlichen beschränkt wird, indem das Opfermaterial 143 abgetragen wird, zumindest in kritischen Bauteilbereichen, wie dies nachfolgend detaillierter beschrieben ist.
  • Das in 1f gezeigte Bauelement 100 kann auf der Grundlage der folgenden Prozesse hergestellt werden. Die Schicht 142b, falls diese vorgesehen ist, wird auf der Grundlage einer geeigneten Abscheidetechnik hergestellt, etwa durch Sputter-Abscheidung, elektrochemische Abscheidung, CVD-artige Abscheidung und dergleichen. In einigen anschaulichen Ausführungsformen wird ein geeignetes Saatmaterial, etwa in Form eines Kupfermaterials, auf der Schicht 142b, falls diese vorgesehen ist, aufgebracht, während in anderen Fällen ein geeignetes Saatmaterial direkt auf freiliegenden Oberflächenbereichen der Gräben 143a und in der Kontaktdurchführungsöffnung 141a abgeschieden wird. Ein entsprechendes Saatmaterial kann als eine Stromverteilungsschicht während eines nachfolgenden Elektroplattierungsprozesses dienen, während in anderen Fällen das entsprechende Saatmaterial als Katalysatormaterial dient, um eine elektrochemische Abscheidung, etwa einen stromlosen Abscheideprozess, in Gang zu setzen. In anderen Fällen wird eine entsprechende Saatschicht weggelassen, wenn das leitende Barrierenmaterial 142b geeignet ist, um darauf direkt das gut leitende Metallmaterial 142a abzuscheiden. Als nächstes wird das leitende Material 142a aufgebracht, beispielsweise elektrochemische Abscheidetechniken, in denen im Wesentlichen ein Füllverhalten von unten nach oben erreicht wird, wodurch die Kontaktdurchführungsöffnung 141 und der entsprechende Graben 143a in einem gemeinsamen Füllprozess gefüllt werden. Es sollte beachtet werden, dass in anderen anschaulichen Ausführungsformen, wie dies nachfolgend beschrieben ist, die Kontaktdurchführungsöffnung separat gefüllt wird und nachfolgend das Opfermaterial 143 hergestellt, um die Komplexität des entsprechenden Füllprozesses zum Füllen der Gräben 143a weiter zu verringern.
  • Es sollte beachtet werden, dass in einigen anschaulichen Ausführungsformen vor dem eigentlichen Abscheiden des Materials 142b oder eines Saatmaterials, typischerweise ein Reinigungsprozess auf der Grundlage nasschemischer Rezepte ausgeführt wird, wobei jedoch im Gegensatz zu konventionellen Strategien, die auf dielektrischen Materialien mit kleinem ε oder dielektrischen Materialien mit ultrakleinem ε beruhen, weniger aggressive Chemikalien angewendet werden, wodurch eine ungewollte Ätzung freiliegender Bereiche der leitenden Gebiete 132 vermieden wird. Wie zuvor erläutert ist, repräsentieren beispielsweise die Gebiete 132 Metallleitungen einer Metallisierungsschicht, die darauf ausgebildet leitende Deckmaterialien aufweist, die einen sehr geringen Ätzwiderstand in Bezug auf eine Vielzahl nasschemischer Reinigungsprozesse besitzen, wie sie erforderlich sein können, wenn Kontaminationsstoffe von komplexen dielektrischen Materialien mit kleinem ε zu entfernen sind. In diesem Falle tritt ein ausgeprägtes Unterätzen auf, wodurch zu einem weniger zuverlässigen Füllprozess beim Herstellen der Materialien 142b und 142a beigetragen wird. Durch Verwenden der Materialien 143, 141 mit geeigneten Materialeigenschaften können somit bessere Reinigungsrezepte eingesetzt werden, um eine unerwünschte Unterätzung empfindlicher leitender Deckmaterialien zu vermeiden.
  • 1g zeigt schematisch das Bauelement 100 während eines Materialabtragungsprozesses 109, der so gestaltet ist, dass überschüssiges Material der Schichten 142a, 142b (siehe 1f) abgetragen wird. Beispielsweise enthält der Materialabtragungsprozess 109 eine chemisch-mechanische Einebnung oder einen Polierprozess, möglicherweise in Verbindung mit anderen Materialabtragungstechniken, etwa Elektropolieren, Elektroätzen und dergleichen, wobei das Material 143 als ein effizientes Material beim Entfernen von Resten der Schicht 107 (siehe 1f) dienen kann. In anderen Fällen wird ein geeignetes Stoppmaterial in die Schicht 107 eingebaut und wird zum Entfernen von überschüssigem Material der Schichten 142a, 142b verwendet, woran sich ein weiterer Abtragungsprozess anschließt, in welchem die Stoppschicht der Schicht 107 selektiv zu dem Material 143 abgetragen wird. Beispielsweise kann das Material 143, wenn es in Form eines Kohlenstoffmaterials vorgesehen wird, ausgezeichnete Stoppeigenschaften in einem CMP-Prozess besitzen, woraus sich eine bessere Gleichmäßigkeit der resultierenden Metallgebiete 142 ergibt, da eine ausgeprägte Materialerosion des Materials 143 in den Zwischenräumen zwischen zwei benachbarten Gebieten 142 deutlich verringert wird. Nach dem Ende des Abtragungsprozesses 109 werden somit die Metallgebiete 142 in Form elektrisch isolierter Gebiete in dem Material 143 bereitgestellt, wobei eines der Metallgebiete 142 mit einer Kontaktdurchführung 142v verbunden ist, die wiederum mit einem der Metallgebiete 132 der Schicht 130 verbunden ist.
  • 1h zeigt schematisch das Bauelement 100, wenn es einem Abscheideprozess 110 unterliegt, in welchem ein leitendes Deckmaterial 142c selektiv auf freiliegenden Oberflächenbereichen der Metallgebiete 142 aufgebracht wird. Wie zuvor erläutert ist, sorgen die Deckmaterialien 142c für ein besseres Elektromigrationsverhalten, ohne dass die Gesamtleitfähigkeit der Metallgebiete 142 unnötig beeinträchtigt wird. Der Abscheideprozess 110 kann einen selektiven elektrochemischen Abscheideprozess enthalten, in welchem die Metallgebiete 142 als ein Katalysatormaterial zum selektiven Bilden der Deckschichten 142c dienen. Auf Grund der besseren Gleichmäßigkeit der Metallisierungsschicht 140 nach dem Abtragungsprozess 109 (siehe 1g) ergeben sich auch bessere Bedingungen während des Abscheideprozesses 110, wodurch ebenfalls für eine bessere Selektivität bei der Materialabscheidung beigetragen wird, was wiederum zu einer besseren Gesamtgleichmäßigkeit der Eigenschaften der Metallisierungsschicht 140 führt. Die Selektivität des Abscheideprozesses 110 kann im Vergleich zu anderen Vorgehensweisen, die auf porösen Materialien mit kleinem ε beruhen, verbessert sein, da eine unerwünschte Abscheidung von leitendem Material auf der Opferschicht 143 deutlich geringer ist im Vergleich zur porösen Struktur komplexer Dielektrika mit kleinem ε. In anderen Fällen wird der Abscheideprozess 110 weggelassen, wenn etwa die Grenzflächeneigenschaften eines dielektrischen Materials, das auf den Metallgebieten 142 in einer späteren Fertigungsphase zu bilden ist, als geeignet erachtet werden, um damit das gewünschte Elektromigrationsverhalten zu erreichen. Beispielsweise bilden viele dielektrische Materialien, etwa Siliziumnitrid, stickstoffenthaltendes Siliziumkarbid, Siliziumkarbid und dergleichen eine starke Grenzfläche mit einem kupferbasierten Material, möglicherweise in Verbindung mit zusätzlichen Oberflächenbehandlungen, da sich das gewünschte Elektromigrationsverhalten ergibt.
  • 1i zeigt schematisch das Bauelement 100 in einem weiter fortgeschrittenen Herstellungsstadium, in welchem ein Ätzprozess 111 ausgeführt wird, um zumindest einen Teil des Opfermaterials 143 (siehe 1h) zu entfernen. Zu diesem Zweck wird ein geeignetes Ätzrezept angewendet, beispielsweise in Form einer plasmaunterstützten Ätzchemie, etwa eine sauerstoffbasierte Chemie zum Veraschen des Materials 143, ohne dass die Metallgebiete 142 unnötig beeinflusst werden. Z. B. kann eine Vielzahl leitender und dielektrischer Materialien effizient auf der Grundlage plasmabasierter Ätzchemie selektiv in Bezug auf Kupfermaterial, leitende Barrierenmaterialien, etwa Tantal, leitende Deckmaterialien und dergleichen geätzt werden. Während des Ätzprozesses 111 wird die Ätzselektivität zwischen dem Material 143 und dem dielektrischen Material 141 genutzt, um zuverlässiger Weise den Prozess 111 zu steuern. Wie zuvor erläutert ist, zeigen siliziumnitridbasierte Materialien oder siliziumkarbidbasierte Materialien eine hohe Selektivität in Bezug auf eine Vielzahl von Ätzchemien. In anderen Fällen umfasst der Ätzprozess 111 ein nasschemisches Ätzrezept, um das Opfermaterial 143 zu entfernen, ohne dass die Metallgebiete 142 und das dielektrische Material 141 wesentlich negativ beeinflusst werden. Z. B. kann APM (eine Ammoniumhydroxid-Wasserstoffperoxid-Mischung) und dergleichen, wie sie häufig als Reinigungsmittel verwendet werden, ebenfalls während des Ätzprozesses 111 angewendet werden. Es sollte beachtet werden, dass auf Grund des Vorsehens zwei unterschiedlicher Materialien für die Metallisierungsschicht 140 ein hoher Grad an Flexibilität bei Auswahl geeigneter Materialien für die Schicht 141 und die Schicht 143 erreicht werden kann, wodurch ebenfalls für einen hohen Grad an Flexibilität beim Auswählen geeigneter Ätzchemien gesorgt ist. Leitende Materialien, etwa Kohlenstoff, Silizium und dergleichen, können beispielsweise effizient eingesetzt werden, um die Metallgebiete 142 herzustellen, und diese Materialien können von entsprechenden Bauteilgebieten effizient abgetragen werden, die funktionale Metallstrukturelemente, etwa die Metallgebiete 142, aufweisen, zwischen denen eine elektrische Isolierung erforderlich ist. Andererseits kann, falls gewünscht, das entsprechende Opfermaterial, selbst wenn es in Form eines elektrisch leitenden Materials vorgesehen wird, in anderen Bauteilgebieten beibehalten werden, in denen Leckströme nicht auftreten oder das gesamte Verhalten des Bauelements 100 nicht negativ beeinflussen.
  • 1j zeigt schematisch das Bauelement 100, wenn es der Einwirkung einer Abscheideumgebung 112 unterliegt, in welcher dielektrisches Deckmaterial 144 über dem Metallgebieten 142 aufgebracht wird, so dass entsprechende Luftspalte 144a zwischen zwei benachbarten Gebieten der Metallgebiete 142 gebildet werden. Zu diesem Zweck wird der Abscheideprozess 112 auf der Grundlage einer geeigneten Abscheidetechnik ausgeführt, durch plasmaunterstützte CVD und dergleichen, wobei in einer moderat frühen Phase der Prozess 112 entsprechende Überhänge zwischen den dicht liegenden Metallgebieten 142 bildet, wodurch die Spalte 144a „verschwommen” werden. Daraufhin wird weiteres Material über den Luftspalten 144a und den Metallgebieten 142 mit einer Dicke 144t abgeschieden, für die weitere Bearbeitung des Bauelements 100 erforderlich ist. In einigen anschaulichen Ausführungsformen besitzt das dielektrische Material 144 geeignete Materialeigenschaften, um als ein Ätzstoppmaterial zu dienen, um darin Metallkontaktdurchführungen einer nachfolgenden Metallisierungsschicht zu bilden, die über der Metallisierungsschicht 140 herzustellen ist. Beispielsweise besitzt das Material 144 ähnliche Eigenschaften, wie dies mit Bezug zu dem dielektrischen Material 141 erläutert ist. In einigen anschaulichen Ausführungsformen besitzt das Material 144 eine kupfereinschließende Wirkung, wodurch eine geeignete Grenzfläche mit einem kupferbasierten Material der Metallgebiete 142 gebildet wird, die für das gewünschte Elektromigrationsverhalten sorgen kann. Bei Bedarf wird eine zusätzliche Oberflächenbehandlung ausgeführt, bevor das Material 144 abgeschieden wird, wenn bessere Eigenschaften im Hinblick auf den Kupfereinschluss und das Elektromigrationsverhalten erforderlich sind.
  • Es sollte beachtet werden, dass in anderen anschaulichen Ausführungsformen die Metallgebiete 142 hergestellt werden können, ohne dass ein leitendes Barrierenmaterial, etwa die Schicht 142b oder die Deckschicht 142c, bereitgestellt werden, wenn die entsprechenden Materialeigenschaften der Deckschicht 144 als geeignet erachtet werden, um das Elektromigrationsverhalten und den Kupfereinschluss zu erreichen, was jedoch weniger kritisch ist auf Grund der Anwesenheit der Luftspalte 144a. D. h., während des Abscheideprozesses 112 werden freiliegende Seitenwandoberflächenbereiche der Metallgebiete 142 durch Material 144s der Schicht 144 abgedeckt, wodurch für die geeigneten Grenzflächeneigenschaft gesorgt wird. Andererseits sorgt an der Unterseite der Metallgebiete 142 das dielektrische Material 141 für einen Kupfereinschluss und damit für die Integrität der Metallgebiete 142 während der weiteren Bearbeitung, und der Betrieb des Bauelements 100 wird gewährleistet, indem die Gebiete 142 auf der Grundlage der Materialien 144 und 141 eingeschlossen werden. Auch in diesem Falle kann vor dem Ausführen des Abscheideprozesses 112 eine Oberflächenbehandlung, beispielsweise durch Herstellen eines Kupfersilizids an den freiliegenden Kupferoberflächen ausgeführt werden, um damit das gesamte Elektromigrationsverhalten weiter zu verbessern.
  • Mit Bezug zu den 1k bis 1m wird eine Prozessstrategie erläutert, in der eine geeignete Dicke 144t so gewählt wird, dass die Luftspalte 144a zuverlässig verschlossen werden.
  • 1k zeigt schematisch das Bauelement 100 gemäß einer Ausführungsform, in der die gewünschte Dicke 144t erreicht wird, wobei auch eine ausreichend reduzierte Dicke 144r über einen Luftspalt 144a während des Abscheideprozesses 112 (siehe 1j) realisiert wird. Zu diesem Zweck wird ein Abstand zwischen benachbarten Metallgebieten 142d angegeben ist, so gewählt, dass ein frühes und zuverlässiges Verschließen der Luftspalte 144a während des Abscheidens des Materials 144 erreicht wird.
  • 1l zeigt schematisch das Bauelement 100 in einer Konfiguration, in der der Abstand 142d größer als in 1k gewählt ist, wodurch die Dicke 144r reduziert wird, die jedoch weiterhin mit den Prozesstoleranzen für die Bearbeitung des Bauelements 100 kompatibel ist.
  • 1m zeigt andererseits das Bauelement 100 in einer Situation, in der der Abstand 141d weiter vergrößert wird, woraus sich ein unzuverlässiges Versiegeln der Luftspalte 144a ergibt. Folglich ist eine entsprechende Konfiguration akzeptabel oder ist nur in Bauteilgebieten akzeptabel, in denen funktionslose Metallstrukturelemente vorzusehen sind. Es sollte beachtet werden, dass ein geeigneter Abstand 142d durch geeignetes Anpassen der jeweiligen Entwurfsregeln eingestellt werden kann, die nicht negativ die gesamte Bearbeitung und das Leistungsverhalten des Halbleiterbauelements 100 beeinflussen. D. h., da die Luftspalte 144a in eine selbstjustierten Weise ohne das Erfordernis für lithographische Techniken hergestellt werden, kann eine Verringerung des Abstands zwischen dicht liegenden Metallgebieten angewendet werden, solang dies mit den Strukturierungseigenschaften für die Herstellung von Öffnungen in dem Opfermaterial verträglich ist. Auf der Grundlage vorgegebener Abscheideparameter und Materialeigenschaften kann somit ein geeigneter Abstand 142d ausgewählt werden, um einen zuverlässigen Verschluss der Luftspalte 144a zu erreichen.
  • Nach dem Abscheiden der Schicht 144 und möglicherweise nach dem Einebnen der Schicht ist die weitere Bearbeitung fortgesetzt, indem eine Kontaktdurchführungsöffnung der Deckschicht 144 hergestellt wird, wobei die Schicht 144 als ein dielektrisches Material einer nachfolgenden Metallisierungsebene verwendet wird.
  • Mit Bezug zu den 1n bis 1p werden weitere anschauliche Ausführungsformen beschrieben, in denen eine bessere Ausrichtung der Kontaktdurchführungsöffnungen, die in der Deckschicht 144 zu bilden sind, erreicht wird.
  • 1n zeigt schematisch das Bauelement 100 in einer weiter fortgeschrittenen Fertigungsphase, in der eine Kontaktdurchführungsöffnung 161a einer weiteren Metallisierungsebene 160 in dem Material 164 gebildet ist. In diesem Falle wird auf Grund der besseren Prozessbedingungen zum Strukturieren des Materials 144, wie dies zuvor mit Bezug zu der Schicht 141 beschrieben ist, eine präzise Ausrichtung erreicht, um in geeigneter Weise die Öffnung 161a zu positionieren. Somit kann eine ausgeprägte Fehljustierung von Kontaktdurchführungsöffnungen, wie dies für eine Öffnung 161c gezeigt ist, wesentlich reduziert werden im Vergleich zu konventionellen Strategien, indem die Schicht 144 in einer separaten Prozesssequenz strukturiert wird, wie dies zuvor erläutert ist.
  • 1o zeigt schematisch das Bauelement 100 gemäß weiterer anschaulicher Ausführungsformen, in dem die Wahrscheinlichkeit des Öffnens der Luftspalte 144a auf Grund einer ausgeprägten Fehljustierung der Kontaktdurchführungsöffnung 161a weiter verringert wird, indem die Kontaktdurchführungsöffnung 161a mit einer ausgeprägten Verjüngung bereitgestellt wird. D. h., Seitenoberflächenbereiche 161s der Öffnung 161a werden so hergestellt, dass eine deutlich kleinere Abmessung oder Breite der Öffnung 161a an der Unterseite 161b im Vergleich zu der Breite an der Oberseite 161t erhalten wird. Selbst wenn ein gewisses Maß an Fehljustierung auftritt, führt die Verjüngung folglich zu einer deutlich geringeren Wahrscheinlichkeit, dass eine Verbindung zu dem Luftspalt 144a erhalten wird, wodurch die gesamte Zuverlässigkeit und Reproduzierbarkeit der Metallisierungsschicht 160 weiter verbessert wird. Es sollte beachtet werden, dass ein entsprechender Grad an Verjüngung erreicht werden kann, indem geeignete Prozessparameter ausgewählt werden, wie dies beispielsweise zuvor mit Bezug zu der Kontaktdurchführungsöffnung 141a (siehe 1b) beschrieben ist.
  • 1p zeigt schematisch das Bauelement 100, in der die Kontaktdurchführungsöffnung 161a mit einem moderaten Grad an Verjüngung und mit einer besseren Überlagerungsgenauigkeit vorgesehen wird, wodurch die Wahrscheinlichkeit des Öffnens der Luftspalte 144a weiter verringert wird.
  • Folglich kann die weitere Bearbeitung fortgesetzt werden, indem ein Opfermaterial abgeschieden und dieses dann strukturiert wird, wie dies auch zuvor mit Bezug zu der Metallisierungsschicht 140 beschrieben ist.
  • Mit Bezug zu den 1q und 1r werden nun die erfindungsgemäßen Ausführungsformen beschrieben, in denen das Opfermaterial selektiv von speziellen Bauteilbereichen abgetragen wird, während das Opfermaterial in anderen Bauteilbereichen bewahrt wird.
  • 1q zeigt schematisch das Bauelement 100 mit einer Ätzmaske 115, das einen Bauteilbereich 175 abdeckt, der mehrere Metallgebiete 142 enthält, während ein Bauteilbereich 170 freigelegt ist, der Metallgebiete 142 enthält, in denen ein Luftspalt erforderlich ist. Das Bauelement 100 ist ferner der Einwirkung der Ätzumgebung 111 ausgesetzt, um den freiliegenden Bereich des Opfermaterials 143 selektiv in Bezug auf die freiliegenden Metallgebiete 142 und das dielektrische Material 141 zu entfernen, wie dies auch zuvor mit Bezug zu 1i erläutert ist. Die Ätzmaske 115 wird in Form einer Lackmaske oder in Form eines anderen geeigneten Materials bereitgestellt, was auf der Grundlage nicht kritischer Lithographietechniken bewerkstelligt werden kann.
  • 1r zeigt schematisch das Bauelement 100 nach dem selektiven Entfernen des Materials 143, so dass die Metallgebiete 142 in dem Bauteilbereich 170 als isolierte Metallgebiete bereitgestellt werden, während die Metallgebiete 142 in dem Bauteilbereich 175 in dem verbleibenden Material 143 eingebettet sind. Folglich kann die weitere Bearbeitung fortgesetzt werden, indem das Deckmaterial 144 (siehe 1j) abgeschieden wird, um einen Luftspalt zwischen den Gebieten 142 in dem Bereich 170 zu bilden, während das Material 144 auf dem Opfermaterial 143 aufgebracht wird. Wie zuvor erläutert ist, ist das Beibehalten des Materials 143 in gewissen Bauteilbereichen, etwa dem Bereich 175, in einigen Aspekten vorteilhaft, wenn beispielsweise die gesamten Wärmeableitungseigenschaften der Metallisierungsschicht 140 zu verbessern sind, da das Material 143 eine bessere Leitfähigkeit besitzen kann. Somit wird ein geeignetes Wärmeabfuhrsystem in geeigneter Weise so gestaltet, dass es thermisch mit dem Bereich 175 in Verbindung steht. Folglich können geeignete Wärmeableitungsbereiche in geeigneter Weise über das Metallisierungssystem 150 hinweg angeordnet werden, um damit das gesamte Funktionsverhalten zu verbessern. Beispielsweise wird das Material 143 in Form eines Kohlenstoffmaterials vorgesehen, das an sich eine verbesserte Wärmeleitfähigkeit besitzt. In erfindungsgemäßer Weise repräsentieren die Metallgebiete 142 nicht-funktionale Elemente oder Platzhalterelemente, so dass das Material 143 erfindungsgemäß als ein leitendes Material vorgesehen wird, wodurch die Wärmeabfuhreigenschaften weiter verbessert werden und möglicherweise eine bessere Abschirmwirkung erreicht wird, wenn dies als geeignet erachtet wird.
  • 1s zeigt schematisch das Halbleiterbauelement 100 gemäß weiterer anschaulicher Ausführungsformen, in denen eine bessere Prozessgleichmäßigkeit erreicht wird, indem ein oder mehrere Platzhaltermetallgebiete vorgesehen werden. Wie gezeigt, werden Metallgebiete 142 als funktionale Metallgebiete betrachtet, in denen Luftspalte 144a vorzusehen sind. Ferner sind ein oder mehrere nicht funktionale Metallgebiete 142n vorgesehen, um beispielsweise lateral die funktionalen Metallgebiete 142 einzuschließen, wodurch im Wesentlichen identische Prozessbedingungen zur Herstellung der Metallgebiete 142 und des Deckmaterials 144 geschaffen werden. Folglich werden die Luftspalte 144a mit besserer Gleichmäßigkeit und Zuverlässigkeiten zu den Gebieten 142 und auch zwischen den Platzhaltergebieten 142n und den benachbarten Gebieten 142 erzeugt. Obwohl die Luftspalte 144a zwischen den Gebieten 144n und 142 zum Reduzieren der parasitären Kapazität nicht erforderlich sind, ergeben sich dennoch gleichmäßigere Bedingungen während des Strukturierens und auch während des Betriebs des Bauelements 100, indem die Platzhaltergebiete 142n vorgesehen werden.
  • Mit Bezug zu den 2a bis 2b werden nunmehr weitere anschauliche Ausführungsformen beschrieben, in denen eine Kontaktdurchführung vor dem Abscheiden eines Opfermaterials gebildet wird, um darin Metallleitungen der entsprechenden Metallisierungsebene herzustellen.
  • 2a zeigt schematisch ein Halbleiterbauelement 200 mit einem Substrat 201, einer ersten Metallisierungsschicht oder Kontaktschicht 230 und einer weiteren Metallisierungsschicht 240. Die Schicht 230 enthält ein dielektrisches Material 231 und leitende Gebiete 232. In ähnlicher Weise enthält die Metallisierungsschicht 240 ein dielektrisches Material 241, wobei für die bislang beschriebenen Komponenten die gleichen Kriterien gelten, wie sie zuvor mit Bezug zu dem Bauelement 100 erläutert sind. Des weiteren umfasst das dielektrische Material 241 eine Kontaktdurchführungsöffnung 241a, die in dem dielektrischen Material 241 so gebildet ist, dass sie zu einem der leitenden Gebiete 232 eine Verbindung herstellt. Des weiteren umfasst das Bauelement 200 ein Metallmaterial 245, um die Kontaktdurchführungsöffnung 241a mit einem geeigneten metallenthaltenden Material zu füllen. Beispielsweise enthält das leitende Material 245 ein Barrierenmaterial, etwa eines der leitenden Barrierenmaterialien, wie sie zuvor mit Bezug zu dem Bauelement 100 erläutert sind, in Verbindung mit einem gut leitendem Material, etwa Kupfer und dergleichen. Die Schicht 245 kann auf der Grundlage einer geeigneten Prozesssequenz hergestellt werden, beispielsweise durch Herstellen eines Barrierenmaterials möglicherweise in Verbindung mit einem Saatmaterial, woran sich ein elektro-chemischer Abscheideprozess anschließt. In einigen anschaulichen Ausführungsformen besitzt das dielektrische Material 241 kupfereinschließende Eigenschaften und kann auch eine starke Grenzfläche mit dem Material 245 bilden, wodurch ein ausreichendes Elektromigrationsverhalten erreicht wird, so dass eine entsprechende Barrierenmaterialschicht weggelassen werden kann. Beispielsweise wird das dielektrische Material 241 in Form eines siliziumnitridbasierten Materials, eines stickstoffenthaltenden Siliziumkarbidmaterials und dergleichen bereitgestellt, die die erforderlichen Materialeigenschaften im Hinblick auf den Kupfereinschluss und das Elektromigrationsverhalten besitzen. Unabhängig von der Zusammensetzung des leitenden Materials 245 kann dieses auf der Grundlage weniger kritischer Prozessbedingungen aufgebracht werden, da die Kontaktdurchführungsöffnung 241a eine Öffnung mit einem kleineren Aspektverhältnis repräsentiert, wodurch die gesamte Stufenabdeckung und das Füllverhalten einer Abscheidetechnik verbessert werden. Daraufhin wird die weitere Bearbeitung fortgesetzt, indem überschüssiges Material 245 entfernt wird, beispielsweise auf Grund von CMP und dergleichen, wobei das dielektrische Material 241 als ein effizientes Stoppmaterial dienen kann, wie dies auch zuvor mit Bezug zu dem Bauelement 100 erläutert ist.
  • 2b zeigt schematisch das Bauelement 200 in einer weiter fortgeschrittenen Fertigungsphase, in der ein Opfermaterial 243 über dem dielektrischen Material 241 und in einigen anschaulichen Ausführungsformen über einer Kontaktdurchführung 242v gebildet ist, die während der vorhergehenden Fertigungssequenz hergestellt wurde. Im Hinblick auf das Opfermaterial 243 gelten die gleichen Kriterien, wie sie zuvor mit Bezug zu dem Bauelement 100 erläutert sind. Folglich kann das Material 243 auf der Grundlage besserer Oberflächenbedingungen abgeschieden werden, wodurch die weitere Bearbeitung zum Strukturieren des Materials 243 günstiger verläuft, was grundsätzlich auf der Grundlage ähnlicher Prozesstechniken, wie sie zuvor beschrieben sind, erfolgen kann.
  • Es gilt also: Die vorliegende Erfindung stellt Mikrostrukturbauelemente, etwa Halbleiterbauelemente und Fertigungstechniken bereit, in denen komplexe Metallisierungssysteme auf der Grundlage von Luftspalten hergestellt werden, die auf der Grundlage einer selbstjustierenden Technik unter Anwendung einer Opfermaterialschicht erhalten werden. Während der Prozesssequenz können bessere Prozessbedingungen und damit verbesserte Bauteileigenschaften erreicht, indem eine Kontaktdurchführungsöffnung zuerst hergestellt wird, und nachfolgend das Opfermaterial abgeschieden und strukturiert wird. Die Materialeigenschaften des dielektrischen Materials, das die Kontaktdurchführungsöffnung enthält, können vorteilhaft ausgenutzt werden beim effizienten Strukturieren des Opfermaterials, das in einigen anschaulichen Ausführungsformen in Form eines Kohlenstoffmaterials bereitgestellt wird.

Claims (15)

  1. Verfahren mit: Bilden einer Kontaktdurchführungsöffnung (141a) in einer dielektrischen Schicht (141) einer Metallisierungsschicht (140) eines Halbleiterbauelements (100); Bilden einer Opfermaterialschicht (143) als leitendes Material auf der dielektrischen Schicht (141) und in der Kontaktdurchführungsöffnung (141a); Bilden mehrerer Gräben (143a) in der Opfermaterialschicht, wobei einer der mehreren Gräben eine Verbindung zu der Kontaktdurchführungsöffnung (141a) besitzt; Bilden von Metallgebieten (142) in den mehreren Gräben (143a) und in der Kontaktdurchführungsöffnung; Entfernen der Opfermaterialschicht (143) zwischen funktionalen Metallgebieten der Metallgebiete (142) unter Anwendung eines selektiven Ätzprozesses (111), der selektiv zu den Metallgebieten (142) und zu der dielektrischen Schicht ist (141); Beibehalten der Opfermaterialschicht zwischen nicht-funktionalen Metallgebieten der Metallgebiete; und Abscheiden einer dielektrischen Deckschicht (144), um Luftspalte (144a) zwischen zumindest den funktionalen Metallgebieten zu bilden, wobei funktionale Metallgebiete solche sind, bei denen eine elektrische Isolation erforderlich ist.
  2. Verfahren nach Anspruch 1, wobei Bilden der mehreren Gräben (143a) umfasst: Strukturieren der Opfermaterialschicht (143) außerhalb der Kontaktdurchführungsöffnung (141a) und Entfernen des Opfermaterials aus der Kontaktdurchführungsöffnung unter Anwendung der dielektrischen Schicht (141) als ein Ätzstoppmaterial.
  3. Verfahren nach Anspruch 1, das ferner umfasst: Bilden einer zweiten Kontaktdurchführungsöffnung (161a) in der dielektrischen Deckschicht (144), wobei die zweite Kontaktdurchführungsöffnung (161a) mit einem der Metallgebiete (142) verbunden ist.
  4. Verfahren nach Anspruch 3, wobei Bilden der zweiten Kontaktdurchführungsöffnung umfasst: Bilden geneigter Seitenwandflächen (161b), um eine Breite der zweiten Kontaktdurchführungsöffnung an der Unterseite zu verringern.
  5. Verfahren nach Anspruch 1, wobei Entfernen der Opfermaterialschicht (143) umfasst: Entfernen des Opfermaterials innerhalb einer Chipfläche des Halbleiterbauelements.
  6. Verfahren nach Anspruch 1, wobei Entfernen der Opfermaterialschicht (143) umfasst: Bilden einer Maske (115), um einen ausgewählten Bereich des Halbleiterbauelements zu bedecken, und Ausführen eines Ätzprozesses (111) unter Anwendung der Maske als eine Ätzmaske.
  7. Verfahren nach Anspruch 1, wobei Bilden der mehreren Gräben umfasst: Bilden mindestens eines Grabens (143a) für ein nicht-funktionales Metallgebiet benachbart zu einem Graben eines funktionalen Metallgebiets, um einen Luftspalt zwischen dem nicht funktionalen Metallgebiet und dem funktionalen Metallgebiet zu bilden.
  8. Verfahren nach Anspruch 1, wobei Bilden der dielektrischen Deckschicht (144) Abscheiden eines stickstoffenthaltenden dielektrischen Materials umfasst.
  9. Verfahren nach Anspruch 1, wobei Bilden der Metallgebiete (142) umfasst: Abscheiden eines oder mehrerer metallenthaltender Materialien und Entfernen von überschüssigem Material durch einen Einebnungsprozess (209) unter Anwendung der Opfermaterialschicht (143) als eine Stoppschicht.
  10. Verfahren nach Anspruch 1, wobei Bilden der Metallgebiete (142) umfasst: Bilden einer leitenden Deckschicht (142c) selektiv auf einer oberen Fläche jedes der Metallgebiete (142) vor dem Entfernen des Opfermaterials (143).
  11. Verfahren nach Anspruch 1, wobei Bilden der Metallgebiete umfasst: Bilden einer leitenden Deckschicht (142c) auf freiliegenden Oberflächenbereichen der Metallgebiete (142) nach dem Entfernen des Opfermaterials (143).
  12. Verfahren nach Anspruch 1, wobei die dielektrische Schicht (141) eine Dielektrizitätskonstante von ungefähr 3,0 oder höher besitzen.
  13. Verfahren nach Anspruch 1, wobei das Opfermaterial (143) so gebildet wird, dass es Kohlenstoff aufweist.
  14. Verfahren nach Anspruch 1, das ferner umfasst: Bilden einer zweiten Kontaktdurchführungsöffnung (161a) in der dielektrischen Deckschicht (144), so dass diese mit einem der zwei benachbarten Metallgebiete verbunden ist, und Bilden eines zweiten Opfermaterials über der dielektrischen Deckschicht und der zweiten Kontaktdurchführungsöffnung.
  15. Verfahren nach Anspruch 14, wobei Bilden der zweiten Kontaktdurchführungsöffnung (161a) umfasst: Steuern eines Grades an Verjüngung an der Unterseite der zweiten Kontaktdurchführungsöffnung, um die Wahrscheinlichkeit einer Fehljustierung zu verringern.
DE102009023377.6A 2009-05-29 2009-05-29 Verfahren zur Herstellung eines Mikrostrukturbauelements mit einer Metallisierungsstruktur mit selbstjustiertem Luftspalt Expired - Fee Related DE102009023377B4 (de)

Priority Applications (2)

Application Number Priority Date Filing Date Title
DE102009023377.6A DE102009023377B4 (de) 2009-05-29 2009-05-29 Verfahren zur Herstellung eines Mikrostrukturbauelements mit einer Metallisierungsstruktur mit selbstjustiertem Luftspalt
US12/786,019 US8048796B2 (en) 2009-05-29 2010-05-24 Microstructure device including a metallization structure with self-aligned air gaps formed based on a sacrificial material

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
DE102009023377.6A DE102009023377B4 (de) 2009-05-29 2009-05-29 Verfahren zur Herstellung eines Mikrostrukturbauelements mit einer Metallisierungsstruktur mit selbstjustiertem Luftspalt

Publications (2)

Publication Number Publication Date
DE102009023377A1 DE102009023377A1 (de) 2010-12-16
DE102009023377B4 true DE102009023377B4 (de) 2017-12-28

Family

ID=43069661

Family Applications (1)

Application Number Title Priority Date Filing Date
DE102009023377.6A Expired - Fee Related DE102009023377B4 (de) 2009-05-29 2009-05-29 Verfahren zur Herstellung eines Mikrostrukturbauelements mit einer Metallisierungsstruktur mit selbstjustiertem Luftspalt

Country Status (2)

Country Link
US (1) US8048796B2 (de)
DE (1) DE102009023377B4 (de)

Families Citing this family (24)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP4978501B2 (ja) * 2008-02-14 2012-07-18 日本電気株式会社 熱型赤外線検出器及びその製造方法
KR20120025315A (ko) * 2010-09-07 2012-03-15 삼성전자주식회사 반도체 소자 및 그 제조 방법
CN103137551B (zh) * 2011-12-05 2014-12-03 中芯国际集成电路制造(上海)有限公司 沟槽间形成孔洞的方法
US8652962B2 (en) * 2012-06-19 2014-02-18 Taiwan Semiconductor Manufacturing Co., Ltd. Etch damage and ESL free dual damascene metal interconnect
JP2015060918A (ja) * 2013-09-18 2015-03-30 株式会社東芝 半導体装置
US10269634B2 (en) 2013-11-15 2019-04-23 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device having voids and method of forming same
US9305835B2 (en) 2014-02-26 2016-04-05 International Business Machines Corporation Formation of air-gap spacer in transistor
KR102229206B1 (ko) * 2014-04-07 2021-03-18 삼성전자주식회사 반도체 장치 및 이의 제조 방법
US9583380B2 (en) 2014-07-17 2017-02-28 Globalfoundries Inc. Anisotropic material damage process for etching low-K dielectric materials
US9401305B2 (en) * 2014-11-05 2016-07-26 Sandisk Technologies Llc Air gaps structures for damascene metal patterning
US9443956B2 (en) 2014-12-08 2016-09-13 Globalfoundries Inc. Method for forming air gap structure using carbon-containing spacer
US9887262B2 (en) * 2015-02-23 2018-02-06 Toshiba Memory Corporation Semiconductor device
US9484250B2 (en) 2015-03-10 2016-11-01 International Business Machines Corporation Air gap contact formation for reducing parasitic capacitance
US9768058B2 (en) 2015-08-10 2017-09-19 Globalfoundries Inc. Methods of forming air gaps in metallization layers on integrated circuit products
US9679850B2 (en) * 2015-10-30 2017-06-13 Taiwan Semiconductor Manufacturing Company Ltd. Method of fabricating semiconductor structure
US9653348B1 (en) 2015-12-30 2017-05-16 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device and manufacturing method thereof
KR102460075B1 (ko) * 2016-01-27 2022-10-31 삼성전자주식회사 반도체 장치 및 반도체 장치의 제조 방법
US9865539B2 (en) * 2016-03-09 2018-01-09 Taiwan Semiconductor Manufacturing Co., Ltd. Structure and formation method of semiconductor device structure
US10211146B2 (en) 2016-05-12 2019-02-19 Globalfoundries Inc. Air gap over transistor gate and related method
US10157777B2 (en) 2016-05-12 2018-12-18 Globalfoundries Inc. Air gap over transistor gate and related method
US20170365504A1 (en) 2016-06-20 2017-12-21 Globalfoundries Inc. Forming air gap
US10340181B2 (en) 2016-11-17 2019-07-02 Taiwan Semiconductor Manufacturing Co., Ltd. Interconnect structure including air gap
KR20200128441A (ko) * 2018-04-03 2020-11-12 도쿄엘렉트론가부시키가이샤 완전 자기 정렬 방식을 사용하는 서브트랙티브 상호연결부 형성
CN108550564A (zh) * 2018-06-12 2018-09-18 长江存储科技有限责任公司 形成导电互连结构的方法、导电互连结构以及三维存储器

Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20040232552A1 (en) * 2002-12-09 2004-11-25 Advanced Micro Devices, Inc. Air gap dual damascene process and structure
US7026235B1 (en) * 2002-02-07 2006-04-11 Cypress Semiconductor Corporation Dual-damascene process and associated floating metal structures
US20080073748A1 (en) * 2006-09-21 2008-03-27 Bielefeld Jeffery D Dielectric spacers for metal interconnects and method to form the same
DE102008044984A1 (de) * 2008-08-29 2010-07-15 Advanced Micro Devices, Inc., Sunnyvale Halbleiterbauelement mit Verspannungsrelaxationsspalte zur Verbesserung der Chipgehäusewechselwirkungsstabilität

Family Cites Families (11)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6376330B1 (en) 1996-06-05 2002-04-23 Advanced Micro Devices, Inc. Dielectric having an air gap formed between closely spaced interconnect lines
US5869379A (en) 1997-12-08 1999-02-09 Advanced Micro Devices, Inc. Method of forming air gap spacer for high performance MOSFETS'
US5953625A (en) 1997-12-15 1999-09-14 Advanced Micro Devices, Inc. Air voids underneath metal lines to reduce parasitic capacitance
US5949143A (en) 1998-01-22 1999-09-07 Advanced Micro Devices, Inc. Semiconductor interconnect structure with air gap for reducing intralayer capacitance in metal layers in damascene metalization process
US6160316A (en) 1998-03-04 2000-12-12 Advanced Micro Devices, Inc. Integrated circuit utilizing an air gap to reduce capacitance between adjacent metal linewidths
US6815329B2 (en) * 2000-02-08 2004-11-09 International Business Machines Corporation Multilayer interconnect structure containing air gaps and method for making
US6713835B1 (en) * 2003-05-22 2004-03-30 International Business Machines Corporation Method for manufacturing a multi-level interconnect structure
WO2007020688A1 (ja) * 2005-08-17 2007-02-22 Fujitsu Limited 半導体装置及びその製造方法
US7534696B2 (en) * 2006-05-08 2009-05-19 International Business Machines Corporation Multilayer interconnect structure containing air gaps and method for making
US7464346B2 (en) * 2006-06-20 2008-12-09 Taiwan Semiconductor Manufacturing Co., Ltd. Method for designing phase-lock loop circuits
KR100829603B1 (ko) * 2006-11-23 2008-05-14 삼성전자주식회사 에어 갭을 갖는 반도체 소자의 제조 방법

Patent Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7026235B1 (en) * 2002-02-07 2006-04-11 Cypress Semiconductor Corporation Dual-damascene process and associated floating metal structures
US20040232552A1 (en) * 2002-12-09 2004-11-25 Advanced Micro Devices, Inc. Air gap dual damascene process and structure
US20080073748A1 (en) * 2006-09-21 2008-03-27 Bielefeld Jeffery D Dielectric spacers for metal interconnects and method to form the same
DE102008044984A1 (de) * 2008-08-29 2010-07-15 Advanced Micro Devices, Inc., Sunnyvale Halbleiterbauelement mit Verspannungsrelaxationsspalte zur Verbesserung der Chipgehäusewechselwirkungsstabilität

Also Published As

Publication number Publication date
US8048796B2 (en) 2011-11-01
US20100301489A1 (en) 2010-12-02
DE102009023377A1 (de) 2010-12-16

Similar Documents

Publication Publication Date Title
DE102009023377B4 (de) Verfahren zur Herstellung eines Mikrostrukturbauelements mit einer Metallisierungsstruktur mit selbstjustiertem Luftspalt
DE102008059650B4 (de) Verfahren zur Herstellung einer Mikrostruktur mit einer Metallisierungsstruktur mit selbstjustierten Luftspalten zwischen dichtliegenden Metallleitungen
DE102008063430B4 (de) Verfahren zur Herstellung eines Metallisierungssystem eines Halbleiterbauelements mit zusätzlich verjüngten Übergangskontakten
DE102005052000B3 (de) Halbleiterbauelement mit einer Kontaktstruktur auf der Grundlage von Kupfer und Wolfram
DE102008021568B3 (de) Verfahren zum Reduzieren der Erosion einer Metalldeckschicht während einer Kontaktlochstrukturierung in Halbleiterbauelementen und Halbleiterbauelement mit einem schützenden Material zum Reduzieren der Erosion der Metalldeckschicht
DE102005052052B4 (de) Ätzstoppschicht für Metallisierungsschicht mit verbesserter Haftung, Ätzselektivität und Dichtigkeit und Verfahren zur Herstellung eines dielektrischen Schichtstapels
DE102009023251B4 (de) Verfahren zur Herstellung eines Kontaktelements mit großem Aspektverhältnis und mit einer günstigeren Form in einem Halbleiterbauelement zur Verbesserung der Abscheidung einer Beschichtung
DE102007004860B4 (de) Verfahren zur Herstellung einer Kupfer-basierten Metallisierungsschicht mit einer leitenden Deckschicht durch ein verbessertes Integrationsschema
DE102010002451B4 (de) Verfahren zur Herstellung von Kontaktelementen von Halbleiterbauelementen
DE102007020268B3 (de) Halbleiterbauelement und Verfahren zum Verhindern der Ausbildung von elektrischen Kurzschlüssen aufgrund von Hohlräumen in der Kontaktzwischenschicht
DE102008006962B4 (de) Verfahren zur Herstellung von Halbleiterbauelementen mit einem Kondensator im Metallisierungssystem
DE102005046975A1 (de) Technik zur Herstellung einer kupferbasierten Metallisierungsschicht mit einer leitenden Deckschicht
DE102010002454A1 (de) Metallisierungssystem eines Halbleiterbauelements mit verrundeten Verbindungen, die durch Hartmaskenverrundung hergestellt sind
DE102011002769B4 (de) Halbleiterbauelement und Verfahren zur Herstellung einer Hybridkontaktstruktur mit Kontakten mit kleinem Aspektverhältnis in einem Halbleiterbauelement
DE102008016424A1 (de) Verringern der Strukturierungsschwankung von Gräben in Metallisierungsschichtstapeln mit einem Material mit kleinem ε durch Verringerung der Kontamination der Grabendielektrika
DE102009006798B4 (de) Verfahren zur Herstellung eines Metallisierungssystems eines Halbleiterbauelements unter Anwendung einer Hartmaske zum Definieren der Größe der Kontaktdurchführung
DE102010063780A1 (de) Halbleiterbauelement mit einer Kontaktstruktur mit geringerer parasitärer Kapazität
DE102008006960A1 (de) Selbstjustierte Kontaktstruktur in einem Halbleiterbauelement
DE102006056626A1 (de) Verfahren zum selektiven Herstellen einer leitenden Barrierenschicht durch ALD
DE102009039421B4 (de) Doppelkontaktmetallisierung mit stromloser Plattierung in einem Halbleiterbauelement
DE102008044964B4 (de) Verringerung der Leckströme und des dielektrischen Durchschlags in dielektrischen Materialien von Metallisierungssystemen von Halbleiterbauelementen durch die Herstellung von Aussparungen
DE102010063294A1 (de) Metallisierungssysteme von Halbleiterbauelementen, die eine Kupfer/Silizium-Verbindung als ein Barrierenmaterial aufweisen
DE102007009912B4 (de) Verfahren zur Herstellung einer kupferbasierten Metallisierungsschicht mit einer leitenden Deckschicht durch ein fortschrittliches Integrationsschema
DE102008054068A1 (de) Veringern von Metallhohlräumen in einem metallischen Schichtstapel eines Halbleiterbauelements durch Vorsehen einer dielektrischen Barrierenschicht
DE102009055433B4 (de) Kontaktelemente von Halbleiterbauelementen, die auf der Grundlage einer teilweise aufgebrachten Aktivierungsschicht hergestellt sind, und entsprechende Herstellungsverfahren

Legal Events

Date Code Title Description
OP8 Request for examination as to paragraph 44 patent law
R016 Response to examination communication
R016 Response to examination communication
R016 Response to examination communication
R079 Amendment of ipc main class

Free format text: PREVIOUS MAIN CLASS: H01L0023528000

Ipc: H01L0021768000

R018 Grant decision by examination section/examining division
R020 Patent grant now final
R119 Application deemed withdrawn, or ip right lapsed, due to non-payment of renewal fee