JP5551681B2 - アルミニウム炭化水素化合物を使用する金属炭化物膜の原子層堆積 - Google Patents
アルミニウム炭化水素化合物を使用する金属炭化物膜の原子層堆積 Download PDFInfo
- Publication number
- JP5551681B2 JP5551681B2 JP2011505180A JP2011505180A JP5551681B2 JP 5551681 B2 JP5551681 B2 JP 5551681B2 JP 2011505180 A JP2011505180 A JP 2011505180A JP 2011505180 A JP2011505180 A JP 2011505180A JP 5551681 B2 JP5551681 B2 JP 5551681B2
- Authority
- JP
- Japan
- Prior art keywords
- metal
- metal halide
- tea
- reaction
- metal carbide
- Prior art date
- Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
- Active
Links
- 229910052751 metal Inorganic materials 0.000 title claims description 167
- 239000002184 metal Substances 0.000 title claims description 167
- 229910052782 aluminium Inorganic materials 0.000 title claims description 112
- -1 aluminum hydrocarbon compounds Chemical class 0.000 title claims description 77
- 238000000231 atomic layer deposition Methods 0.000 title claims description 61
- 238000006243 chemical reaction Methods 0.000 claims description 102
- 238000000034 method Methods 0.000 claims description 90
- 238000000151 deposition Methods 0.000 claims description 63
- 239000000758 substrate Substances 0.000 claims description 60
- XAGFODPZIPBFFR-UHFFFAOYSA-N aluminium Chemical compound [Al] XAGFODPZIPBFFR-UHFFFAOYSA-N 0.000 claims description 47
- VOITXYVAKOUIBA-UHFFFAOYSA-N triethylaluminium Chemical compound CC[Al](CC)CC VOITXYVAKOUIBA-UHFFFAOYSA-N 0.000 claims description 36
- 230000008569 process Effects 0.000 claims description 35
- NFFIWVVINABMKP-UHFFFAOYSA-N methylidynetantalum Chemical group [Ta]#C NFFIWVVINABMKP-UHFFFAOYSA-N 0.000 claims description 31
- 229910003468 tantalcarbide Inorganic materials 0.000 claims description 31
- 150000005309 metal halides Chemical class 0.000 claims description 25
- 229910001507 metal halide Inorganic materials 0.000 claims description 24
- 239000006227 byproduct Substances 0.000 claims description 23
- 229910052799 carbon Inorganic materials 0.000 claims description 23
- OKTJSMMVPCPJKN-UHFFFAOYSA-N Carbon Chemical compound [C] OKTJSMMVPCPJKN-UHFFFAOYSA-N 0.000 claims description 22
- 239000010409 thin film Substances 0.000 claims description 16
- 229910052715 tantalum Inorganic materials 0.000 claims description 12
- 239000012071 phase Substances 0.000 claims description 11
- 239000012808 vapor phase Substances 0.000 claims description 10
- 229910052721 tungsten Inorganic materials 0.000 claims description 7
- 229910052719 titanium Inorganic materials 0.000 claims description 4
- 229910052804 chromium Inorganic materials 0.000 claims description 3
- 229910052802 copper Inorganic materials 0.000 claims description 3
- 229910052737 gold Inorganic materials 0.000 claims description 3
- 229910052735 hafnium Inorganic materials 0.000 claims description 3
- 229910052741 iridium Inorganic materials 0.000 claims description 3
- 229910052748 manganese Inorganic materials 0.000 claims description 3
- 150000002739 metals Chemical class 0.000 claims description 3
- 229910052750 molybdenum Inorganic materials 0.000 claims description 3
- 229910052759 nickel Inorganic materials 0.000 claims description 3
- 229910052758 niobium Inorganic materials 0.000 claims description 3
- 229910052762 osmium Inorganic materials 0.000 claims description 3
- 229910052763 palladium Inorganic materials 0.000 claims description 3
- 229910052697 platinum Inorganic materials 0.000 claims description 3
- 229910052702 rhenium Inorganic materials 0.000 claims description 3
- 229910052703 rhodium Inorganic materials 0.000 claims description 3
- 229910052707 ruthenium Inorganic materials 0.000 claims description 3
- 229910052709 silver Inorganic materials 0.000 claims description 3
- 229910052742 iron Inorganic materials 0.000 claims 2
- 229910052720 vanadium Inorganic materials 0.000 claims 2
- 229910052726 zirconium Inorganic materials 0.000 claims 2
- 239000010408 film Substances 0.000 description 125
- 239000010410 layer Substances 0.000 description 88
- 239000000376 reactant Substances 0.000 description 74
- 230000008021 deposition Effects 0.000 description 52
- 238000010926 purge Methods 0.000 description 45
- 239000007789 gas Substances 0.000 description 25
- 239000000463 material Substances 0.000 description 25
- 239000000126 substance Substances 0.000 description 22
- 230000006870 function Effects 0.000 description 20
- 239000004215 Carbon black (E152) Substances 0.000 description 19
- 229930195733 hydrocarbon Natural products 0.000 description 19
- JLTRXTDYQLMHGR-UHFFFAOYSA-N trimethylaluminium Chemical compound C[Al](C)C JLTRXTDYQLMHGR-UHFFFAOYSA-N 0.000 description 19
- 150000002736 metal compounds Chemical class 0.000 description 18
- 239000002243 precursor Substances 0.000 description 17
- 239000002356 single layer Substances 0.000 description 17
- 241000894007 species Species 0.000 description 16
- 230000004888 barrier function Effects 0.000 description 15
- 239000000203 mixture Substances 0.000 description 15
- 229910052739 hydrogen Inorganic materials 0.000 description 13
- 239000001257 hydrogen Substances 0.000 description 12
- 150000001875 compounds Chemical class 0.000 description 11
- 230000003647 oxidation Effects 0.000 description 11
- 238000007254 oxidation reaction Methods 0.000 description 11
- VYPSYNLAJGMNEJ-UHFFFAOYSA-N Silicium dioxide Chemical compound O=[Si]=O VYPSYNLAJGMNEJ-UHFFFAOYSA-N 0.000 description 10
- 238000007667 floating Methods 0.000 description 10
- UFHFLCQGNIYNRP-UHFFFAOYSA-N Hydrogen Chemical compound [H][H] UFHFLCQGNIYNRP-UHFFFAOYSA-N 0.000 description 9
- 238000000137 annealing Methods 0.000 description 9
- TUTOKIOKAWTABR-UHFFFAOYSA-N dimethylalumane Chemical compound C[AlH]C TUTOKIOKAWTABR-UHFFFAOYSA-N 0.000 description 9
- 238000005229 chemical vapour deposition Methods 0.000 description 8
- 238000005240 physical vapour deposition Methods 0.000 description 8
- XKRFYHLGVUSROY-UHFFFAOYSA-N Argon Chemical compound [Ar] XKRFYHLGVUSROY-UHFFFAOYSA-N 0.000 description 6
- 229910004298 SiO 2 Inorganic materials 0.000 description 6
- 239000012159 carrier gas Substances 0.000 description 6
- 238000007599 discharging Methods 0.000 description 6
- 239000007772 electrode material Substances 0.000 description 6
- 229910021420 polycrystalline silicon Inorganic materials 0.000 description 6
- 229920005591 polysilicon Polymers 0.000 description 6
- GUVRBAGPIYLISA-UHFFFAOYSA-N tantalum atom Chemical compound [Ta] GUVRBAGPIYLISA-UHFFFAOYSA-N 0.000 description 6
- 229910052786 argon Inorganic materials 0.000 description 5
- 125000004429 atom Chemical group 0.000 description 5
- 238000005137 deposition process Methods 0.000 description 5
- 239000011261 inert gas Substances 0.000 description 5
- WFKWXMTUELFFGS-UHFFFAOYSA-N tungsten Chemical compound [W] WFKWXMTUELFFGS-UHFFFAOYSA-N 0.000 description 5
- 239000010937 tungsten Substances 0.000 description 5
- 229910018072 Al 2 O 3 Inorganic materials 0.000 description 4
- IJGRMHOSHXDMSA-UHFFFAOYSA-N Atomic nitrogen Chemical compound N#N IJGRMHOSHXDMSA-UHFFFAOYSA-N 0.000 description 4
- QVGXLLKOCUKJST-UHFFFAOYSA-N atomic oxygen Chemical compound [O] QVGXLLKOCUKJST-UHFFFAOYSA-N 0.000 description 4
- 239000003638 chemical reducing agent Substances 0.000 description 4
- 238000010586 diagram Methods 0.000 description 4
- 239000003989 dielectric material Substances 0.000 description 4
- 150000004820 halides Chemical class 0.000 description 4
- 239000003446 ligand Substances 0.000 description 4
- 239000001301 oxygen Substances 0.000 description 4
- 229910052760 oxygen Inorganic materials 0.000 description 4
- 239000004065 semiconductor Substances 0.000 description 4
- 239000000377 silicon dioxide Substances 0.000 description 4
- 229910052723 transition metal Inorganic materials 0.000 description 4
- UONOETXJSWQNOL-UHFFFAOYSA-N tungsten carbide Chemical compound [W+]#[C-] UONOETXJSWQNOL-UHFFFAOYSA-N 0.000 description 4
- PXHVJJICTQNCMI-UHFFFAOYSA-N Nickel Chemical compound [Ni] PXHVJJICTQNCMI-UHFFFAOYSA-N 0.000 description 3
- KDLHZDBZIXYQEI-UHFFFAOYSA-N Palladium Chemical compound [Pd] KDLHZDBZIXYQEI-UHFFFAOYSA-N 0.000 description 3
- XUIMIQQOPSSXEZ-UHFFFAOYSA-N Silicon Chemical compound [Si] XUIMIQQOPSSXEZ-UHFFFAOYSA-N 0.000 description 3
- NRTOMJZYCJJWKI-UHFFFAOYSA-N Titanium nitride Chemical compound [Ti]#N NRTOMJZYCJJWKI-UHFFFAOYSA-N 0.000 description 3
- 230000015572 biosynthetic process Effects 0.000 description 3
- 239000011248 coating agent Substances 0.000 description 3
- 238000000576 coating method Methods 0.000 description 3
- 239000004020 conductor Substances 0.000 description 3
- 239000013078 crystal Substances 0.000 description 3
- 238000009792 diffusion process Methods 0.000 description 3
- 238000010574 gas phase reaction Methods 0.000 description 3
- 229910052734 helium Inorganic materials 0.000 description 3
- 150000002430 hydrocarbons Chemical class 0.000 description 3
- 238000011065 in-situ storage Methods 0.000 description 3
- 238000010348 incorporation Methods 0.000 description 3
- 239000012528 membrane Substances 0.000 description 3
- 229910044991 metal oxide Inorganic materials 0.000 description 3
- 150000004706 metal oxides Chemical class 0.000 description 3
- 150000004767 nitrides Chemical class 0.000 description 3
- 238000000059 patterning Methods 0.000 description 3
- BASFCYQUMIYNBI-UHFFFAOYSA-N platinum Chemical compound [Pt] BASFCYQUMIYNBI-UHFFFAOYSA-N 0.000 description 3
- 238000005086 pumping Methods 0.000 description 3
- 238000009738 saturating Methods 0.000 description 3
- 229910052710 silicon Inorganic materials 0.000 description 3
- 239000010703 silicon Substances 0.000 description 3
- 235000012239 silicon dioxide Nutrition 0.000 description 3
- 239000010936 titanium Substances 0.000 description 3
- 229910052581 Si3N4 Inorganic materials 0.000 description 2
- RTAQQCXQSZGOHL-UHFFFAOYSA-N Titanium Chemical compound [Ti] RTAQQCXQSZGOHL-UHFFFAOYSA-N 0.000 description 2
- 150000001335 aliphatic alkanes Chemical class 0.000 description 2
- 150000001336 alkenes Chemical class 0.000 description 2
- 150000001345 alkine derivatives Chemical class 0.000 description 2
- 229910052454 barium strontium titanate Inorganic materials 0.000 description 2
- 239000011651 chromium Substances 0.000 description 2
- 239000010949 copper Substances 0.000 description 2
- 230000008878 coupling Effects 0.000 description 2
- 238000010168 coupling process Methods 0.000 description 2
- 238000005859 coupling reaction Methods 0.000 description 2
- 230000002950 deficient Effects 0.000 description 2
- 230000009977 dual effect Effects 0.000 description 2
- 238000011066 ex-situ storage Methods 0.000 description 2
- 238000002474 experimental method Methods 0.000 description 2
- 239000010931 gold Substances 0.000 description 2
- 239000001307 helium Substances 0.000 description 2
- SWQJXJOGLNCZEY-UHFFFAOYSA-N helium atom Chemical compound [He] SWQJXJOGLNCZEY-UHFFFAOYSA-N 0.000 description 2
- 150000002500 ions Chemical class 0.000 description 2
- 239000011572 manganese Substances 0.000 description 2
- 238000004519 manufacturing process Methods 0.000 description 2
- 150000001247 metal acetylides Chemical class 0.000 description 2
- 238000012986 modification Methods 0.000 description 2
- 230000004048 modification Effects 0.000 description 2
- 239000002052 molecular layer Substances 0.000 description 2
- 239000010955 niobium Substances 0.000 description 2
- 229910052757 nitrogen Inorganic materials 0.000 description 2
- 238000002161 passivation Methods 0.000 description 2
- 239000010948 rhodium Substances 0.000 description 2
- 238000000926 separation method Methods 0.000 description 2
- HQVNEWCFYHHQES-UHFFFAOYSA-N silicon nitride Chemical compound N12[Si]34N5[Si]62N3[Si]51N64 HQVNEWCFYHHQES-UHFFFAOYSA-N 0.000 description 2
- 229910052814 silicon oxide Inorganic materials 0.000 description 2
- 238000001179 sorption measurement Methods 0.000 description 2
- 238000006557 surface reaction Methods 0.000 description 2
- GETQZCLCWQTVFV-UHFFFAOYSA-N trimethylamine Chemical compound CN(C)C GETQZCLCWQTVFV-UHFFFAOYSA-N 0.000 description 2
- 238000005019 vapor deposition process Methods 0.000 description 2
- 229910017090 AlO 2 Inorganic materials 0.000 description 1
- ZAMOUSCENKQFHK-UHFFFAOYSA-N Chlorine atom Chemical compound [Cl] ZAMOUSCENKQFHK-UHFFFAOYSA-N 0.000 description 1
- 241000579895 Chlorostilbon Species 0.000 description 1
- VYZAMTAEIAYCRO-UHFFFAOYSA-N Chromium Chemical compound [Cr] VYZAMTAEIAYCRO-UHFFFAOYSA-N 0.000 description 1
- RYGMFSIKBFXOCR-UHFFFAOYSA-N Copper Chemical compound [Cu] RYGMFSIKBFXOCR-UHFFFAOYSA-N 0.000 description 1
- 229910004129 HfSiO Inorganic materials 0.000 description 1
- XEEYBQQBJWHFJM-UHFFFAOYSA-N Iron Chemical compound [Fe] XEEYBQQBJWHFJM-UHFFFAOYSA-N 0.000 description 1
- PWHULOQIROXLJO-UHFFFAOYSA-N Manganese Chemical compound [Mn] PWHULOQIROXLJO-UHFFFAOYSA-N 0.000 description 1
- ZOKXTWBITQBERF-UHFFFAOYSA-N Molybdenum Chemical compound [Mo] ZOKXTWBITQBERF-UHFFFAOYSA-N 0.000 description 1
- KJTLSVCANCCWHF-UHFFFAOYSA-N Ruthenium Chemical compound [Ru] KJTLSVCANCCWHF-UHFFFAOYSA-N 0.000 description 1
- BLRPTPMANUNPDV-UHFFFAOYSA-N Silane Chemical compound [SiH4] BLRPTPMANUNPDV-UHFFFAOYSA-N 0.000 description 1
- BQCADISMDOOEFD-UHFFFAOYSA-N Silver Chemical compound [Ag] BQCADISMDOOEFD-UHFFFAOYSA-N 0.000 description 1
- VNSWULZVUKFJHK-UHFFFAOYSA-N [Sr].[Bi] Chemical compound [Sr].[Bi] VNSWULZVUKFJHK-UHFFFAOYSA-N 0.000 description 1
- 238000007792 addition Methods 0.000 description 1
- 239000002099 adlayer Substances 0.000 description 1
- 238000003877 atomic layer epitaxy Methods 0.000 description 1
- 230000000903 blocking effect Effects 0.000 description 1
- 239000003990 capacitor Substances 0.000 description 1
- 150000001721 carbon Chemical class 0.000 description 1
- 238000005255 carburizing Methods 0.000 description 1
- 239000007809 chemical reaction catalyst Substances 0.000 description 1
- 239000003795 chemical substances by application Substances 0.000 description 1
- 239000000460 chlorine Substances 0.000 description 1
- 229910052801 chlorine Inorganic materials 0.000 description 1
- 229910017052 cobalt Inorganic materials 0.000 description 1
- 239000010941 cobalt Substances 0.000 description 1
- GUTLYIVDDKVIGB-UHFFFAOYSA-N cobalt atom Chemical compound [Co] GUTLYIVDDKVIGB-UHFFFAOYSA-N 0.000 description 1
- 230000001143 conditioned effect Effects 0.000 description 1
- 238000005260 corrosion Methods 0.000 description 1
- 230000007797 corrosion Effects 0.000 description 1
- 238000000354 decomposition reaction Methods 0.000 description 1
- 229910052876 emerald Inorganic materials 0.000 description 1
- 239000010976 emerald Substances 0.000 description 1
- 238000000407 epitaxy Methods 0.000 description 1
- 238000005530 etching Methods 0.000 description 1
- 230000002349 favourable effect Effects 0.000 description 1
- PCHJSUWPFVWCPO-UHFFFAOYSA-N gold Chemical compound [Au] PCHJSUWPFVWCPO-UHFFFAOYSA-N 0.000 description 1
- VBJZVLUMGGDVMO-UHFFFAOYSA-N hafnium atom Chemical compound [Hf] VBJZVLUMGGDVMO-UHFFFAOYSA-N 0.000 description 1
- CJNBYAVZURUTKZ-UHFFFAOYSA-N hafnium(iv) oxide Chemical compound O=[Hf]=O CJNBYAVZURUTKZ-UHFFFAOYSA-N 0.000 description 1
- 229910052736 halogen Inorganic materials 0.000 description 1
- 125000005843 halogen group Chemical group 0.000 description 1
- 150000002367 halogens Chemical class 0.000 description 1
- 150000002431 hydrogen Chemical class 0.000 description 1
- 239000012535 impurity Substances 0.000 description 1
- 230000001939 inductive effect Effects 0.000 description 1
- 230000003993 interaction Effects 0.000 description 1
- GKOZUEZYRPOHIO-UHFFFAOYSA-N iridium atom Chemical compound [Ir] GKOZUEZYRPOHIO-UHFFFAOYSA-N 0.000 description 1
- 238000012804 iterative process Methods 0.000 description 1
- 229910052747 lanthanoid Inorganic materials 0.000 description 1
- 150000002602 lanthanoids Chemical class 0.000 description 1
- 238000005259 measurement Methods 0.000 description 1
- 230000008018 melting Effects 0.000 description 1
- 238000002844 melting Methods 0.000 description 1
- 229910001509 metal bromide Inorganic materials 0.000 description 1
- 229910001510 metal chloride Inorganic materials 0.000 description 1
- 229910001092 metal group alloy Inorganic materials 0.000 description 1
- 229910001511 metal iodide Inorganic materials 0.000 description 1
- 238000001465 metallisation Methods 0.000 description 1
- 239000011733 molybdenum Substances 0.000 description 1
- 229910021421 monocrystalline silicon Inorganic materials 0.000 description 1
- GUCVJGMIXFAOAE-UHFFFAOYSA-N niobium atom Chemical compound [Nb] GUCVJGMIXFAOAE-UHFFFAOYSA-N 0.000 description 1
- 150000002831 nitrogen free-radicals Chemical class 0.000 description 1
- 229910000510 noble metal Inorganic materials 0.000 description 1
- SYQBFIAQOQZEGI-UHFFFAOYSA-N osmium atom Chemical compound [Os] SYQBFIAQOQZEGI-UHFFFAOYSA-N 0.000 description 1
- 230000001590 oxidative effect Effects 0.000 description 1
- TWNQGVIAIRXVLR-UHFFFAOYSA-N oxo(oxoalumanyloxy)alumane Chemical compound O=[Al]O[Al]=O TWNQGVIAIRXVLR-UHFFFAOYSA-N 0.000 description 1
- 125000004430 oxygen atom Chemical group O* 0.000 description 1
- BPUBBGLMJRNUCC-UHFFFAOYSA-N oxygen(2-);tantalum(5+) Chemical compound [O-2].[O-2].[O-2].[O-2].[O-2].[Ta+5].[Ta+5] BPUBBGLMJRNUCC-UHFFFAOYSA-N 0.000 description 1
- RVTZCBVAJQQJTK-UHFFFAOYSA-N oxygen(2-);zirconium(4+) Chemical compound [O-2].[O-2].[Zr+4] RVTZCBVAJQQJTK-UHFFFAOYSA-N 0.000 description 1
- 230000000737 periodic effect Effects 0.000 description 1
- 150000003254 radicals Chemical class 0.000 description 1
- WUAPFZMCVAUBPE-UHFFFAOYSA-N rhenium atom Chemical compound [Re] WUAPFZMCVAUBPE-UHFFFAOYSA-N 0.000 description 1
- MHOVAHRLVXNVSD-UHFFFAOYSA-N rhodium atom Chemical compound [Rh] MHOVAHRLVXNVSD-UHFFFAOYSA-N 0.000 description 1
- 229920006395 saturated elastomer Polymers 0.000 description 1
- VSZWPYCFIRKVQL-UHFFFAOYSA-N selanylidenegallium;selenium Chemical compound [Se].[Se]=[Ga].[Se]=[Ga] VSZWPYCFIRKVQL-UHFFFAOYSA-N 0.000 description 1
- 229910000077 silane Inorganic materials 0.000 description 1
- 239000004332 silver Substances 0.000 description 1
- 239000007787 solid Substances 0.000 description 1
- 125000006850 spacer group Chemical group 0.000 description 1
- 238000004544 sputter deposition Methods 0.000 description 1
- MZLGASXMSKOWSE-UHFFFAOYSA-N tantalum nitride Chemical compound [Ta]#N MZLGASXMSKOWSE-UHFFFAOYSA-N 0.000 description 1
- 238000012360 testing method Methods 0.000 description 1
- 238000012546 transfer Methods 0.000 description 1
- 150000003624 transition metals Chemical class 0.000 description 1
- NXHILIPIEUBEPD-UHFFFAOYSA-H tungsten hexafluoride Chemical compound F[W](F)(F)(F)(F)F NXHILIPIEUBEPD-UHFFFAOYSA-H 0.000 description 1
- LEONUFNNVUYDNQ-UHFFFAOYSA-N vanadium atom Chemical compound [V] LEONUFNNVUYDNQ-UHFFFAOYSA-N 0.000 description 1
- 229910001928 zirconium oxide Inorganic materials 0.000 description 1
Images
Classifications
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/02—Manufacture or treatment of semiconductor devices or of parts thereof
- H01L21/04—Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
- H01L21/18—Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
- H01L21/30—Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
- H01L21/31—Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
- H01L21/3205—Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
-
- C—CHEMISTRY; METALLURGY
- C23—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
- C23C—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
- C23C16/00—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
- C23C16/22—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
- C23C16/30—Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
- C23C16/32—Carbides
-
- C—CHEMISTRY; METALLURGY
- C23—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
- C23C—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
- C23C16/00—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
- C23C16/44—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
- C23C16/455—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
- C23C16/45523—Pulsed gas flow or change of composition over time
- C23C16/45525—Atomic layer deposition [ALD]
- C23C16/45527—Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/02—Manufacture or treatment of semiconductor devices or of parts thereof
- H01L21/02104—Forming layers
- H01L21/02365—Forming inorganic semiconducting materials on a substrate
- H01L21/02612—Formation types
- H01L21/02617—Deposition types
- H01L21/0262—Reduction or decomposition of gaseous compounds, e.g. CVD
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/02—Manufacture or treatment of semiconductor devices or of parts thereof
- H01L21/04—Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
- H01L21/18—Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
- H01L21/28—Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
- H01L21/28008—Making conductor-insulator-semiconductor electrodes
- H01L21/28017—Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon
- H01L21/28026—Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon characterised by the conductor
- H01L21/28088—Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon characterised by the conductor the final conductor layer next to the insulator being a composite, e.g. TiN
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L29/00—Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
- H01L29/40—Electrodes ; Multistep manufacturing processes therefor
- H01L29/401—Multistep manufacturing processes
- H01L29/4011—Multistep manufacturing processes for data storage electrodes
- H01L29/40114—Multistep manufacturing processes for data storage electrodes the electrodes comprising a conductor-insulator-conductor-insulator-semiconductor structure
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L29/00—Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
- H01L29/40—Electrodes ; Multistep manufacturing processes therefor
- H01L29/43—Electrodes ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
- H01L29/49—Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET
- H01L29/4966—Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET the conductor material next to the insulator being a composite material, e.g. organic material, TiN, MoSi2
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/02—Manufacture or treatment of semiconductor devices or of parts thereof
- H01L21/04—Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
- H01L21/18—Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
- H01L21/28—Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
- H01L21/283—Deposition of conductive or insulating materials for electrodes conducting electric current
- H01L21/285—Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation
- H01L21/28506—Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers
- H01L21/28512—Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic System
- H01L21/28556—Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic System by chemical means, e.g. CVD, LPCVD, PECVD, laser CVD
- H01L21/28562—Selective deposition
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/70—Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
- H01L21/71—Manufacture of specific parts of devices defined in group H01L21/70
- H01L21/768—Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
- H01L21/76838—Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
- H01L21/76841—Barrier, adhesion or liner layers
- H01L21/76843—Barrier, adhesion or liner layers formed in openings in a dielectric
Description
本願は、米国特許法第119条第(e)項に基づき、2008年4月16日出願の米国仮出願第61/045,554号への優先権を主張する。この仮出願の開示は参照により本願明細書に援用する。
本発明は、概して、蒸着プロセスに関し、より具体的には、蒸着プロセスによる金属炭化物膜の堆積に関する。
本開示に関しては、「ALDプロセス」または「ALD型プロセス」は一般に、自己飽和的な化学反応を使用して基体の上に単層(分子層)ずつ膜を生成するためのプロセスを指す。ALDの一般原理は、例えば、T.Suntolaにより例えばthe Handbook of Crystal Growth 3,Thin Films and Epitaxy,Part B:Growth Mechanisms and Dynamics, 第14章,Atomic Layer Epitaxy,601−663頁,Elsevier Science B.V. 1994年に、ならびに米国特許第4,058,430号および同第5,711,811号(これらの開示は、参照により本願明細書に援用したものとする)に開示されている。ALDプロセスでは、ガス状反応物質、すなわち、前駆体または供給源物質は、交互にかつ逐次的に反応空間の中へと伝えられ、そこでそれらは基体と接触して表面反応をもたらす。反応条件は、一般に最高約1単層(すなわち原子層または分子層)のみの物質が各パルス供与サイクルの間に一度に堆積されるように、選択される。前駆体間の気相反応および副生成物の任意の所望されない反応は阻止される。なぜなら、前駆体パルスが互いに分離され、かつこの反応チャンバーが不活性ガス(例えば窒素、アルゴンまたは水素)でパージされかつ/または、例えば、前駆体パルス間でポンプ排気システムを使用して排出され、過剰のガス状の反応物質および(もしあるなら)反応副生成物をそのチャンバーから除去するからである。従って、時間に対するこの反応物質の反応空間の中での濃度プロファイルは重なり合わない。しかしながら、当業者は、反応物質パルスの分離にもかかわらず複数の単層が1以上のALDサイクルにおいて堆積されてもよいということは認識するであろう。
ALDは、反応前駆体の逐次的かつ交互のパルスが使用されて、堆積パルスあたり約1原子(または分子)単層の物質が堆積される、自己制御的な(self−limiting)反応に基づく。この堆積条件および前駆体は自己飽和的な反応をもたらすように選択され、その結果、1つのパルスにおいて吸着された層が、同じパルスの気相反応物質と反応性ではない表面停止を残す。異なる反応物質のその後のパルスはそれまでの停止したものと反応として連続的な堆積を可能にする。従って、交互にされたパルスの各サイクルは、典型的にはわずかに約1単層の所望の物質を残す。しかしながら、上で触れたように、当業者は、例えばこれらの反応物質の別々の提供にもかかわらずいくつかの気相反応が起こる場合など、1以上のALDサイクルにおいて複数の単層の物質が堆積されてもよいということを認識するであろう。
いくつかの実施形態では、制御ゲートの少なくとも一部を形成するために金属炭化物膜が本願明細書に記載されるALDによって堆積されている、フラッシュメモリ構造が提供される。例示的なフラッシュメモリ構造の主要な要素が図2に図示されている。誘電性層(トンネル酸化物)110が基体100の上に堆積される。誘電性層110は典型的にはSiO2であるが、いくつかの実施形態では、誘電性層110は高k物質であってもよい。「高k」物質は、一般に、約7を超えるk値を有する金属酸化物の形態であり、例としては酸化アルミニウム(Al2O3)、酸化ジルコニウム(ZrO2)、酸化ハフニウム(HfO2)、酸化タンタル(Ta2O5)、チタン酸バリウムストロンチウム(BST)、タンタル酸ストロンチウムビスマス(SBT)、ランタノイド酸化物、およびこれらの組合せ(HfSiOxおよびHfZrOxなど)などがある。典型的には酸化物であるが、誘電性層110は別の種類の物質であってもよい。
いくつかの実施形態では、金属炭化物膜が本願明細書に記載されるALDによって堆積されてゲート電極の少なくとも一部を形成するトランジスタ構造が提供される。CMOSトランジスタ中のゲートスタックの概略図が図3に与えられる。特に、半導体基板200は、トランジスタゲートスタック210がその上に形成されて示されている。図示された実施形態では、基板200は単結晶シリコンウェーハの上方部分を含むが、当業者なら、この基板は他の半導体物質をも含んでもよいということはわかるであろう。ゲートスタック210は、金属炭化物を含むゲート電極層220を具える。側壁スペーサ230および絶縁層240は、電極220を従来の様式で保護および隔離する。より高度に導電性のストラッピング層250(典型的には金属を含む)も、ケイ素含有ゲート電極層220の上に図示されている。ストラップ250は、このウェーハにわたるトランジスタゲートの間の迅速な信号伝搬を容易にし、このゲートを論理回路に接続する。集積回路トランジスタは、図3の形態とはまったく似ていない様々な形態を有することができるということに留意されたい。しかしながら、好ましい実施形態のゲート電極層220は、様々なトランジスタ型(例えば、ヘテロ接合BiCMOSトランジスタ)におけるゲート電極へ応用されるであろう。
1つの実験では、TMAおよびTaCl5から約375℃の反応温度で炭化タンタル膜を二酸化ケイ素(SiO2)の上に堆積した。ガスパルスおよびパージ(ミリ秒、「ms」)の順序は以下のとおりであった。
別の実験では、TEAおよびTaCl5から約375℃の反応温度で炭化タンタル膜を二酸化ケイ素(SiO2)の上に堆積した。ガスパルスおよびパージ(ミリ秒、「ms」)の順序は以下のとおりであった。
上記の実施例に概略を示した手順に従って形成した200Åの炭化タンタル膜の抵抗率は、TMAをアルミニウム炭化水素として使用した場合は約1200μohm*cmでり、TEAをアルミニウム炭化水素反応物質として使用した場合は約700μohm*cmであった。
Claims (28)
- 反応空間中の基体の上に金属炭化物薄膜を形成するための原子層堆積方法であって、
各サイクルが
気相の金属ハロゲン化物を前記反応空間に与え、これにより前記金属ハロゲン化物が基板上に金属層を形成する工程、
過剰の気相の金属ハロゲン化物および反応副生成物を除去する工程、
気相のトリエチルアルミニウム(TEA)を前記反応空間に与え、これによりTEAが前記金属層と反応して前記金属層中に炭素を堆積させ、かつ揮発性の反応副生成物を生成する工程、および
過剰の気相のTEAおよび揮発性の反応副生成物を前記反応空間から除去する工程、
を含む、アルミニウムを16%以上含む金属炭化物薄膜が形成されるような複数の熱的ALDサイクルを行うことを含む、方法。 - 前記金属ハロゲン化物が、Ti、Zr、Hf、V、Nb、Ta,Cr、Mo,W、Mn、Re、Fe、Co、Ni、Cu、Ag、Au、Pd、Pt、Rh、Ir、RuおよびOsからなる群から選択される1種以上の金属を含む、請求項1に記載の方法。
- 前記金属ハロゲン化物はハロゲン化タンタルである、請求項1に記載の方法。
- 前記金属ハロゲン化物はTaCl5である、請求項1に記載の方法。
- 前記金属ハロゲン化物はNbを含む、請求項1に記載の方法。
- 前記金属ハロゲン化物はTiを含む、請求項1に記載の方法。
- 前記金属ハロゲン化物はTaBrw、TaClx、TaIxからなる群から選択される1種以上を含み、w、xおよびzは1〜5の整数である、請求項1に記載の方法。
- 前記金属炭化物は炭化タンタルである、請求項1に記載の方法。
- 前記金属炭化物は1〜1000Åの厚さまで堆積される、請求項1に記載の方法。
- 前記金属炭化物は20〜200Åの厚さまで堆積される、請求項1に記載の方法。
- 前記原子層堆積方法は350℃〜400℃の温度で実施される、請求項1に記載の方法。
- 前記原子層堆積方法は2〜5Torr(約267Pa〜約667Pa)の圧力で実施される、請求項1に記載の方法。
- 気相のTEAを前記反応空間に与えることが、20秒以下のTEAのパルスを含む、請求項1に記載の方法。
- 気相の金属ハロゲン化物を前記反応空間に与えることが、1秒〜10秒の金属ハロゲン化物のパルスを含む、請求項1に記載の方法。
- 金属炭化物薄膜を形成する熱的原子層堆積(ALD)方法であって、
前記方法は、
基体を反応チャンバー内で金属ハロゲン化物およびトリエチルアルミニウム(TEA)の気相パルスに交互にかつ逐次的に接触させることにより、金属炭化物薄膜を堆積する工程であって、前記金属炭化物薄膜がアルミニウムを16%以上含む工程
を含む方法。 - 前記基体を金属ハロゲン化物およびTEAの気相パルスに接触させることが、
気相の金属ハロゲン化物を反応空間に与え、これにより前記基体上に金属層を形成する工程、
前記反応空間から過剰の気相の金属ハロゲン化物を除去する工程、
気相のTEAを前記反応空間に与え、これによりTEAが前記金属層と反応して(a)前記金属層中に炭素を堆積させ、かつ(b)揮発性の反応副生成物を生成する工程、および
気相のTEAおよび揮発性の反応副生成物を前記反応空間から除去する工程
を含む、請求項15に記載の方法。 - 前記基体を金属ハロゲン化物およびTEAの気相パルスに接触させることが、20秒以下のTEAのパルスを含む、請求項15に記載の方法。
- 前記基体を金属ハロゲン化物およびTEAの気相パルスに接触させることが、1秒〜10秒の金属ハロゲン化物のパルスを含む、請求項15に記載の方法。
- 前記金属ハロゲン化物が、Ti、Zr、Hf、V、Nb、Ta,Cr、Mo,W、Mn、Re、Fe、Co、Ni、Cu、Ag、Au、Pd、Pt、Rh、Ir、RuおよびOsからなる群から選択される1種以上の金属を含む、請求項15に記載の方法。
- 前記金属ハロゲン化物はNbを含む、請求項15に記載の方法。
- 前記金属ハロゲン化物はTiを含む、請求項15に記載の方法。
- 前記金属ハロゲン化物はTaを含む、請求項15に記載の方法。
- 前記金属ハロゲン化物はTaBrw、TaClx、TaIxからなる群から選択される1種以上を含み、w、xおよびzは1〜5の整数である、請求項15に記載の方法。
- 前記金属ハロゲン化物はTaCl5を含む、請求項15に記載の方法。
- 前記金属炭化物は炭化タンタルである、請求項15に記載の方法。
- 前記金属炭化物は1〜1000Åの厚さまで堆積される、請求項15に記載の方法。
- 前記原子層堆積方法は2〜5Torr(約267Pa〜約667Pa)の圧力で実施される、請求項15に記載の方法。
- 前記原子層堆積方法は350℃〜400℃の温度で実施される、請求項15に記載の方法。
Applications Claiming Priority (3)
Application Number | Priority Date | Filing Date | Title |
---|---|---|---|
US4555408P | 2008-04-16 | 2008-04-16 | |
US61/045,554 | 2008-04-16 | ||
PCT/US2009/040705 WO2009129332A2 (en) | 2008-04-16 | 2009-04-15 | Atomic layer deposition of metal carbide films using aluminum hydrocarbon compounds |
Publications (3)
Publication Number | Publication Date |
---|---|
JP2011520251A JP2011520251A (ja) | 2011-07-14 |
JP2011520251A5 JP2011520251A5 (ja) | 2012-06-07 |
JP5551681B2 true JP5551681B2 (ja) | 2014-07-16 |
Family
ID=41199714
Family Applications (1)
Application Number | Title | Priority Date | Filing Date |
---|---|---|---|
JP2011505180A Active JP5551681B2 (ja) | 2008-04-16 | 2009-04-15 | アルミニウム炭化水素化合物を使用する金属炭化物膜の原子層堆積 |
Country Status (5)
Country | Link |
---|---|
US (2) | US20090315093A1 (ja) |
JP (1) | JP5551681B2 (ja) |
KR (1) | KR101540077B1 (ja) |
TW (1) | TWI488990B (ja) |
WO (1) | WO2009129332A2 (ja) |
Families Citing this family (328)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
US8993055B2 (en) * | 2005-10-27 | 2015-03-31 | Asm International N.V. | Enhanced thin film deposition |
US10378106B2 (en) | 2008-11-14 | 2019-08-13 | Asm Ip Holding B.V. | Method of forming insulation film by modified PEALD |
US9394608B2 (en) | 2009-04-06 | 2016-07-19 | Asm America, Inc. | Semiconductor processing reactor and components thereof |
US8802201B2 (en) | 2009-08-14 | 2014-08-12 | Asm America, Inc. | Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species |
JP5587116B2 (ja) * | 2009-09-30 | 2014-09-10 | 京セラ株式会社 | 配線基板及び実装構造体 |
US8513535B2 (en) * | 2009-10-30 | 2013-08-20 | Kyocera Corporation | Circuit board and structure using the same |
US20120100308A1 (en) * | 2010-10-25 | 2012-04-26 | Asm America, Inc. | Ternary metal alloys with tunable stoichiometries |
KR102111702B1 (ko) * | 2011-04-07 | 2020-05-15 | 피코순 오와이 | 플라즈마 소오스를 갖는 원자층 퇴적 |
US9312155B2 (en) | 2011-06-06 | 2016-04-12 | Asm Japan K.K. | High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules |
US10364496B2 (en) | 2011-06-27 | 2019-07-30 | Asm Ip Holding B.V. | Dual section module having shared and unshared mass flow controllers |
US10854498B2 (en) | 2011-07-15 | 2020-12-01 | Asm Ip Holding B.V. | Wafer-supporting device and method for producing same |
US20130023129A1 (en) | 2011-07-20 | 2013-01-24 | Asm America, Inc. | Pressure transmitter for a semiconductor processing environment |
US20130078454A1 (en) * | 2011-09-23 | 2013-03-28 | Applied Materials, Inc. | Metal-Aluminum Alloy Films From Metal Amidinate Precursors And Aluminum Precursors |
US9017481B1 (en) | 2011-10-28 | 2015-04-28 | Asm America, Inc. | Process feed management for semiconductor substrate processing |
US8927059B2 (en) * | 2011-11-08 | 2015-01-06 | Applied Materials, Inc. | Deposition of metal films using alane-based precursors |
JP6039996B2 (ja) * | 2011-12-09 | 2016-12-07 | 株式会社日立国際電気 | 半導体装置の製造方法、基板処理方法、基板処理装置およびプログラム |
TWI563111B (en) * | 2011-12-16 | 2016-12-21 | Applied Materials Inc | Film deposition using tantalum precursors |
US9145612B2 (en) | 2012-07-06 | 2015-09-29 | Applied Materials, Inc. | Deposition of N-metal films comprising aluminum alloys |
US9659799B2 (en) | 2012-08-28 | 2017-05-23 | Asm Ip Holding B.V. | Systems and methods for dynamic semiconductor process scheduling |
US9021985B2 (en) | 2012-09-12 | 2015-05-05 | Asm Ip Holdings B.V. | Process gas management for an inductively-coupled plasma deposition reactor |
US10714315B2 (en) | 2012-10-12 | 2020-07-14 | Asm Ip Holdings B.V. | Semiconductor reaction chamber showerhead |
TWI628305B (zh) | 2012-10-23 | 2018-07-01 | 應用材料股份有限公司 | 包含具高鋁含量的鋁合金之膜的沉積 |
US9064857B2 (en) | 2012-12-19 | 2015-06-23 | Taiwan Semiconductor Manufacturing Company, Ltd. | N metal for FinFET |
JP6084070B2 (ja) * | 2013-02-28 | 2017-02-22 | 株式会社日立国際電気 | 半導体装置の製造方法、プログラムおよび基板処理装置 |
US9484191B2 (en) | 2013-03-08 | 2016-11-01 | Asm Ip Holding B.V. | Pulsed remote plasma method and system |
US9589770B2 (en) | 2013-03-08 | 2017-03-07 | Asm Ip Holding B.V. | Method and systems for in-situ formation of intermediate reactive species |
WO2014164742A1 (en) * | 2013-03-11 | 2014-10-09 | Intermolecular, Inc | Atomic layer deposition of hfaic as a metal gate workfunction material in mos devices |
US9607904B2 (en) | 2013-03-11 | 2017-03-28 | Intermolecular, Inc. | Atomic layer deposition of HfAlC as a metal gate workfunction material in MOS devices |
US9412602B2 (en) | 2013-03-13 | 2016-08-09 | Asm Ip Holding B.V. | Deposition of smooth metal nitride films |
US8841182B1 (en) | 2013-03-14 | 2014-09-23 | Asm Ip Holding B.V. | Silane and borane treatments for titanium carbide films |
US8846550B1 (en) | 2013-03-14 | 2014-09-30 | Asm Ip Holding B.V. | Silane or borane treatment of metal thin films |
KR102060834B1 (ko) * | 2013-07-23 | 2019-12-30 | 삼성전자주식회사 | 반도체 장치 및 그 제조방법 |
US9240412B2 (en) | 2013-09-27 | 2016-01-19 | Asm Ip Holding B.V. | Semiconductor structure and device and methods of forming same using selective epitaxial process |
US9245742B2 (en) | 2013-12-18 | 2016-01-26 | Asm Ip Holding B.V. | Sulfur-containing thin films |
US9394609B2 (en) | 2014-02-13 | 2016-07-19 | Asm Ip Holding B.V. | Atomic layer deposition of aluminum fluoride thin films |
US10683571B2 (en) | 2014-02-25 | 2020-06-16 | Asm Ip Holding B.V. | Gas supply manifold and method of supplying gases to chamber using same |
KR102172753B1 (ko) | 2014-03-05 | 2020-11-02 | 삼성전자주식회사 | 반도체 제조 장비 운용 방법 |
US10167557B2 (en) | 2014-03-18 | 2019-01-01 | Asm Ip Holding B.V. | Gas distribution system, reactor including the system, and methods of using the same |
US11015245B2 (en) | 2014-03-19 | 2021-05-25 | Asm Ip Holding B.V. | Gas-phase reactor and system having exhaust plenum and components thereof |
US10643925B2 (en) | 2014-04-17 | 2020-05-05 | Asm Ip Holding B.V. | Fluorine-containing conductive films |
US10858737B2 (en) | 2014-07-28 | 2020-12-08 | Asm Ip Holding B.V. | Showerhead assembly and components thereof |
US9890456B2 (en) | 2014-08-21 | 2018-02-13 | Asm Ip Holding B.V. | Method and system for in situ formation of gas-phase compounds |
US10408776B2 (en) * | 2014-08-29 | 2019-09-10 | Kyocera Corporation | Sensor board, lead-bearing sensor board, and sensor device |
US10941490B2 (en) | 2014-10-07 | 2021-03-09 | Asm Ip Holding B.V. | Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same |
US9657845B2 (en) | 2014-10-07 | 2017-05-23 | Asm Ip Holding B.V. | Variable conductance gas distribution apparatus and method |
US10002936B2 (en) | 2014-10-23 | 2018-06-19 | Asm Ip Holding B.V. | Titanium aluminum and tantalum aluminum thin films |
KR102514466B1 (ko) * | 2014-12-15 | 2023-03-24 | 어플라이드 머티어리얼스, 인코포레이티드 | 진보된 배선 애플리케이션들을 위한 초박 유전체 확산 배리어 및 에칭 정지 층 |
KR102263121B1 (ko) | 2014-12-22 | 2021-06-09 | 에이에스엠 아이피 홀딩 비.브이. | 반도체 소자 및 그 제조 방법 |
US10529542B2 (en) | 2015-03-11 | 2020-01-07 | Asm Ip Holdings B.V. | Cross-flow reactor and method |
US10276355B2 (en) | 2015-03-12 | 2019-04-30 | Asm Ip Holding B.V. | Multi-zone reactor, system including the reactor, and method of using the same |
US10458018B2 (en) * | 2015-06-26 | 2019-10-29 | Asm Ip Holding B.V. | Structures including metal carbide material, devices including the structures, and methods of forming same |
US10600673B2 (en) | 2015-07-07 | 2020-03-24 | Asm Ip Holding B.V. | Magnetic susceptor to baseplate seal |
US10083836B2 (en) | 2015-07-24 | 2018-09-25 | Asm Ip Holding B.V. | Formation of boron-doped titanium metal films with high work function |
US11421321B2 (en) | 2015-07-28 | 2022-08-23 | Asm Ip Holding B.V. | Apparatuses for thin film deposition |
US10204790B2 (en) | 2015-07-28 | 2019-02-12 | Asm Ip Holding B.V. | Methods for thin film deposition |
US9960072B2 (en) | 2015-09-29 | 2018-05-01 | Asm Ip Holding B.V. | Variable adjustment for precise matching of multiple chamber cavity housings |
US9941425B2 (en) | 2015-10-16 | 2018-04-10 | Asm Ip Holdings B.V. | Photoactive devices and materials |
US10211308B2 (en) | 2015-10-21 | 2019-02-19 | Asm Ip Holding B.V. | NbMC layers |
US10322384B2 (en) | 2015-11-09 | 2019-06-18 | Asm Ip Holding B.V. | Counter flow mixer for process chamber |
US9786491B2 (en) | 2015-11-12 | 2017-10-10 | Asm Ip Holding B.V. | Formation of SiOCN thin films |
KR101818610B1 (ko) * | 2015-11-12 | 2018-01-16 | 성균관대학교산학협력단 | 탄소, 산소, 및 금속을 포함하는 금속탄화산화물 박막 및 그의 제조방법 |
US9786492B2 (en) | 2015-11-12 | 2017-10-10 | Asm Ip Holding B.V. | Formation of SiOCN thin films |
US11139308B2 (en) | 2015-12-29 | 2021-10-05 | Asm Ip Holding B.V. | Atomic layer deposition of III-V compounds to form V-NAND devices |
US10468251B2 (en) | 2016-02-19 | 2019-11-05 | Asm Ip Holding B.V. | Method for forming spacers using silicon nitride film for spacer-defined multiple patterning |
US10529554B2 (en) | 2016-02-19 | 2020-01-07 | Asm Ip Holding B.V. | Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches |
US10501866B2 (en) | 2016-03-09 | 2019-12-10 | Asm Ip Holding B.V. | Gas distribution apparatus for improved film uniformity in an epitaxial system |
US10343920B2 (en) | 2016-03-18 | 2019-07-09 | Asm Ip Holding B.V. | Aligned carbon nanotubes |
US9892913B2 (en) | 2016-03-24 | 2018-02-13 | Asm Ip Holding B.V. | Radial and thickness control via biased multi-port injection settings |
US10190213B2 (en) | 2016-04-21 | 2019-01-29 | Asm Ip Holding B.V. | Deposition of metal borides |
US10865475B2 (en) | 2016-04-21 | 2020-12-15 | Asm Ip Holding B.V. | Deposition of metal borides and silicides |
US10367080B2 (en) | 2016-05-02 | 2019-07-30 | Asm Ip Holding B.V. | Method of forming a germanium oxynitride film |
US10032628B2 (en) | 2016-05-02 | 2018-07-24 | Asm Ip Holding B.V. | Source/drain performance through conformal solid state doping |
KR102378021B1 (ko) | 2016-05-06 | 2022-03-23 | 에이에스엠 아이피 홀딩 비.브이. | SiOC 박막의 형성 |
KR102592471B1 (ko) | 2016-05-17 | 2023-10-20 | 에이에스엠 아이피 홀딩 비.브이. | 금속 배선 형성 방법 및 이를 이용한 반도체 장치의 제조 방법 |
US11453943B2 (en) | 2016-05-25 | 2022-09-27 | Asm Ip Holding B.V. | Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor |
US10388509B2 (en) | 2016-06-28 | 2019-08-20 | Asm Ip Holding B.V. | Formation of epitaxial layers via dislocation filtering |
US10612137B2 (en) | 2016-07-08 | 2020-04-07 | Asm Ip Holdings B.V. | Organic reactants for atomic layer deposition |
US9859151B1 (en) | 2016-07-08 | 2018-01-02 | Asm Ip Holding B.V. | Selective film deposition method to form air gaps |
US10714385B2 (en) | 2016-07-19 | 2020-07-14 | Asm Ip Holding B.V. | Selective deposition of tungsten |
US10381226B2 (en) | 2016-07-27 | 2019-08-13 | Asm Ip Holding B.V. | Method of processing substrate |
US10395919B2 (en) | 2016-07-28 | 2019-08-27 | Asm Ip Holding B.V. | Method and apparatus for filling a gap |
KR102532607B1 (ko) | 2016-07-28 | 2023-05-15 | 에이에스엠 아이피 홀딩 비.브이. | 기판 가공 장치 및 그 동작 방법 |
US9812320B1 (en) | 2016-07-28 | 2017-11-07 | Asm Ip Holding B.V. | Method and apparatus for filling a gap |
US9887082B1 (en) | 2016-07-28 | 2018-02-06 | Asm Ip Holding B.V. | Method and apparatus for filling a gap |
US20180094352A1 (en) * | 2016-09-30 | 2018-04-05 | Uchicago Argonne, Llc | Systems and methods for metal layer adhesion |
US10410943B2 (en) | 2016-10-13 | 2019-09-10 | Asm Ip Holding B.V. | Method for passivating a surface of a semiconductor and related systems |
US10643826B2 (en) | 2016-10-26 | 2020-05-05 | Asm Ip Holdings B.V. | Methods for thermally calibrating reaction chambers |
US11532757B2 (en) | 2016-10-27 | 2022-12-20 | Asm Ip Holding B.V. | Deposition of charge trapping layers |
US10435790B2 (en) | 2016-11-01 | 2019-10-08 | Asm Ip Holding B.V. | Method of subatmospheric plasma-enhanced ALD using capacitively coupled electrodes with narrow gap |
US10229833B2 (en) | 2016-11-01 | 2019-03-12 | Asm Ip Holding B.V. | Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures |
US10643904B2 (en) | 2016-11-01 | 2020-05-05 | Asm Ip Holdings B.V. | Methods for forming a semiconductor device and related semiconductor device structures |
US10714350B2 (en) | 2016-11-01 | 2020-07-14 | ASM IP Holdings, B.V. | Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures |
US10134757B2 (en) | 2016-11-07 | 2018-11-20 | Asm Ip Holding B.V. | Method of processing a substrate and a device manufactured by using the method |
KR102546317B1 (ko) | 2016-11-15 | 2023-06-21 | 에이에스엠 아이피 홀딩 비.브이. | 기체 공급 유닛 및 이를 포함하는 기판 처리 장치 |
US10340135B2 (en) | 2016-11-28 | 2019-07-02 | Asm Ip Holding B.V. | Method of topologically restricted plasma-enhanced cyclic deposition of silicon or metal nitride |
KR20180068582A (ko) | 2016-12-14 | 2018-06-22 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 장치 |
US11447861B2 (en) | 2016-12-15 | 2022-09-20 | Asm Ip Holding B.V. | Sequential infiltration synthesis apparatus and a method of forming a patterned structure |
US11581186B2 (en) | 2016-12-15 | 2023-02-14 | Asm Ip Holding B.V. | Sequential infiltration synthesis apparatus |
KR20180070971A (ko) | 2016-12-19 | 2018-06-27 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 장치 |
US10269558B2 (en) | 2016-12-22 | 2019-04-23 | Asm Ip Holding B.V. | Method of forming a structure on a substrate |
US10867788B2 (en) | 2016-12-28 | 2020-12-15 | Asm Ip Holding B.V. | Method of forming a structure on a substrate |
US11390950B2 (en) | 2017-01-10 | 2022-07-19 | Asm Ip Holding B.V. | Reactor system and method to reduce residue buildup during a film deposition process |
US10655221B2 (en) | 2017-02-09 | 2020-05-19 | Asm Ip Holding B.V. | Method for depositing oxide film by thermal ALD and PEALD |
US10468261B2 (en) | 2017-02-15 | 2019-11-05 | Asm Ip Holding B.V. | Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures |
US10529563B2 (en) | 2017-03-29 | 2020-01-07 | Asm Ip Holdings B.V. | Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures |
US10283353B2 (en) | 2017-03-29 | 2019-05-07 | Asm Ip Holding B.V. | Method of reforming insulating film deposited on substrate with recess pattern |
US10847529B2 (en) | 2017-04-13 | 2020-11-24 | Asm Ip Holding B.V. | Substrate processing method and device manufactured by the same |
KR102457289B1 (ko) | 2017-04-25 | 2022-10-21 | 에이에스엠 아이피 홀딩 비.브이. | 박막 증착 방법 및 반도체 장치의 제조 방법 |
US10504901B2 (en) | 2017-04-26 | 2019-12-10 | Asm Ip Holding B.V. | Substrate processing method and device manufactured using the same |
US20200135445A1 (en) * | 2017-04-28 | 2020-04-30 | Semiconductor Energy Laboratory Co., Ltd. | Semiconductor device and method for fabricating semiconductor device |
US11158500B2 (en) | 2017-05-05 | 2021-10-26 | Asm Ip Holding B.V. | Plasma enhanced deposition processes for controlled formation of oxygen containing thin films |
US10770286B2 (en) | 2017-05-08 | 2020-09-08 | Asm Ip Holdings B.V. | Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures |
US10446393B2 (en) | 2017-05-08 | 2019-10-15 | Asm Ip Holding B.V. | Methods for forming silicon-containing epitaxial layers and related semiconductor device structures |
US10892156B2 (en) | 2017-05-08 | 2021-01-12 | Asm Ip Holding B.V. | Methods for forming a silicon nitride film on a substrate and related semiconductor device structures |
US10504742B2 (en) | 2017-05-31 | 2019-12-10 | Asm Ip Holding B.V. | Method of atomic layer etching using hydrogen plasma |
US10886123B2 (en) | 2017-06-02 | 2021-01-05 | Asm Ip Holding B.V. | Methods for forming low temperature semiconductor layers and related semiconductor device structures |
EP3480337A4 (en) * | 2017-06-12 | 2020-04-29 | ULVAC, Inc. | THIN FILM FORMING PROCESS |
US11306395B2 (en) | 2017-06-28 | 2022-04-19 | Asm Ip Holding B.V. | Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus |
US10685834B2 (en) | 2017-07-05 | 2020-06-16 | Asm Ip Holdings B.V. | Methods for forming a silicon germanium tin layer and related semiconductor device structures |
KR20190009245A (ko) | 2017-07-18 | 2019-01-28 | 에이에스엠 아이피 홀딩 비.브이. | 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물 |
US11374112B2 (en) | 2017-07-19 | 2022-06-28 | Asm Ip Holding B.V. | Method for depositing a group IV semiconductor and related semiconductor device structures |
US11018002B2 (en) | 2017-07-19 | 2021-05-25 | Asm Ip Holding B.V. | Method for selectively depositing a Group IV semiconductor and related semiconductor device structures |
US10541333B2 (en) | 2017-07-19 | 2020-01-21 | Asm Ip Holding B.V. | Method for depositing a group IV semiconductor and related semiconductor device structures |
US10605530B2 (en) | 2017-07-26 | 2020-03-31 | Asm Ip Holding B.V. | Assembly of a liner and a flange for a vertical furnace as well as the liner and the vertical furnace |
US10590535B2 (en) | 2017-07-26 | 2020-03-17 | Asm Ip Holdings B.V. | Chemical treatment, deposition and/or infiltration apparatus and method for using the same |
US10312055B2 (en) | 2017-07-26 | 2019-06-04 | Asm Ip Holding B.V. | Method of depositing film by PEALD using negative bias |
US10770336B2 (en) | 2017-08-08 | 2020-09-08 | Asm Ip Holding B.V. | Substrate lift mechanism and reactor including same |
US10692741B2 (en) | 2017-08-08 | 2020-06-23 | Asm Ip Holdings B.V. | Radiation shield |
US10249524B2 (en) | 2017-08-09 | 2019-04-02 | Asm Ip Holding B.V. | Cassette holder assembly for a substrate cassette and holding member for use in such assembly |
US11139191B2 (en) | 2017-08-09 | 2021-10-05 | Asm Ip Holding B.V. | Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith |
US11769682B2 (en) | 2017-08-09 | 2023-09-26 | Asm Ip Holding B.V. | Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith |
US10236177B1 (en) | 2017-08-22 | 2019-03-19 | ASM IP Holding B.V.. | Methods for depositing a doped germanium tin semiconductor and related semiconductor device structures |
USD900036S1 (en) | 2017-08-24 | 2020-10-27 | Asm Ip Holding B.V. | Heater electrical connector and adapter |
US11830730B2 (en) | 2017-08-29 | 2023-11-28 | Asm Ip Holding B.V. | Layer forming method and apparatus |
KR102491945B1 (ko) | 2017-08-30 | 2023-01-26 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 장치 |
US11295980B2 (en) | 2017-08-30 | 2022-04-05 | Asm Ip Holding B.V. | Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures |
US11056344B2 (en) | 2017-08-30 | 2021-07-06 | Asm Ip Holding B.V. | Layer forming method |
US10607895B2 (en) | 2017-09-18 | 2020-03-31 | Asm Ip Holdings B.V. | Method for forming a semiconductor device structure comprising a gate fill metal |
KR102630301B1 (ko) | 2017-09-21 | 2024-01-29 | 에이에스엠 아이피 홀딩 비.브이. | 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치 |
US10844484B2 (en) | 2017-09-22 | 2020-11-24 | Asm Ip Holding B.V. | Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods |
US10658205B2 (en) | 2017-09-28 | 2020-05-19 | Asm Ip Holdings B.V. | Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber |
US10403504B2 (en) | 2017-10-05 | 2019-09-03 | Asm Ip Holding B.V. | Method for selectively depositing a metallic film on a substrate |
US10319588B2 (en) | 2017-10-10 | 2019-06-11 | Asm Ip Holding B.V. | Method for depositing a metal chalcogenide on a substrate by cyclical deposition |
US10923344B2 (en) | 2017-10-30 | 2021-02-16 | Asm Ip Holding B.V. | Methods for forming a semiconductor structure and related semiconductor structures |
US10910262B2 (en) | 2017-11-16 | 2021-02-02 | Asm Ip Holding B.V. | Method of selectively depositing a capping layer structure on a semiconductor device structure |
KR102443047B1 (ko) | 2017-11-16 | 2022-09-14 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 장치 방법 및 그에 의해 제조된 장치 |
US11022879B2 (en) | 2017-11-24 | 2021-06-01 | Asm Ip Holding B.V. | Method of forming an enhanced unexposed photoresist layer |
CN111344522B (zh) | 2017-11-27 | 2022-04-12 | 阿斯莫Ip控股公司 | 包括洁净迷你环境的装置 |
KR102597978B1 (ko) | 2017-11-27 | 2023-11-06 | 에이에스엠 아이피 홀딩 비.브이. | 배치 퍼니스와 함께 사용하기 위한 웨이퍼 카세트를 보관하기 위한 보관 장치 |
KR20190065962A (ko) | 2017-12-04 | 2019-06-12 | 에이에스엠 아이피 홀딩 비.브이. | 유전체와 금속 표면 상에 SiOC의 균일한 증착 |
US10290508B1 (en) | 2017-12-05 | 2019-05-14 | Asm Ip Holding B.V. | Method for forming vertical spacers for spacer-defined patterning |
US10872771B2 (en) | 2018-01-16 | 2020-12-22 | Asm Ip Holding B. V. | Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures |
TWI799494B (zh) | 2018-01-19 | 2023-04-21 | 荷蘭商Asm 智慧財產控股公司 | 沈積方法 |
KR20200108016A (ko) | 2018-01-19 | 2020-09-16 | 에이에스엠 아이피 홀딩 비.브이. | 플라즈마 보조 증착에 의해 갭 충진 층을 증착하는 방법 |
USD903477S1 (en) | 2018-01-24 | 2020-12-01 | Asm Ip Holdings B.V. | Metal clamp |
US11018047B2 (en) | 2018-01-25 | 2021-05-25 | Asm Ip Holding B.V. | Hybrid lift pin |
US10535516B2 (en) | 2018-02-01 | 2020-01-14 | Asm Ip Holdings B.V. | Method for depositing a semiconductor structure on a surface of a substrate and related semiconductor structures |
USD880437S1 (en) | 2018-02-01 | 2020-04-07 | Asm Ip Holding B.V. | Gas supply plate for semiconductor manufacturing apparatus |
US11081345B2 (en) | 2018-02-06 | 2021-08-03 | Asm Ip Holding B.V. | Method of post-deposition treatment for silicon oxide film |
US11685991B2 (en) | 2018-02-14 | 2023-06-27 | Asm Ip Holding B.V. | Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process |
US10896820B2 (en) | 2018-02-14 | 2021-01-19 | Asm Ip Holding B.V. | Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process |
US10731249B2 (en) | 2018-02-15 | 2020-08-04 | Asm Ip Holding B.V. | Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus |
KR102636427B1 (ko) | 2018-02-20 | 2024-02-13 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 방법 및 장치 |
US10658181B2 (en) | 2018-02-20 | 2020-05-19 | Asm Ip Holding B.V. | Method of spacer-defined direct patterning in semiconductor fabrication |
US10975470B2 (en) | 2018-02-23 | 2021-04-13 | Asm Ip Holding B.V. | Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment |
US11473195B2 (en) | 2018-03-01 | 2022-10-18 | Asm Ip Holding B.V. | Semiconductor processing apparatus and a method for processing a substrate |
US11629406B2 (en) | 2018-03-09 | 2023-04-18 | Asm Ip Holding B.V. | Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate |
US11114283B2 (en) | 2018-03-16 | 2021-09-07 | Asm Ip Holding B.V. | Reactor, system including the reactor, and methods of manufacturing and using same |
KR102646467B1 (ko) | 2018-03-27 | 2024-03-11 | 에이에스엠 아이피 홀딩 비.브이. | 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조 |
US11088002B2 (en) | 2018-03-29 | 2021-08-10 | Asm Ip Holding B.V. | Substrate rack and a substrate processing system and method |
US11230766B2 (en) | 2018-03-29 | 2022-01-25 | Asm Ip Holding B.V. | Substrate processing apparatus and method |
US10510536B2 (en) | 2018-03-29 | 2019-12-17 | Asm Ip Holding B.V. | Method of depositing a co-doped polysilicon film on a surface of a substrate within a reaction chamber |
KR102501472B1 (ko) | 2018-03-30 | 2023-02-20 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 방법 |
KR102550652B1 (ko) * | 2018-04-02 | 2023-07-05 | 삼성전자주식회사 | 반도체 소자의 제조 방법 |
KR20190128558A (ko) | 2018-05-08 | 2019-11-18 | 에이에스엠 아이피 홀딩 비.브이. | 기판 상에 산화물 막을 주기적 증착 공정에 의해 증착하기 위한 방법 및 관련 소자 구조 |
TWI816783B (zh) | 2018-05-11 | 2023-10-01 | 荷蘭商Asm 智慧財產控股公司 | 用於基板上形成摻雜金屬碳化物薄膜之方法及相關半導體元件結構 |
KR102596988B1 (ko) | 2018-05-28 | 2023-10-31 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 방법 및 그에 의해 제조된 장치 |
US11718913B2 (en) | 2018-06-04 | 2023-08-08 | Asm Ip Holding B.V. | Gas distribution system and reactor system including same |
TW202013553A (zh) | 2018-06-04 | 2020-04-01 | 荷蘭商Asm 智慧財產控股公司 | 水氣降低的晶圓處置腔室 |
US11286562B2 (en) | 2018-06-08 | 2022-03-29 | Asm Ip Holding B.V. | Gas-phase chemical reactor and method of using same |
KR102568797B1 (ko) | 2018-06-21 | 2023-08-21 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 시스템 |
US10797133B2 (en) | 2018-06-21 | 2020-10-06 | Asm Ip Holding B.V. | Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures |
TWI819010B (zh) | 2018-06-27 | 2023-10-21 | 荷蘭商Asm Ip私人控股有限公司 | 用於形成含金屬材料及包含含金屬材料的膜及結構之循環沉積方法 |
WO2020003000A1 (en) | 2018-06-27 | 2020-01-02 | Asm Ip Holding B.V. | Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material |
KR20200002519A (ko) | 2018-06-29 | 2020-01-08 | 에이에스엠 아이피 홀딩 비.브이. | 박막 증착 방법 및 반도체 장치의 제조 방법 |
US10612136B2 (en) | 2018-06-29 | 2020-04-07 | ASM IP Holding, B.V. | Temperature-controlled flange and reactor system including same |
US10388513B1 (en) | 2018-07-03 | 2019-08-20 | Asm Ip Holding B.V. | Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition |
US10755922B2 (en) | 2018-07-03 | 2020-08-25 | Asm Ip Holding B.V. | Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition |
US10767789B2 (en) | 2018-07-16 | 2020-09-08 | Asm Ip Holding B.V. | Diaphragm valves, valve components, and methods for forming valve components |
US10483099B1 (en) | 2018-07-26 | 2019-11-19 | Asm Ip Holding B.V. | Method for forming thermally stable organosilicon polymer film |
US11053591B2 (en) | 2018-08-06 | 2021-07-06 | Asm Ip Holding B.V. | Multi-port gas injection system and reactor system including same |
US10883175B2 (en) | 2018-08-09 | 2021-01-05 | Asm Ip Holding B.V. | Vertical furnace for processing substrates and a liner for use therein |
US10829852B2 (en) | 2018-08-16 | 2020-11-10 | Asm Ip Holding B.V. | Gas distribution device for a wafer processing apparatus |
US11430674B2 (en) | 2018-08-22 | 2022-08-30 | Asm Ip Holding B.V. | Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods |
US11024523B2 (en) | 2018-09-11 | 2021-06-01 | Asm Ip Holding B.V. | Substrate processing apparatus and method |
KR20200030162A (ko) | 2018-09-11 | 2020-03-20 | 에이에스엠 아이피 홀딩 비.브이. | 박막 증착 방법 |
US11049751B2 (en) | 2018-09-14 | 2021-06-29 | Asm Ip Holding B.V. | Cassette supply system to store and handle cassettes and processing apparatus equipped therewith |
CN110970344A (zh) | 2018-10-01 | 2020-04-07 | Asm Ip控股有限公司 | 衬底保持设备、包含所述设备的系统及其使用方法 |
US11232963B2 (en) | 2018-10-03 | 2022-01-25 | Asm Ip Holding B.V. | Substrate processing apparatus and method |
KR102592699B1 (ko) | 2018-10-08 | 2023-10-23 | 에이에스엠 아이피 홀딩 비.브이. | 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치 |
US10847365B2 (en) | 2018-10-11 | 2020-11-24 | Asm Ip Holding B.V. | Method of forming conformal silicon carbide film by cyclic CVD |
US10811256B2 (en) | 2018-10-16 | 2020-10-20 | Asm Ip Holding B.V. | Method for etching a carbon-containing feature |
KR102605121B1 (ko) | 2018-10-19 | 2023-11-23 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 장치 및 기판 처리 방법 |
KR102546322B1 (ko) | 2018-10-19 | 2023-06-21 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 장치 및 기판 처리 방법 |
USD948463S1 (en) | 2018-10-24 | 2022-04-12 | Asm Ip Holding B.V. | Susceptor for semiconductor substrate supporting apparatus |
US10381219B1 (en) | 2018-10-25 | 2019-08-13 | Asm Ip Holding B.V. | Methods for forming a silicon nitride film |
US11087997B2 (en) | 2018-10-31 | 2021-08-10 | Asm Ip Holding B.V. | Substrate processing apparatus for processing substrates |
KR20200051105A (ko) | 2018-11-02 | 2020-05-13 | 에이에스엠 아이피 홀딩 비.브이. | 기판 지지 유닛 및 이를 포함하는 기판 처리 장치 |
US11572620B2 (en) | 2018-11-06 | 2023-02-07 | Asm Ip Holding B.V. | Methods for selectively depositing an amorphous silicon film on a substrate |
US11031242B2 (en) | 2018-11-07 | 2021-06-08 | Asm Ip Holding B.V. | Methods for depositing a boron doped silicon germanium film |
US10818758B2 (en) | 2018-11-16 | 2020-10-27 | Asm Ip Holding B.V. | Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures |
US10847366B2 (en) | 2018-11-16 | 2020-11-24 | Asm Ip Holding B.V. | Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process |
US10559458B1 (en) | 2018-11-26 | 2020-02-11 | Asm Ip Holding B.V. | Method of forming oxynitride film |
US11217444B2 (en) | 2018-11-30 | 2022-01-04 | Asm Ip Holding B.V. | Method for forming an ultraviolet radiation responsive metal oxide-containing film |
KR102636428B1 (ko) | 2018-12-04 | 2024-02-13 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 장치를 세정하는 방법 |
US11158513B2 (en) | 2018-12-13 | 2021-10-26 | Asm Ip Holding B.V. | Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures |
TW202037745A (zh) | 2018-12-14 | 2020-10-16 | 荷蘭商Asm Ip私人控股有限公司 | 形成裝置結構之方法、其所形成之結構及施行其之系統 |
TWI819180B (zh) | 2019-01-17 | 2023-10-21 | 荷蘭商Asm 智慧財產控股公司 | 藉由循環沈積製程於基板上形成含過渡金屬膜之方法 |
KR20200091543A (ko) | 2019-01-22 | 2020-07-31 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 장치 |
CN111524788B (zh) | 2019-02-01 | 2023-11-24 | Asm Ip私人控股有限公司 | 氧化硅的拓扑选择性膜形成的方法 |
US11482533B2 (en) | 2019-02-20 | 2022-10-25 | Asm Ip Holding B.V. | Apparatus and methods for plug fill deposition in 3-D NAND applications |
KR102638425B1 (ko) | 2019-02-20 | 2024-02-21 | 에이에스엠 아이피 홀딩 비.브이. | 기판 표면 내에 형성된 오목부를 충진하기 위한 방법 및 장치 |
TW202104632A (zh) | 2019-02-20 | 2021-02-01 | 荷蘭商Asm Ip私人控股有限公司 | 用來填充形成於基材表面內之凹部的循環沉積方法及設備 |
KR102626263B1 (ko) | 2019-02-20 | 2024-01-16 | 에이에스엠 아이피 홀딩 비.브이. | 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치 |
TW202100794A (zh) | 2019-02-22 | 2021-01-01 | 荷蘭商Asm Ip私人控股有限公司 | 基材處理設備及處理基材之方法 |
KR20200108242A (ko) | 2019-03-08 | 2020-09-17 | 에이에스엠 아이피 홀딩 비.브이. | 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체 |
KR20200108243A (ko) | 2019-03-08 | 2020-09-17 | 에이에스엠 아이피 홀딩 비.브이. | SiOC 층을 포함한 구조체 및 이의 형성 방법 |
KR20200108248A (ko) | 2019-03-08 | 2020-09-17 | 에이에스엠 아이피 홀딩 비.브이. | SiOCN 층을 포함한 구조체 및 이의 형성 방법 |
JP2020167398A (ja) | 2019-03-28 | 2020-10-08 | エーエスエム・アイピー・ホールディング・ベー・フェー | ドアオープナーおよびドアオープナーが提供される基材処理装置 |
KR20200116855A (ko) | 2019-04-01 | 2020-10-13 | 에이에스엠 아이피 홀딩 비.브이. | 반도체 소자를 제조하는 방법 |
KR20200123380A (ko) | 2019-04-19 | 2020-10-29 | 에이에스엠 아이피 홀딩 비.브이. | 층 형성 방법 및 장치 |
KR20200125453A (ko) | 2019-04-24 | 2020-11-04 | 에이에스엠 아이피 홀딩 비.브이. | 기상 반응기 시스템 및 이를 사용하는 방법 |
KR20200130118A (ko) | 2019-05-07 | 2020-11-18 | 에이에스엠 아이피 홀딩 비.브이. | 비정질 탄소 중합체 막을 개질하는 방법 |
KR20200130121A (ko) | 2019-05-07 | 2020-11-18 | 에이에스엠 아이피 홀딩 비.브이. | 딥 튜브가 있는 화학물질 공급원 용기 |
KR20200130652A (ko) | 2019-05-10 | 2020-11-19 | 에이에스엠 아이피 홀딩 비.브이. | 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조 |
US20200362458A1 (en) * | 2019-05-14 | 2020-11-19 | Applied Materials, Inc. | Deposition of rhenium-containing thin films |
JP2020188255A (ja) | 2019-05-16 | 2020-11-19 | エーエスエム アイピー ホールディング ビー.ブイ. | ウェハボートハンドリング装置、縦型バッチ炉および方法 |
USD975665S1 (en) | 2019-05-17 | 2023-01-17 | Asm Ip Holding B.V. | Susceptor shaft |
USD947913S1 (en) | 2019-05-17 | 2022-04-05 | Asm Ip Holding B.V. | Susceptor shaft |
USD935572S1 (en) | 2019-05-24 | 2021-11-09 | Asm Ip Holding B.V. | Gas channel plate |
USD922229S1 (en) | 2019-06-05 | 2021-06-15 | Asm Ip Holding B.V. | Device for controlling a temperature of a gas supply unit |
KR20200141003A (ko) | 2019-06-06 | 2020-12-17 | 에이에스엠 아이피 홀딩 비.브이. | 가스 감지기를 포함하는 기상 반응기 시스템 |
KR20200143254A (ko) | 2019-06-11 | 2020-12-23 | 에이에스엠 아이피 홀딩 비.브이. | 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조 |
USD944946S1 (en) | 2019-06-14 | 2022-03-01 | Asm Ip Holding B.V. | Shower plate |
USD931978S1 (en) | 2019-06-27 | 2021-09-28 | Asm Ip Holding B.V. | Showerhead vacuum transport |
KR20210005515A (ko) | 2019-07-03 | 2021-01-14 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법 |
JP2021015791A (ja) | 2019-07-09 | 2021-02-12 | エーエスエム アイピー ホールディング ビー.ブイ. | 同軸導波管を用いたプラズマ装置、基板処理方法 |
CN112216646A (zh) | 2019-07-10 | 2021-01-12 | Asm Ip私人控股有限公司 | 基板支撑组件及包括其的基板处理装置 |
KR20210010307A (ko) | 2019-07-16 | 2021-01-27 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 장치 |
KR20210010820A (ko) | 2019-07-17 | 2021-01-28 | 에이에스엠 아이피 홀딩 비.브이. | 실리콘 게르마늄 구조를 형성하는 방법 |
KR20210010816A (ko) | 2019-07-17 | 2021-01-28 | 에이에스엠 아이피 홀딩 비.브이. | 라디칼 보조 점화 플라즈마 시스템 및 방법 |
US11643724B2 (en) | 2019-07-18 | 2023-05-09 | Asm Ip Holding B.V. | Method of forming structures using a neutral beam |
CN112242296A (zh) | 2019-07-19 | 2021-01-19 | Asm Ip私人控股有限公司 | 形成拓扑受控的无定形碳聚合物膜的方法 |
CN112309843A (zh) | 2019-07-29 | 2021-02-02 | Asm Ip私人控股有限公司 | 实现高掺杂剂掺入的选择性沉积方法 |
CN112309900A (zh) | 2019-07-30 | 2021-02-02 | Asm Ip私人控股有限公司 | 基板处理设备 |
CN112309899A (zh) | 2019-07-30 | 2021-02-02 | Asm Ip私人控股有限公司 | 基板处理设备 |
US11227782B2 (en) | 2019-07-31 | 2022-01-18 | Asm Ip Holding B.V. | Vertical batch furnace assembly |
US11587815B2 (en) | 2019-07-31 | 2023-02-21 | Asm Ip Holding B.V. | Vertical batch furnace assembly |
US11587814B2 (en) | 2019-07-31 | 2023-02-21 | Asm Ip Holding B.V. | Vertical batch furnace assembly |
CN112323048B (zh) | 2019-08-05 | 2024-02-09 | Asm Ip私人控股有限公司 | 用于化学源容器的液位传感器 |
USD965524S1 (en) | 2019-08-19 | 2022-10-04 | Asm Ip Holding B.V. | Susceptor support |
USD965044S1 (en) | 2019-08-19 | 2022-09-27 | Asm Ip Holding B.V. | Susceptor shaft |
JP2021031769A (ja) | 2019-08-21 | 2021-03-01 | エーエスエム アイピー ホールディング ビー.ブイ. | 成膜原料混合ガス生成装置及び成膜装置 |
KR20210024423A (ko) | 2019-08-22 | 2021-03-05 | 에이에스엠 아이피 홀딩 비.브이. | 홀을 구비한 구조체를 형성하기 위한 방법 |
USD979506S1 (en) | 2019-08-22 | 2023-02-28 | Asm Ip Holding B.V. | Insulator |
USD930782S1 (en) | 2019-08-22 | 2021-09-14 | Asm Ip Holding B.V. | Gas distributor |
USD940837S1 (en) | 2019-08-22 | 2022-01-11 | Asm Ip Holding B.V. | Electrode |
USD949319S1 (en) | 2019-08-22 | 2022-04-19 | Asm Ip Holding B.V. | Exhaust duct |
KR20210024420A (ko) | 2019-08-23 | 2021-03-05 | 에이에스엠 아이피 홀딩 비.브이. | 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법 |
US11286558B2 (en) | 2019-08-23 | 2022-03-29 | Asm Ip Holding B.V. | Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film |
KR20210029090A (ko) | 2019-09-04 | 2021-03-15 | 에이에스엠 아이피 홀딩 비.브이. | 희생 캡핑 층을 이용한 선택적 증착 방법 |
KR20210029663A (ko) | 2019-09-05 | 2021-03-16 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 장치 |
US11562901B2 (en) | 2019-09-25 | 2023-01-24 | Asm Ip Holding B.V. | Substrate processing method |
CN112593212B (zh) | 2019-10-02 | 2023-12-22 | Asm Ip私人控股有限公司 | 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法 |
TW202129060A (zh) | 2019-10-08 | 2021-08-01 | 荷蘭商Asm Ip控股公司 | 基板處理裝置、及基板處理方法 |
KR20210043460A (ko) | 2019-10-10 | 2021-04-21 | 에이에스엠 아이피 홀딩 비.브이. | 포토레지스트 하부층을 형성하기 위한 방법 및 이를 포함한 구조체 |
KR20210045930A (ko) | 2019-10-16 | 2021-04-27 | 에이에스엠 아이피 홀딩 비.브이. | 실리콘 산화물의 토폴로지-선택적 막의 형성 방법 |
US11637014B2 (en) | 2019-10-17 | 2023-04-25 | Asm Ip Holding B.V. | Methods for selective deposition of doped semiconductor material |
KR20210047808A (ko) | 2019-10-21 | 2021-04-30 | 에이에스엠 아이피 홀딩 비.브이. | 막을 선택적으로 에칭하기 위한 장치 및 방법 |
US11646205B2 (en) | 2019-10-29 | 2023-05-09 | Asm Ip Holding B.V. | Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same |
KR20210054983A (ko) | 2019-11-05 | 2021-05-14 | 에이에스엠 아이피 홀딩 비.브이. | 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템 |
US11501968B2 (en) | 2019-11-15 | 2022-11-15 | Asm Ip Holding B.V. | Method for providing a semiconductor device with silicon filled gaps |
KR20210062561A (ko) | 2019-11-20 | 2021-05-31 | 에이에스엠 아이피 홀딩 비.브이. | 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템 |
CN112951697A (zh) | 2019-11-26 | 2021-06-11 | Asm Ip私人控股有限公司 | 基板处理设备 |
KR20210065848A (ko) | 2019-11-26 | 2021-06-04 | 에이에스엠 아이피 홀딩 비.브이. | 제1 유전체 표면과 제2 금속성 표면을 포함한 기판 상에 타겟 막을 선택적으로 형성하기 위한 방법 |
CN112885692A (zh) | 2019-11-29 | 2021-06-01 | Asm Ip私人控股有限公司 | 基板处理设备 |
CN112885693A (zh) | 2019-11-29 | 2021-06-01 | Asm Ip私人控股有限公司 | 基板处理设备 |
JP2021090042A (ja) | 2019-12-02 | 2021-06-10 | エーエスエム アイピー ホールディング ビー.ブイ. | 基板処理装置、基板処理方法 |
KR20210070898A (ko) | 2019-12-04 | 2021-06-15 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 장치 |
KR20210078405A (ko) | 2019-12-17 | 2021-06-28 | 에이에스엠 아이피 홀딩 비.브이. | 바나듐 나이트라이드 층을 형성하는 방법 및 바나듐 나이트라이드 층을 포함하는 구조 |
KR20210080214A (ko) | 2019-12-19 | 2021-06-30 | 에이에스엠 아이피 홀딩 비.브이. | 기판 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조 |
KR20210095050A (ko) | 2020-01-20 | 2021-07-30 | 에이에스엠 아이피 홀딩 비.브이. | 박막 형성 방법 및 박막 표면 개질 방법 |
TW202130846A (zh) | 2020-02-03 | 2021-08-16 | 荷蘭商Asm Ip私人控股有限公司 | 形成包括釩或銦層的結構之方法 |
KR20210100010A (ko) | 2020-02-04 | 2021-08-13 | 에이에스엠 아이피 홀딩 비.브이. | 대형 물품의 투과율 측정을 위한 방법 및 장치 |
US11776846B2 (en) | 2020-02-07 | 2023-10-03 | Asm Ip Holding B.V. | Methods for depositing gap filling fluids and related systems and devices |
TW202146715A (zh) | 2020-02-17 | 2021-12-16 | 荷蘭商Asm Ip私人控股有限公司 | 用於生長磷摻雜矽層之方法及其系統 |
US11380697B2 (en) | 2020-02-25 | 2022-07-05 | Tokyo Electron Limited | Raised pad formations for contacts in three-dimensional structures on microelectronic workpieces |
US11876356B2 (en) | 2020-03-11 | 2024-01-16 | Asm Ip Holding B.V. | Lockout tagout assembly and system and method of using same |
KR20210116240A (ko) | 2020-03-11 | 2021-09-27 | 에이에스엠 아이피 홀딩 비.브이. | 조절성 접합부를 갖는 기판 핸들링 장치 |
KR20210124042A (ko) | 2020-04-02 | 2021-10-14 | 에이에스엠 아이피 홀딩 비.브이. | 박막 형성 방법 |
TW202146689A (zh) | 2020-04-03 | 2021-12-16 | 荷蘭商Asm Ip控股公司 | 阻障層形成方法及半導體裝置的製造方法 |
TW202145344A (zh) | 2020-04-08 | 2021-12-01 | 荷蘭商Asm Ip私人控股有限公司 | 用於選擇性蝕刻氧化矽膜之設備及方法 |
US11821078B2 (en) | 2020-04-15 | 2023-11-21 | Asm Ip Holding B.V. | Method for forming precoat film and method for forming silicon-containing film |
TW202146831A (zh) | 2020-04-24 | 2021-12-16 | 荷蘭商Asm Ip私人控股有限公司 | 垂直批式熔爐總成、及用於冷卻垂直批式熔爐之方法 |
KR20210132600A (ko) | 2020-04-24 | 2021-11-04 | 에이에스엠 아이피 홀딩 비.브이. | 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템 |
JP2021172884A (ja) | 2020-04-24 | 2021-11-01 | エーエスエム・アイピー・ホールディング・ベー・フェー | 窒化バナジウム含有層を形成する方法および窒化バナジウム含有層を含む構造体 |
KR20210134869A (ko) | 2020-05-01 | 2021-11-11 | 에이에스엠 아이피 홀딩 비.브이. | Foup 핸들러를 이용한 foup의 빠른 교환 |
KR20210141379A (ko) | 2020-05-13 | 2021-11-23 | 에이에스엠 아이피 홀딩 비.브이. | 반응기 시스템용 레이저 정렬 고정구 |
KR20210143653A (ko) | 2020-05-19 | 2021-11-29 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 장치 |
KR20210145078A (ko) | 2020-05-21 | 2021-12-01 | 에이에스엠 아이피 홀딩 비.브이. | 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법 |
TW202201602A (zh) | 2020-05-29 | 2022-01-01 | 荷蘭商Asm Ip私人控股有限公司 | 基板處理方法 |
TW202218133A (zh) | 2020-06-24 | 2022-05-01 | 荷蘭商Asm Ip私人控股有限公司 | 形成含矽層之方法 |
TW202217953A (zh) | 2020-06-30 | 2022-05-01 | 荷蘭商Asm Ip私人控股有限公司 | 基板處理方法 |
KR20220010438A (ko) | 2020-07-17 | 2022-01-25 | 에이에스엠 아이피 홀딩 비.브이. | 포토리소그래피에 사용하기 위한 구조체 및 방법 |
TW202204662A (zh) | 2020-07-20 | 2022-02-01 | 荷蘭商Asm Ip私人控股有限公司 | 用於沉積鉬層之方法及系統 |
KR20220027026A (ko) | 2020-08-26 | 2022-03-07 | 에이에스엠 아이피 홀딩 비.브이. | 금속 실리콘 산화물 및 금속 실리콘 산질화물 층을 형성하기 위한 방법 및 시스템 |
USD990534S1 (en) | 2020-09-11 | 2023-06-27 | Asm Ip Holding B.V. | Weighted lift pin |
USD1012873S1 (en) | 2020-09-24 | 2024-01-30 | Asm Ip Holding B.V. | Electrode for semiconductor processing apparatus |
TW202229613A (zh) | 2020-10-14 | 2022-08-01 | 荷蘭商Asm Ip私人控股有限公司 | 於階梯式結構上沉積材料的方法 |
TW202217037A (zh) | 2020-10-22 | 2022-05-01 | 荷蘭商Asm Ip私人控股有限公司 | 沉積釩金屬的方法、結構、裝置及沉積總成 |
TW202223136A (zh) | 2020-10-28 | 2022-06-16 | 荷蘭商Asm Ip私人控股有限公司 | 用於在基板上形成層之方法、及半導體處理系統 |
KR20220076343A (ko) | 2020-11-30 | 2022-06-08 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 장치의 반응 챔버 내에 배열되도록 구성된 인젝터 |
CN114639631A (zh) | 2020-12-16 | 2022-06-17 | Asm Ip私人控股有限公司 | 跳动和摆动测量固定装置 |
TW202231903A (zh) | 2020-12-22 | 2022-08-16 | 荷蘭商Asm Ip私人控股有限公司 | 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成 |
USD981973S1 (en) | 2021-05-11 | 2023-03-28 | Asm Ip Holding B.V. | Reactor wall for substrate processing apparatus |
USD980814S1 (en) | 2021-05-11 | 2023-03-14 | Asm Ip Holding B.V. | Gas distributor for substrate processing apparatus |
USD980813S1 (en) | 2021-05-11 | 2023-03-14 | Asm Ip Holding B.V. | Gas flow control plate for substrate processing apparatus |
USD990441S1 (en) | 2021-09-07 | 2023-06-27 | Asm Ip Holding B.V. | Gas flow control plate |
Family Cites Families (186)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
FI119941B (fi) * | 1999-10-15 | 2009-05-15 | Asm Int | Menetelmä nanolaminaattien valmistamiseksi |
FI117944B (fi) | 1999-10-15 | 2007-04-30 | Asm Int | Menetelmä siirtymämetallinitridiohutkalvojen kasvattamiseksi |
US3708728A (en) * | 1971-06-10 | 1973-01-02 | Int Standard Electric Corp | Electrolytic capacitor electrode comprising semiconductor core with film-forming metal coating |
US4085430A (en) * | 1974-01-24 | 1978-04-18 | U.S. Philips Corporation | Thin film magnetic head with a gap formed between a loop shaped core part and a bridging core part |
SE393967B (sv) | 1974-11-29 | 1977-05-31 | Sateko Oy | Forfarande och for utforande av stroleggning mellan lagren i ett virkespaket |
US4282267A (en) * | 1979-09-20 | 1981-08-04 | Western Electric Co., Inc. | Methods and apparatus for generating plasmas |
US4389973A (en) * | 1980-03-18 | 1983-06-28 | Oy Lohja Ab | Apparatus for performing growth of compound thin films |
JPS5833841A (ja) | 1981-08-24 | 1983-02-28 | Hitachi Ltd | 半導体装置 |
EP0149044B1 (en) * | 1983-11-11 | 1987-05-13 | Research Development Corporation of Japan | Boron nitride containing titanium nitride, method of producing the same and composite ceramics produced therefrom |
US5693139A (en) | 1984-07-26 | 1997-12-02 | Research Development Corporation Of Japan | Growth of doped semiconductor monolayers |
GB8516537D0 (en) * | 1985-06-29 | 1985-07-31 | Standard Telephones Cables Ltd | Pulsed plasma apparatus |
US5769950A (en) * | 1985-07-23 | 1998-06-23 | Canon Kabushiki Kaisha | Device for forming deposited film |
US4761269A (en) * | 1986-06-12 | 1988-08-02 | Crystal Specialties, Inc. | Apparatus for depositing material on a substrate |
US4747367A (en) * | 1986-06-12 | 1988-05-31 | Crystal Specialties, Inc. | Method and apparatus for producing a constant flow, constant pressure chemical vapor deposition |
US4767494A (en) * | 1986-07-04 | 1988-08-30 | Nippon Telegraph & Telephone Corporation | Preparation process of compound semiconductor |
US5221556A (en) * | 1987-06-24 | 1993-06-22 | Epsilon Technology, Inc. | Gas injectors for reaction chambers in CVD systems |
US5166092A (en) | 1988-01-28 | 1992-11-24 | Fujitsu Limited | Method of growing compound semiconductor epitaxial layer by atomic layer epitaxy |
US4851095A (en) * | 1988-02-08 | 1989-07-25 | Optical Coating Laboratory, Inc. | Magnetron sputtering apparatus and process |
US4981724A (en) | 1988-10-27 | 1991-01-01 | Hochberg Arthur K | Deposition of silicon oxide films using alkylsilane liquid sources |
JPH0831454B2 (ja) | 1989-04-21 | 1996-03-27 | 日本電気株式会社 | 半導体装置の製造方法 |
US5549937A (en) * | 1989-10-11 | 1996-08-27 | U.S. Philips Corporation | Method of plasma-activated reactive deposition of electrically conducting multicomponent material from a gas phase |
JP2822536B2 (ja) | 1990-02-14 | 1998-11-11 | 住友電気工業株式会社 | 立方晶窒化ホウ素薄膜の形成方法 |
US5071670A (en) | 1990-06-11 | 1991-12-10 | Kelly Michael A | Method for chemical vapor deposition under a single reactor vessel divided into separate reaction chambers each with its own depositing and exhausting means |
US5225366A (en) * | 1990-06-22 | 1993-07-06 | The United States Of America As Represented By The Secretary Of The Navy | Apparatus for and a method of growing thin films of elemental semiconductors |
US5382333A (en) * | 1990-07-30 | 1995-01-17 | Mitsubishi Gas Chemical Company, Inc. | Process for producing copper clad laminate |
US5356673A (en) | 1991-03-18 | 1994-10-18 | Jet Process Corporation | Evaporation system and method for gas jet deposition of thin film materials |
US5316793A (en) * | 1992-07-27 | 1994-05-31 | Texas Instruments Incorporated | Directed effusive beam atomic layer epitaxy system and method |
US5270247A (en) | 1991-07-12 | 1993-12-14 | Fujitsu Limited | Atomic layer epitaxy of compound semiconductor |
US5242530A (en) | 1991-08-05 | 1993-09-07 | International Business Machines Corporation | Pulsed gas plasma-enhanced chemical vapor deposition of silicon |
CA2059818C (en) | 1991-08-21 | 1995-01-03 | Gokichi Hatouchi | Apparatus for storing articles |
JPH05335257A (ja) | 1992-06-03 | 1993-12-17 | Showa Shell Sekiyu Kk | p型シリコンカーバイドの形成方法 |
US5342652A (en) * | 1992-06-15 | 1994-08-30 | Materials Research Corporation | Method of nucleating tungsten on titanium nitride by CVD without silane |
JPH0637041A (ja) | 1992-07-15 | 1994-02-10 | Hitachi Ltd | 配線部材の形成方法 |
US5306666A (en) * | 1992-07-24 | 1994-04-26 | Nippon Steel Corporation | Process for forming a thin metal film by chemical vapor deposition |
JPH0669157A (ja) | 1992-08-21 | 1994-03-11 | Sony Corp | チタン膜の形成方法 |
FR2695944B1 (fr) * | 1992-09-24 | 1994-11-18 | Onera (Off Nat Aerospatiale) | Appareil de dépôt chimique en phase vapeur activé par un plasma micro-ondes. |
US5443647A (en) * | 1993-04-28 | 1995-08-22 | The United States Of America As Represented By The Secretary Of The Army | Method and apparatus for depositing a refractory thin film by chemical vapor deposition |
US5438028A (en) * | 1993-10-15 | 1995-08-01 | Texaco Inc. | Method of enhancing finished catalysts |
JP3356531B2 (ja) | 1994-02-15 | 2002-12-16 | 東京エレクトロン株式会社 | ボロン含有ポリシリコン膜の形成方法 |
US6200389B1 (en) * | 1994-07-18 | 2001-03-13 | Silicon Valley Group Thermal Systems Llc | Single body injector and deposition chamber |
FI97731C (fi) * | 1994-11-28 | 1997-02-10 | Mikrokemia Oy | Menetelmä ja laite ohutkalvojen valmistamiseksi |
FI100409B (fi) | 1994-11-28 | 1997-11-28 | Asm Int | Menetelmä ja laitteisto ohutkalvojen valmistamiseksi |
FI97730C (fi) * | 1994-11-28 | 1997-02-10 | Mikrokemia Oy | Laitteisto ohutkalvojen valmistamiseksi |
DE69517158T2 (de) | 1994-11-30 | 2001-01-25 | Micron Technology Inc | Verfahren zum auftragen von wolframnitrid unter verwendung eines silicium enthaltenden gases |
US5780164A (en) | 1994-12-12 | 1998-07-14 | The Dow Chemical Company | Computer disk substrate, the process for making same, and the material made therefrom |
WO1996018756A1 (en) | 1994-12-16 | 1996-06-20 | Nkt Research Center A/S | A PA-CVD PROCESS FOR DEPOSITION OF A SOLID METAL-CONTAINING FILM ONTO A SUBSTRATE CONTAINING AT LEAST 50 % of Fe or WC |
WO1996020298A1 (de) | 1994-12-27 | 1996-07-04 | Siemens Aktiengesellschaft | Verfahren zum herstellen von mit bor dotiertem, einkristallinem siliciumcarbid |
US6006763A (en) | 1995-01-11 | 1999-12-28 | Seiko Epson Corporation | Surface treatment method |
JPH08264530A (ja) | 1995-03-20 | 1996-10-11 | Fujitsu Ltd | 半導体装置の製造方法及び半導体装置の製造装置 |
DE19514081A1 (de) | 1995-04-13 | 1996-10-17 | Siemens Ag | Verfahren zum Herstellen eines elektrischen Kontakts auf einer SiC-Oberfläche |
US5744254A (en) * | 1995-05-24 | 1998-04-28 | Virginia Tech Intellectual Properties, Inc. | Composite materials including metallic matrix composite reinforcements |
US5595784A (en) * | 1995-08-01 | 1997-01-21 | Kaim; Robert | Titanium nitride and multilayers formed by chemical vapor deposition of titanium halides |
JPH0987857A (ja) | 1995-09-27 | 1997-03-31 | Res Dev Corp Of Japan | プラズマcvdによる炭化物コーティング方法 |
TW328971B (en) | 1995-10-30 | 1998-04-01 | Dow Corning | Method for depositing Si-O containing coatings |
KR0155918B1 (ko) * | 1995-11-03 | 1998-12-01 | 김광호 | 선택적 텅스텐질화박막을 이용한 반도체장치의 캐패시터 형성방법 |
US6509098B1 (en) | 1995-11-17 | 2003-01-21 | Massachusetts Institute Of Technology | Poly(ethylene oxide) coated surfaces |
US5672054A (en) * | 1995-12-07 | 1997-09-30 | Carrier Corporation | Rotary compressor with reduced lubrication sensitivity |
US5946594A (en) | 1996-01-02 | 1999-08-31 | Micron Technology, Inc. | Chemical vapor deposition of titanium from titanium tetrachloride and hydrocarbon reactants |
US5965004A (en) | 1996-03-13 | 1999-10-12 | Sterling Pulp Chemicals, Ltd. | Chlorine dioxide generation for water treatment |
CA2172870A1 (en) | 1996-03-28 | 1997-09-29 | Michael Lambert | Connectors for a modular building set |
US5789024A (en) * | 1996-05-15 | 1998-08-04 | New Jersey Institute Of Technology | Subnanoscale composite, N2-permselective membrane for the separation of volatile organic compounds |
US6342277B1 (en) * | 1996-08-16 | 2002-01-29 | Licensee For Microelectronics: Asm America, Inc. | Sequential chemical vapor deposition |
US5915004A (en) * | 1996-07-11 | 1999-06-22 | Microsoft Corporation | Moving a messaging system mailbox |
US5916365A (en) * | 1996-08-16 | 1999-06-29 | Sherman; Arthur | Sequential chemical vapor deposition |
FI100758B (fi) | 1996-09-11 | 1998-02-13 | Planar Internat Oy Ltd | Menetelmä ZnS:Mn-loisteainekerroksen kasvattamiseksi ohutkalvoelektrol uminenssikomponentteja varten |
JP3353874B2 (ja) | 1996-09-24 | 2002-12-03 | シャープ株式会社 | 半導体装置及びその製造方法 |
EP0841690B1 (en) * | 1996-11-12 | 2006-03-01 | Samsung Electronics Co., Ltd. | Tungsten nitride (WNx) layer manufacturing method and metal wiring manufacturing method |
TW320752B (en) * | 1996-11-18 | 1997-11-21 | United Microelectronics Corp | Metal gate electrode process |
US6174377B1 (en) | 1997-03-03 | 2001-01-16 | Genus, Inc. | Processing chamber for atomic layer deposition processes |
US6156382A (en) | 1997-05-16 | 2000-12-05 | Applied Materials, Inc. | Chemical vapor deposition process for depositing tungsten |
US6287965B1 (en) | 1997-07-28 | 2001-09-11 | Samsung Electronics Co, Ltd. | Method of forming metal layer using atomic layer deposition and semiconductor device having the metal layer as barrier metal layer or upper or lower electrode of capacitor |
KR100269306B1 (ko) | 1997-07-31 | 2000-10-16 | 윤종용 | 저온처리로안정화되는금속산화막으로구성된완충막을구비하는집적회로장치및그제조방법 |
KR100261017B1 (ko) | 1997-08-19 | 2000-08-01 | 윤종용 | 반도체 장치의 금속 배선층을 형성하는 방법 |
US5913145A (en) | 1997-08-28 | 1999-06-15 | Texas Instruments Incorporated | Method for fabricating thermally stable contacts with a diffusion barrier formed at high temperatures |
US6348376B2 (en) | 1997-09-29 | 2002-02-19 | Samsung Electronics Co., Ltd. | Method of forming metal nitride film by chemical vapor deposition and method of forming metal contact and capacitor of semiconductor device using the same |
KR100274603B1 (ko) | 1997-10-01 | 2001-01-15 | 윤종용 | 반도체장치의제조방법및그의제조장치 |
US5972430A (en) | 1997-11-26 | 1999-10-26 | Advanced Technology Materials, Inc. | Digital chemical vapor deposition (CVD) method for forming a multi-component oxide layer |
US6099904A (en) * | 1997-12-02 | 2000-08-08 | Applied Materials, Inc. | Low resistivity W using B2 H6 nucleation step |
FI104383B (fi) * | 1997-12-09 | 2000-01-14 | Fortum Oil & Gas Oy | Menetelmä laitteistojen sisäpintojen päällystämiseksi |
US6104074A (en) * | 1997-12-11 | 2000-08-15 | Apa Optics, Inc. | Schottky barrier detectors for visible-blind ultraviolet detection |
KR100269328B1 (ko) * | 1997-12-31 | 2000-10-16 | 윤종용 | 원자층 증착 공정을 이용하는 도전층 형성방법 |
US6015917A (en) | 1998-01-23 | 2000-01-18 | Advanced Technology Materials, Inc. | Tantalum amide precursors for deposition of tantalum nitride on a substrate |
KR100287174B1 (ko) | 1998-03-17 | 2001-04-16 | 윤종용 | 다원자계산화물 및 질화물의박막제조방법 |
US6380627B1 (en) * | 1998-06-26 | 2002-04-30 | The Regents Of The University Of California | Low resistance barrier layer for isolating, adhering, and passivating copper metal in semiconductor fabrication |
DE19832245A1 (de) | 1998-07-17 | 2000-01-20 | Heidelberger Druckmasch Ag | Verfahren zum Bedienen von Geräten in der Reproduktionstechnik |
JP2960928B1 (ja) * | 1998-07-24 | 1999-10-12 | スタンレー電気株式会社 | 車両用信号灯具 |
KR100275738B1 (ko) * | 1998-08-07 | 2000-12-15 | 윤종용 | 원자층 증착법을 이용한 박막 제조방법 |
US6188134B1 (en) * | 1998-08-20 | 2001-02-13 | The United States Of America As Represented By The Secretary Of The Navy | Electronic devices with rubidium barrier film and process for making same |
JP3142821B2 (ja) * | 1998-08-27 | 2001-03-07 | 株式会社エヌ・ティ・ティ・ドコモ | 情報通信ネットワークの課金方法 |
TR200102340T2 (tr) | 1999-02-11 | 2002-01-21 | Hardide Limited | Tungstenli karbür kaplamalar ve bunların üretimine yönelik prosesler. |
AU3229600A (en) | 1999-02-12 | 2000-08-29 | Gelest, Inc. | Chemical vapor deposition of tungsten nitride |
US6200893B1 (en) | 1999-03-11 | 2001-03-13 | Genus, Inc | Radical-assisted sequential CVD |
KR20000060438A (ko) | 1999-03-16 | 2000-10-16 | 이경수 | 산화알루미늄 막의 형성 방법 |
KR100273473B1 (ko) | 1999-04-06 | 2000-11-15 | 이경수 | 박막 형성 방법 |
US6124158A (en) | 1999-06-08 | 2000-09-26 | Lucent Technologies Inc. | Method of reducing carbon contamination of a thin dielectric film by using gaseous organic precursors, inert gas, and ozone to react with carbon contaminants |
US6613383B1 (en) | 1999-06-21 | 2003-09-02 | Regents Of The University Of Colorado | Atomic layer controlled deposition on particle surfaces |
US6391785B1 (en) * | 1999-08-24 | 2002-05-21 | Interuniversitair Microelektronica Centrum (Imec) | Method for bottomless deposition of barrier layers in integrated circuit metallization schemes |
US6511539B1 (en) * | 1999-09-08 | 2003-01-28 | Asm America, Inc. | Apparatus and method for growth of a thin film |
US6576053B1 (en) * | 1999-10-06 | 2003-06-10 | Samsung Electronics Co., Ltd. | Method of forming thin film using atomic layer deposition method |
FI117942B (fi) | 1999-10-14 | 2007-04-30 | Asm Int | Menetelmä oksidiohutkalvojen kasvattamiseksi |
US6475276B1 (en) | 1999-10-15 | 2002-11-05 | Asm Microchemistry Oy | Production of elemental thin films using a boron-containing reducing agent |
EP1221178A1 (en) | 1999-10-15 | 2002-07-10 | ASM America, Inc. | Method for depositing nanolaminate thin films on sensitive surfaces |
US6727169B1 (en) * | 1999-10-15 | 2004-04-27 | Asm International, N.V. | Method of making conformal lining layers for damascene metallization |
KR100737901B1 (ko) | 1999-10-15 | 2007-07-10 | 에이에스엠 인터내셔널 엔.브이. | 민감한 표면에 나노적층박막을 증착하는 방법 |
DE60028394T2 (de) | 1999-10-15 | 2007-03-29 | Asm International N.V. | Konforme auskleidungsschichten für damaszenmetallisierungen |
US6203613B1 (en) * | 1999-10-19 | 2001-03-20 | International Business Machines Corporation | Atomic layer deposition with nitrate containing precursors |
US6780704B1 (en) | 1999-12-03 | 2004-08-24 | Asm International Nv | Conformal thin films over textured capacitor electrodes |
FI20000099A0 (fi) * | 2000-01-18 | 2000-01-18 | Asm Microchemistry Ltd | Menetelmä metalliohutkalvojen kasvattamiseksi |
KR20010075977A (ko) | 2000-01-21 | 2001-08-11 | 윤덕용 | 부가 단량체 단일선구물질을 이용한 13 족 질화물 박막의제조방법 |
US6137706A (en) | 2000-02-19 | 2000-10-24 | Pulizzi Engineering Inc | Dual-input, automatic-switching power supply |
KR100803770B1 (ko) | 2000-03-07 | 2008-02-15 | 에이에스엠 인터내셔널 엔.브이. | 구배(graded)박막 |
KR20010088044A (ko) | 2000-03-10 | 2001-09-26 | 윤종용 | 위성 이동통신단말기의 안테나 장치 |
WO2001078123A1 (en) | 2000-04-11 | 2001-10-18 | Genitech Co., Ltd. | Method of forming metal interconnects |
DE10018156A1 (de) | 2000-04-12 | 2001-10-25 | Bosch Gmbh Robert | Elektromotor |
US6482733B2 (en) | 2000-05-15 | 2002-11-19 | Asm Microchemistry Oy | Protective layers prior to alternating layer deposition |
AU2001260374A1 (en) | 2000-05-15 | 2001-11-26 | Asm Microchemistry Oy | Process for producing integrated circuits |
US6194310B1 (en) * | 2000-06-01 | 2001-02-27 | Sharp Laboratories Of America, Inc. | Method of forming amorphous conducting diffusion barriers |
EP2293322A1 (en) * | 2000-06-08 | 2011-03-09 | Genitech, Inc. | Method for forming a metal nitride layer |
US20040224504A1 (en) | 2000-06-23 | 2004-11-11 | Gadgil Prasad N. | Apparatus and method for plasma enhanced monolayer processing |
US7101795B1 (en) | 2000-06-28 | 2006-09-05 | Applied Materials, Inc. | Method and apparatus for depositing refractory metal layers employing sequential deposition techniques to form a nucleation layer |
US7405158B2 (en) * | 2000-06-28 | 2008-07-29 | Applied Materials, Inc. | Methods for depositing tungsten layers employing atomic layer deposition techniques |
US6355561B1 (en) * | 2000-11-21 | 2002-03-12 | Micron Technology, Inc. | ALD method to improve surface coverage |
US20020104481A1 (en) * | 2000-12-06 | 2002-08-08 | Chiang Tony P. | System and method for modulated ion-induced atomic layer deposition (MII-ALD) |
KR100386034B1 (ko) * | 2000-12-06 | 2003-06-02 | 에이에스엠 마이크로케미스트리 리미티드 | 확산 방지막의 결정립계를 금속산화물로 충진한 구리 배선구조의 반도체 소자 제조 방법 |
US6464779B1 (en) | 2001-01-19 | 2002-10-15 | Novellus Systems, Inc. | Copper atomic layer chemical vapor desposition |
FI109770B (fi) * | 2001-03-16 | 2002-10-15 | Asm Microchemistry Oy | Menetelmä metallinitridiohutkalvojen valmistamiseksi |
KR100532081B1 (ko) | 2001-05-14 | 2005-11-30 | 엘지.필립스 엘시디 주식회사 | 박막 트랜지스터 표시소자의 인듐 틴 옥사이드 재생방법 |
KR100404342B1 (ko) | 2001-07-10 | 2003-11-03 | 주식회사 아펙스 | 자외선 조사장치 |
US7211144B2 (en) * | 2001-07-13 | 2007-05-01 | Applied Materials, Inc. | Pulsed nucleation deposition of tungsten layers |
US20040206008A1 (en) | 2001-07-16 | 2004-10-21 | Chien-Min Sung | SiCN compositions and methods |
US7098131B2 (en) | 2001-07-19 | 2006-08-29 | Samsung Electronics Co., Ltd. | Methods for forming atomic layers and thin films including tantalum nitride and devices including the same |
DE50205120D1 (de) | 2001-08-06 | 2006-01-05 | Degussa | Organosiliciumverbindungen |
JP4666912B2 (ja) | 2001-08-06 | 2011-04-06 | エー・エス・エムジニテックコリア株式会社 | プラズマで補強した原子層蒸着装置及びこれを利用した薄膜形成方法 |
DE10140256B4 (de) | 2001-08-07 | 2012-09-06 | Bos Gmbh & Co. Kg | Schutzvorrichtung für einen Laderaum eines Fahrzeugs |
AU2002333601A1 (en) * | 2001-09-14 | 2003-04-01 | Asm America, Inc. | Metal nitride deposition by ald using gettering reactant |
US20030049931A1 (en) * | 2001-09-19 | 2003-03-13 | Applied Materials, Inc. | Formation of refractory metal nitrides using chemisorption techniques |
US6960537B2 (en) * | 2001-10-02 | 2005-11-01 | Asm America, Inc. | Incorporation of nitrogen into high k dielectric film |
TW589684B (en) * | 2001-10-10 | 2004-06-01 | Applied Materials Inc | Method for depositing refractory metal layers employing sequential deposition techniques |
KR100405259B1 (ko) | 2001-10-19 | 2003-11-12 | 홍영기 | 소방용 관창의 멜빵 |
KR100441568B1 (ko) | 2001-11-16 | 2004-07-23 | 한스타 디스플레이 코퍼레이션 | 열소산 구조물 |
US6809026B2 (en) | 2001-12-21 | 2004-10-26 | Applied Materials, Inc. | Selective deposition of a barrier layer on a metal film |
US20030123216A1 (en) * | 2001-12-27 | 2003-07-03 | Yoon Hyungsuk A. | Deposition of tungsten for the formation of conformal tungsten silicide |
US6827978B2 (en) * | 2002-02-11 | 2004-12-07 | Applied Materials, Inc. | Deposition of tungsten films |
US20030157760A1 (en) * | 2002-02-20 | 2003-08-21 | Applied Materials, Inc. | Deposition of tungsten films for dynamic random access memory (DRAM) applications |
US6833161B2 (en) * | 2002-02-26 | 2004-12-21 | Applied Materials, Inc. | Cyclical deposition of tungsten nitride for metal oxide gate electrode |
US6972267B2 (en) * | 2002-03-04 | 2005-12-06 | Applied Materials, Inc. | Sequential deposition of tantalum nitride using a tantalum-containing precursor and a nitrogen-containing precursor |
US20030194825A1 (en) | 2002-04-10 | 2003-10-16 | Kam Law | Deposition of gate metallization for active matrix liquid crystal display (AMLCD) applications |
US20030203616A1 (en) | 2002-04-24 | 2003-10-30 | Applied Materials, Inc. | Atomic layer deposition of tungsten barrier layers using tungsten carbonyls and boranes for copper metallization |
US20040129212A1 (en) | 2002-05-20 | 2004-07-08 | Gadgil Pradad N. | Apparatus and method for delivery of reactive chemical precursors to the surface to be treated |
KR100505043B1 (ko) | 2002-05-25 | 2005-07-29 | 삼성전자주식회사 | 커패시터 형성 방법 |
KR20030093575A (ko) | 2002-06-03 | 2003-12-11 | 주식회사 하이닉스반도체 | 고선택성 질화막을 이용한 캐패시터 제조방법 |
US7041335B2 (en) | 2002-06-04 | 2006-05-09 | Applied Materials, Inc. | Titanium tantalum nitride silicide layer |
US6838125B2 (en) * | 2002-07-10 | 2005-01-04 | Applied Materials, Inc. | Method of film deposition using activated precursor gases |
US7144806B1 (en) | 2002-10-23 | 2006-12-05 | Novellus Systems, Inc. | ALD of tantalum using a hydride reducing agent |
US7045406B2 (en) * | 2002-12-03 | 2006-05-16 | Asm International, N.V. | Method of forming an electrode with adjusted work function |
US7198820B2 (en) * | 2003-02-06 | 2007-04-03 | Planar Systems, Inc. | Deposition of carbon- and transition metal-containing thin films |
JP2007523994A (ja) * | 2003-06-18 | 2007-08-23 | アプライド マテリアルズ インコーポレイテッド | バリヤ物質の原子層堆積 |
US20050064247A1 (en) * | 2003-06-25 | 2005-03-24 | Ajit Sane | Composite refractory metal carbide coating on a substrate and method for making thereof |
US7030430B2 (en) * | 2003-08-15 | 2006-04-18 | Intel Corporation | Transition metal alloys for use as a gate electrode and devices incorporating these alloys |
US20050095763A1 (en) * | 2003-10-29 | 2005-05-05 | Samavedam Srikanth B. | Method of forming an NMOS transistor and structure thereof |
US7186446B2 (en) * | 2003-10-31 | 2007-03-06 | International Business Machines Corporation | Plasma enhanced ALD of tantalum nitride and bilayer |
US20050252449A1 (en) | 2004-05-12 | 2005-11-17 | Nguyen Son T | Control of gas flow and delivery to suppress the formation of particles in an MOCVD/ALD system |
US7211507B2 (en) * | 2004-06-02 | 2007-05-01 | International Business Machines Corporation | PE-ALD of TaN diffusion barrier region on low-k materials |
JP2006079159A (ja) * | 2004-09-07 | 2006-03-23 | Masui Yoshiharu | 防犯装置 |
KR100552820B1 (ko) * | 2004-09-17 | 2006-02-21 | 동부아남반도체 주식회사 | 반도체 소자의 제조 방법 |
KR100714269B1 (ko) * | 2004-10-14 | 2007-05-02 | 삼성전자주식회사 | 반도체 소자 제조에 사용되는 금속층 형성방법 |
KR100773755B1 (ko) * | 2004-11-18 | 2007-11-09 | 주식회사 아이피에스 | 플라즈마 ald 박막증착방법 |
US7846499B2 (en) * | 2004-12-30 | 2010-12-07 | Asm International N.V. | Method of pulsing vapor precursors in an ALD reactor |
KR100640550B1 (ko) | 2005-01-26 | 2006-10-31 | 주식회사 아이피에스 | 플라즈마 ald 박막증착방법 |
US20060240187A1 (en) | 2005-01-27 | 2006-10-26 | Applied Materials, Inc. | Deposition of an intermediate catalytic layer on a barrier layer for copper metallization |
US7438949B2 (en) * | 2005-01-27 | 2008-10-21 | Applied Materials, Inc. | Ruthenium containing layer deposition method |
JP4931171B2 (ja) | 2005-03-03 | 2012-05-16 | 株式会社アルバック | タンタル窒化物膜の形成方法 |
US8486845B2 (en) | 2005-03-21 | 2013-07-16 | Tokyo Electron Limited | Plasma enhanced atomic layer deposition system and method |
US7459392B2 (en) | 2005-03-31 | 2008-12-02 | Intel Corporation | Noble metal barrier and seed layer for semiconductors |
US7220671B2 (en) * | 2005-03-31 | 2007-05-22 | Intel Corporation | Organometallic precursors for the chemical phase deposition of metal films in interconnect applications |
US7927948B2 (en) * | 2005-07-20 | 2011-04-19 | Micron Technology, Inc. | Devices with nanocrystals and methods of formation |
US7547796B2 (en) * | 2005-09-29 | 2009-06-16 | Praxair Technology, Inc. | Organometallic compounds, processes for the preparation thereof and methods of use thereof |
US8993055B2 (en) * | 2005-10-27 | 2015-03-31 | Asm International N.V. | Enhanced thin film deposition |
US7407876B2 (en) * | 2006-03-20 | 2008-08-05 | Tokyo Electron Limited | Method of plasma enhanced atomic layer deposition of TaC and TaCN films having good adhesion to copper |
JP4838766B2 (ja) * | 2006-10-20 | 2011-12-14 | 古河機械金属株式会社 | Iii族窒化物半導体基板の製造方法およびiii族窒化物半導体基板 |
US8268409B2 (en) * | 2006-10-25 | 2012-09-18 | Asm America, Inc. | Plasma-enhanced deposition of metal carbide films |
US8795771B2 (en) | 2006-10-27 | 2014-08-05 | Sean T. Barry | ALD of metal-containing films using cyclopentadienyl compounds |
US7611751B2 (en) * | 2006-11-01 | 2009-11-03 | Asm America, Inc. | Vapor deposition of metal carbide films |
US7598170B2 (en) * | 2007-01-26 | 2009-10-06 | Asm America, Inc. | Plasma-enhanced ALD of tantalum nitride films |
US7713874B2 (en) | 2007-05-02 | 2010-05-11 | Asm America, Inc. | Periodic plasma annealing in an ALD-type process |
US7666474B2 (en) * | 2008-05-07 | 2010-02-23 | Asm America, Inc. | Plasma-enhanced pulsed deposition of metal carbide films |
-
2009
- 2009-04-15 US US12/424,470 patent/US20090315093A1/en not_active Abandoned
- 2009-04-15 JP JP2011505180A patent/JP5551681B2/ja active Active
- 2009-04-15 KR KR1020107023348A patent/KR101540077B1/ko active IP Right Grant
- 2009-04-15 WO PCT/US2009/040705 patent/WO2009129332A2/en active Application Filing
- 2009-04-16 TW TW098112674A patent/TWI488990B/zh active
-
2013
- 2013-11-01 US US14/069,681 patent/US9631272B2/en active Active
Also Published As
Publication number | Publication date |
---|---|
JP2011520251A (ja) | 2011-07-14 |
WO2009129332A3 (en) | 2010-01-21 |
KR20100134676A (ko) | 2010-12-23 |
TWI488990B (zh) | 2015-06-21 |
WO2009129332A2 (en) | 2009-10-22 |
US20090315093A1 (en) | 2009-12-24 |
KR101540077B1 (ko) | 2015-07-28 |
US20140127405A1 (en) | 2014-05-08 |
US9631272B2 (en) | 2017-04-25 |
TW201000667A (en) | 2010-01-01 |
Similar Documents
Publication | Publication Date | Title |
---|---|---|
JP5551681B2 (ja) | アルミニウム炭化水素化合物を使用する金属炭化物膜の原子層堆積 | |
US11926895B2 (en) | Structures including metal carbide material, devices including the structures, and methods of forming same | |
US10964534B2 (en) | Enhanced thin film deposition | |
JP6813983B2 (ja) | アルミニウム及び窒素を含む材料の選択的堆積 | |
US7611751B2 (en) | Vapor deposition of metal carbide films | |
US8268409B2 (en) | Plasma-enhanced deposition of metal carbide films | |
Leskelä et al. | Atomic layer deposition (ALD): from precursors to thin film structures | |
US9466574B2 (en) | Plasma-enhanced atomic layer deposition of conductive material over dielectric layers | |
US7727864B2 (en) | Controlled composition using plasma-enhanced atomic layer deposition | |
TWI457983B (zh) | 用於在反應空間中的基板上形成薄膜的原子層沉積製程 | |
US7595270B2 (en) | Passivated stoichiometric metal nitride films |
Legal Events
Date | Code | Title | Description |
---|---|---|---|
A521 | Request for written amendment filed |
Free format text: JAPANESE INTERMEDIATE CODE: A523 Effective date: 20120410 |
|
A621 | Written request for application examination |
Free format text: JAPANESE INTERMEDIATE CODE: A621 Effective date: 20120410 |
|
RD02 | Notification of acceptance of power of attorney |
Free format text: JAPANESE INTERMEDIATE CODE: A7422 Effective date: 20130726 |
|
A977 | Report on retrieval |
Free format text: JAPANESE INTERMEDIATE CODE: A971007 Effective date: 20130830 |
|
A131 | Notification of reasons for refusal |
Free format text: JAPANESE INTERMEDIATE CODE: A131 Effective date: 20130917 |
|
A521 | Request for written amendment filed |
Free format text: JAPANESE INTERMEDIATE CODE: A523 Effective date: 20131217 |
|
A131 | Notification of reasons for refusal |
Free format text: JAPANESE INTERMEDIATE CODE: A131 Effective date: 20140121 |
|
A521 | Request for written amendment filed |
Free format text: JAPANESE INTERMEDIATE CODE: A523 Effective date: 20140415 |
|
TRDD | Decision of grant or rejection written | ||
A01 | Written decision to grant a patent or to grant a registration (utility model) |
Free format text: JAPANESE INTERMEDIATE CODE: A01 Effective date: 20140513 |
|
A61 | First payment of annual fees (during grant procedure) |
Free format text: JAPANESE INTERMEDIATE CODE: A61 Effective date: 20140522 |
|
R150 | Certificate of patent or registration of utility model |
Ref document number: 5551681 Country of ref document: JP Free format text: JAPANESE INTERMEDIATE CODE: R150 |
|
R250 | Receipt of annual fees |
Free format text: JAPANESE INTERMEDIATE CODE: R250 |
|
R250 | Receipt of annual fees |
Free format text: JAPANESE INTERMEDIATE CODE: R250 |
|
R250 | Receipt of annual fees |
Free format text: JAPANESE INTERMEDIATE CODE: R250 |
|
R250 | Receipt of annual fees |
Free format text: JAPANESE INTERMEDIATE CODE: R250 |
|
S111 | Request for change of ownership or part of ownership |
Free format text: JAPANESE INTERMEDIATE CODE: R313113 |
|
R250 | Receipt of annual fees |
Free format text: JAPANESE INTERMEDIATE CODE: R250 |
|
R350 | Written notification of registration of transfer |
Free format text: JAPANESE INTERMEDIATE CODE: R350 |
|
R250 | Receipt of annual fees |
Free format text: JAPANESE INTERMEDIATE CODE: R250 |