JP5551681B2 - アルミニウム炭化水素化合物を使用する金属炭化物膜の原子層堆積 - Google Patents

アルミニウム炭化水素化合物を使用する金属炭化物膜の原子層堆積 Download PDF

Info

Publication number
JP5551681B2
JP5551681B2 JP2011505180A JP2011505180A JP5551681B2 JP 5551681 B2 JP5551681 B2 JP 5551681B2 JP 2011505180 A JP2011505180 A JP 2011505180A JP 2011505180 A JP2011505180 A JP 2011505180A JP 5551681 B2 JP5551681 B2 JP 5551681B2
Authority
JP
Japan
Prior art keywords
metal
metal halide
tea
reaction
metal carbide
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Active
Application number
JP2011505180A
Other languages
English (en)
Other versions
JP2011520251A5 (ja
JP2011520251A (ja
Inventor
ドン リ
スティーブン マーカス
スビ ピー. ハウッカ
ウェイ−ミン リ
Original Assignee
エーエスエム アメリカ インコーポレイテッド
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by エーエスエム アメリカ インコーポレイテッド filed Critical エーエスエム アメリカ インコーポレイテッド
Publication of JP2011520251A publication Critical patent/JP2011520251A/ja
Publication of JP2011520251A5 publication Critical patent/JP2011520251A5/ja
Application granted granted Critical
Publication of JP5551681B2 publication Critical patent/JP5551681B2/ja
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/32Carbides
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45527Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02612Formation types
    • H01L21/02617Deposition types
    • H01L21/0262Reduction or decomposition of gaseous compounds, e.g. CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/28008Making conductor-insulator-semiconductor electrodes
    • H01L21/28017Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon
    • H01L21/28026Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon characterised by the conductor
    • H01L21/28088Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon characterised by the conductor the final conductor layer next to the insulator being a composite, e.g. TiN
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/401Multistep manufacturing processes
    • H01L29/4011Multistep manufacturing processes for data storage electrodes
    • H01L29/40114Multistep manufacturing processes for data storage electrodes the electrodes comprising a conductor-insulator-conductor-insulator-semiconductor structure
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/43Electrodes ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/49Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET
    • H01L29/4966Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET the conductor material next to the insulator being a composite material, e.g. organic material, TiN, MoSi2
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/283Deposition of conductive or insulating materials for electrodes conducting electric current
    • H01L21/285Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation
    • H01L21/28506Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers
    • H01L21/28512Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic System
    • H01L21/28556Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic System by chemical means, e.g. CVD, LPCVD, PECVD, laser CVD
    • H01L21/28562Selective deposition
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76843Barrier, adhesion or liner layers formed in openings in a dielectric

Description

(関連出願の参照)
本願は、米国特許法第119条第(e)項に基づき、2008年4月16日出願の米国仮出願第61/045,554号への優先権を主張する。この仮出願の開示は参照により本願明細書に援用する。
(技術分野)
本発明は、概して、蒸着プロセスに関し、より具体的には、蒸着プロセスによる金属炭化物膜の堆積に関する。
金属炭化物は、ゲート電極から拡散バリアまで、電子機器産業で広範な用途を見出した。例えば、炭化タンタル(TaC)は、n型金属酸化物半導体(NMOS)ゲート電極として使用することができる低抵抗率金属である。さらに、TaCは、金属配線と金属線との間の界面での貴金属原子の電気移動を阻止することに効果的であることが見出されている。
遷移金属元素の炭化物は、一般には、周期律表の4、5、6、7、8、9、10、および11族にあるものである。遷移金属炭化物は、比較的不活性であり、非常に高い融点を有し、極めて硬く耐磨耗性があり、かつ高い熱伝導度および金属様の電気伝導度を有する。
遷移金属炭化物は広範な範囲の組成を有することができる。秩序的および無秩序的な炭素欠損形態が存在し、そのうちでは炭化タングステン、WC、が例である。これらの形態で、炭素は、金属原子間の間隙のキャビティに存在する。
金属炭化物膜は、化学的気相成長(CVD)、物理的気相成長(PVD)および原子層堆積(ALD)を含めた種々の方法により形成されてきた。
基板が2種以上の供給源化学物質の気相パルスと逐次的かつ交互に接触する、金属炭化物膜を形成する「熱的」ALD法は、例えば特許文献1に記載されている。それに記載されている方法によれば、遷移金属源化学物質および炭素源ガスは、高温に維持される基体を含む反応空間の中へと交互にかつ逐次的にパルス供与される。パルス供与順序が、所望の厚みの金属炭化物(例えば、TaC)膜を形成するように繰り返される。ALDの自己制御的な性質に起因して、薄膜は1回の堆積サイクルあたり約1単層(ML)の割合で成長する。
六フッ化タングステン、水素および炭素含有ガスから炭化タングステンを堆積させるCVD法は、例えば、特許文献2に記載されている。この炭素含有化合物は、最初に熱的に活性化される。ガス状供給源化学物質のすべては、同時に反応空間に導入され、基板上に不揮発性炭化タングステンの堆積をもたらす。WFとトリメチルアミンとHとのCVD反応は、700℃〜800℃でWC膜を、400℃〜600℃でβ−WC膜を生成することが開示されている(非特許文献1)。H流量は、炭化タングステン膜の堆積速度に影響を及ぼすことが見出された。この開示されたプロセスについての問題は、基板温度が、特に金属化段階で最新の半導体製造作の熱供給と比べてかなり高いことである。
PVDプロセスは一般に、照準線に沿って堆積させる。PVDによって炭化タンタルを拡散バリア層用に堆積する1つの方法が、特許文献3に記載されている。炭化タンタル層は、タンタルまたは炭化タンタルをN/CH/Ar雰囲気下でスパッタリングすることによって形成された。しかしながら、照準線の堆積は、複雑な基板の輪郭は、陰の領域で薄膜被覆が不十分になるであろうということを意味する。さらに、照準線の堆積は、供給源から基板に直接到達する低揮発性の供給源物質はそれが出会う最初の固体表面に付着し、従って低共形性の被覆を生成する可能性があることを意味する。
米国特許第6,482,262号明細書 国際公開第00/47796号パンフレット 米国特許第5,973,400号明細書
Nakajimaら、J.Electrochem.Soc. 1997年、第144巻、2096−2100頁
本発明の1つの態様によれば、基体の上に金属炭化物膜を成長させるための方法が提供される。この方法は、一般に、反応空間中の基体を、金属供給源化学物質を含む第1の反応物質およびアルミニウム炭化水素化合物を含む第2の反応物質と接触させて、これによりその基体の上に金属炭化物膜を形成する工程を含む。この金属炭化物膜は、好ましくはアルミニウムを含む。
本発明のいくつかの実施形態によれば、反応空間中の基体の上に金属炭化物薄膜を形成するための原子層堆積(ALD)プロセスが提供される。この方法は、基体を第1の金属前駆体および第1のアルミニウム炭化水素化合物の気相パルスに交互にかつ逐次的に接触させて、約6〜約16%のアルミニウムを含む金属炭化物膜が形成されるようにする工程を含む。いくつかの実施形態では、このアルミニウム炭化水素化合物はトリメチルアルミニウム(TMA)、トリエチルアルミニウム(TEA)、および水素化ジメチルアルミニウム(DMAH)のうちの1以上を含む。
本発明の別の態様では、アルミニウムを含む金属炭化物膜が、アルミニウム炭化水素化合物を使用して堆積される。アルミニウムの量は、適切なアルミニウム炭化水素化合物を第2の反応物質として選択することにより制御できる。温度、圧力、パルスおよびパージ長さならびにプラズマなどの他の反応条件も、所望のアルミニウム濃度を達成するように調整することができる。いくつかの実施形態では、そのアルミニウム濃度は約6%である。他の実施形態では、アルミニウム濃度は最高約16%である。しかしながら、より高い濃度が可能である。アルミニウムの量を制御することにより、低抵抗率、良好な接着、および耐酸化性を含めた望ましい特性をもつ膜を形成することができる。
いくつかの実施形態では、当該金属炭化物膜の抵抗率は、アルミニウム炭化水素反応物質、堆積温度および堆積圧力を含めた適切な堆積条件を選択することにより制御される。
本発明の別の態様では、良好な接着特性をもつ金属炭化物を作製する方法が提供される。いくつかの実施形態では、炭化タンタル膜がハロゲン化タンタル前駆体およびTEAを使用してALDによって堆積される。
他の実施形態では、金属炭化物膜の耐酸化性は、その金属炭化物膜中のアルミニウムの量を制御することにより制御される。アルミニウムの量は、ALDプロセスにおいて浸炭剤として使用するためのアルミニウム炭化水素反応物質の選択、および他の反応条件を調整することにより、制御することができる。
いくつかの実施形態では、所望のレベルの耐酸化性をもつ金属炭化物薄膜を形成する方法が提供される。この方法は、基体を金属前駆体およびアルミニウム炭化水素化合物の気相パルスに交互にかつ逐次的に接触させることにより、金属炭化物薄膜を堆積する工程であって、1以上の反応条件が、当該金属炭化物薄膜中のアルミニウムの所望の濃度を生成するように選択され、かつ当該金属炭化物中のアルミニウムの濃度は約1〜約30%である工程を含む。
他の実施形態では、ゲート電極の仕事関数は、金属炭化物膜中のアルミニウムの量を制御することにより、決定される。このゲート電極は、例えば、フラッシュメモリ構造の中の制御ゲート(control gate)またはCMOSトランジスタの中のゲート電極であってもよい。ゲートスタックは第1のゲート電極層および第2のゲート電極層を含むことができる。この第1のゲート電極層は第1の金属炭化物ゲート電極材料を含み、第2のゲート電極層はポリシリコン、チタンまたは窒化タンタルまたはタングステンなどの第2のゲート電極材料を含む。好ましくはこの第1および第2のゲート電極材料は導電性である。好ましい実施形態では、第1のゲート電極材料は第2のゲート電極材料とは異なる。このゲート電極の仕事関数は、第1の金属炭化物ゲート電極材料によって決定されてもよい。
金属炭化物層を含むフラッシュメモリを形成するための方法も提供される。好ましい実施形態では、誘電性層(トンネル酸化物)は基体の上に堆積され、フローティングゲートはこの誘電性層の上に直接堆積される。このフローティングゲートは、例えば、ポリシリコンを含んでもよい。いくつかの実施形態では、例えばTaNOSフラッシュ構造については、電荷トラップ層がフローティングゲートの代わりに使用される。この電荷トラップ層は窒化ケイ素であってもよい。バリア酸化物(AlOなど)がフローティングゲートまたは電荷トラップ層の上に堆積され、制御ゲートがこのバリア酸化物の上に形成される。
いくつかの実施形態では、基体の上にフラッシュメモリを形成するための方法は、この基体の上に誘電性層を形成する工程と、この誘電性層の直接上におよびこれに接して電荷トラップ層を形成する工程と、この電荷トラップ層の直接上におよびこれに接してバリア酸化物を形成する工程と、このバリア酸化物の上に金属炭化物制御ゲートを形成する工程と、これらの誘電性層、電荷トラップ層、バリア酸化物および制御ゲートをエッチングしてフラッシュ構造を形成する工程と、SiOを堆積することによりこのフラッシュ構造を不動態化する工程と、を含み、この金属炭化物制御ゲートはアルミニウムを含み、SiOの堆積の間、この金属炭化物中のアルミニウムは酸素と反応して上記制御ゲートを自己不動態化する(self−passivate)方法である。
当該制御ゲートを形成する工程は、好ましくは、1以上のアルミニウム炭化水素化合物を使用してALDにより金属炭化物ゲート電極層を堆積し、当該金属炭化物層は制御ゲートの仕事関数を制御するようにする工程を含む。この金属炭化物層は、好ましくは約1〜1000Å、より好ましくは約1〜500Å、さらにより好ましくは約25〜200Åの厚さまで堆積される。温度、圧力および反応物質の選択を含めた堆積条件は、当該金属炭化物層中で所望量のアルミニウムを達成し、従って所望の仕事関数および他の特徴を生成するように調整される。例えば、アルミニウム含有量は、当該膜が良好な耐酸化性を有しかつ後のパターニングおよび/または堆積工程の間に自己不動態化できるような含有量であることが好ましい。
ポリシリコン、金属または金属窒化物層、例えば窒化チタンまたはタングステン層などのさらなる導電性物質の層が第1のゲート電極層の上に堆積されてもよい。次いでこの構造はパターン形成され、エッチングされて、例えば酸化ケイ素で不動態化される。上記パッシベーションプロセスの間、上記金属炭化物層のエッジは露出され、その金属炭化物中のアルミニウムは酸素と反応して残りの金属膜を自己不動態化する。
これらの実施形態のすべては、本願明細書中に開示される本発明の範囲内であることが意図されている。本発明のこれらおよび他の実施形態は、添付の図を参照する好ましい実施形態の以下の詳細な説明から当業者には容易に明らかとなろう。本発明は、開示されるいずれかの特定の好ましい実施形態に限定されるわけではない。
本発明は、好ましい実施形態の詳細な説明から、および添付の図面からよりよく理解されるであろう。これらの図面は、本発明を例証することが意図されているが、本発明を限定することは意図されていない。
いくつかの実施形態に係るALD型プロセスにおけるパルス供与順序のブロック図である。 いくつかの実施形態に従って形成されるフラッシュメモリ構造の概略図である。 CMOSトランジスタの中のゲート電極スタックの概略図である。
金属炭化物膜、例えば、炭化タンタル(TaC)膜は、フラッシュメモリ構造用の制御電極などの種々の構造を形成するために使用することができる。このような用途では、この膜が下に存在する材料に対する良好な接着ならびに低抵抗率および良好な耐酸化性をも有することが望ましい。
望ましい特性をもつ金属炭化物膜は、基体の上に金属膜を浸炭するためにアルミニウム炭化水素化合物を用いることにより、形成することができる。本発明のいくつかの実施形態では、金属炭化物膜はALD型プロセスにおいて、基体を金属化合物および炭素含有化合物の交互かつ逐次的なパルスに接触させることにより基体の上に形成される。この場合、この炭素含有化合物はアルミニウム炭化水素化合物である。
本願明細書に記載される方法および組成物を使用して、制御されたアルミニウム含有量を有する金属炭化物膜を基体の上に形成することができる。反応空間中の基体は気相金属供給源化学物質(または金属化合物)およびアルミニウム炭化水素化合物と接触される。この膜は、好ましくは良好な接着、低抵抗率および良好な耐酸化性を有する。アルミニウム含有量、接着、抵抗率および/または耐酸化性を含めた当該金属炭化物膜の特徴は、適切なアルミニウム炭化水素反応物質を選択することにより制御することができる。反応温度、圧力、パルスおよびパージ時間、パルス供与順序(pulsing sequence)および堆積後のアニーリングなどの反応条件も、所望の特性をもつ膜を達成するように調整することができる。いくつかの実施形態では、この所望の膜特徴は、プラズマ支援(plasma enhanced)ALDプロセスを使用することにより達成されうる。
適切なアルミニウム炭化水素化合物および適切な反応条件を選択することにより、特定の状況にとって有利である特性をもつ金属炭化物膜を形成することができる。例えば、いくつかの実施形態では、低抵抗率を有する膜は、TMA、TEAまたはDMAHをアルミニウム炭化水素化合物として使用して形成される。良好な接着を有する膜は、いくつかの実施形態ではTEAおよび金属ハロゲン化物反応物質(TaClなど)を使用して得ることができる。耐酸化性の膜は、いくつかの実施形態では、反応物質、および金属炭化物膜の中の所望のレベルのアルミニウムを与える条件を選択することにより形成することができる。例えば、いくつかの実施形態では、約1〜30%、より好ましくは約6〜16%のアルミニウム濃度をもつ膜も、所望のレベルの耐酸化性を得るために、堆積される。
主にフラッシュメモリの用途に関連して本願明細書に記載されるが、当業者が認識するとおり、当該金属炭化物膜および堆積プロセスは、様々なものに関連して使用することができる。例えば、形成される金属炭化物膜は、集積回路(IC)の構成要素(例えば、デュアルダマシン構造において配線(line)の一部を形成する導電性の拡散バリアなど)、CMOSトランジスタの中の金属ゲート電極((アルミニウム濃度に応じて)NMOSまたはPMOSゲート電極など)、または反射防止コーティングであってもよい。他の実施形態では、この金属炭化物膜は、機械的磨耗から保護するための基体の上の硬い皮膜の一部を形成してもよいし、または腐食保護層の構成要素として使用されてもよい。さらなる他の実施形態では、この金属炭化物膜は、例えば、化学反応触媒の一部として、またはエッチング停止バリアとして使用することができる。
(定義)
本開示に関しては、「ALDプロセス」または「ALD型プロセス」は一般に、自己飽和的な化学反応を使用して基体の上に単層(分子層)ずつ膜を生成するためのプロセスを指す。ALDの一般原理は、例えば、T.Suntolaにより例えばthe Handbook of Crystal Growth 3,Thin Films and Epitaxy,Part B:Growth Mechanisms and Dynamics, 第14章,Atomic Layer Epitaxy,601−663頁,Elsevier Science B.V. 1994年に、ならびに米国特許第4,058,430号および同第5,711,811号(これらの開示は、参照により本願明細書に援用したものとする)に開示されている。ALDプロセスでは、ガス状反応物質、すなわち、前駆体または供給源物質は、交互にかつ逐次的に反応空間の中へと伝えられ、そこでそれらは基体と接触して表面反応をもたらす。反応条件は、一般に最高約1単層(すなわち原子層または分子層)のみの物質が各パルス供与サイクルの間に一度に堆積されるように、選択される。前駆体間の気相反応および副生成物の任意の所望されない反応は阻止される。なぜなら、前駆体パルスが互いに分離され、かつこの反応チャンバーが不活性ガス(例えば窒素、アルゴンまたは水素)でパージされかつ/または、例えば、前駆体パルス間でポンプ排気システムを使用して排出され、過剰のガス状の反応物質および(もしあるなら)反応副生成物をそのチャンバーから除去するからである。従って、時間に対するこの反応物質の反応空間の中での濃度プロファイルは重なり合わない。しかしながら、当業者は、反応物質パルスの分離にもかかわらず複数の単層が1以上のALDサイクルにおいて堆積されてもよいということは認識するであろう。
「プラズマで励起された種」は、ガスへエネルギーを加えることにより発生されるラジカル、イオンまたは他の励起された種を指す。プラズマで励起された種は、直接のプラズマ発生器(すなわち、「現場での(in situ)」もしくは「直接」プラズマ発生)および/または遠隔のプラズマ発生器(すなわち、「現場外での(ex situ)」もしくは「遠隔」プラズマ発生)を使用して発生されてもよい。エネルギーは、誘導結合、紫外線照射、マイクロ波、静電結合(capacitive coupling)、RF出力の付与などの様々な方法を介してガスに加えられ(または結合され)てもよい。結合エネルギーの不存在下で、プラズマ発生は停止される。プラズマで励起された種としては、水素ラジカルおよび窒素ラジカルが挙げられるが、これらに限定されない。
「プラズマパラメータ」は、1以上のプラズマ発生の変動要素(プラズマ発生器の出力、ガス圧力、ガス(または反応物質)流量、およびプラズマパルス継続時間が挙げられるが、これらに限定されない)を表すために使用される。例として、RF出力を使用するプラズマ発生については、プラズマパラメータとしては、高周波(RF)電源オン時間、RF出力の振幅、RF出力の周波数(デュアル周波数システムについては複数の周波数)、反応物質濃度、反応物質流量、反応空間圧力、全ガス流量、反応物質パルスの継続時間および間隔、およびRF電極の間隔が挙げられるが、これらに限定されない。
「反応空間」は、膜成長をもたらすように条件を調整できる反応器もしくは反応チャンバー(「チャンバー」)、または任意に定められたそれらの中の容積を表すために使用される。この反応空間は、例えば、単ウェーハALD反応器、または複数の基体の上での堆積が同時に起こるバッチALD反応器の中にあってもよい。
「吸着」は、表面上での原子または分子の化学的付着を表すために使用される。
「基体」は、堆積が所望されるいずれかの表面であり、好ましい実施形態では、集積回路(IC)製造に適したいずれかのワークピースを含めてもよい。典型的な基体としては、シリコン、シリカ、コーティングされたシリコンおよび高k物質(金属酸化物など)が挙げられるが、これらに限定されない。
「表面」は、反応空間と基体のフィーチャ(feature)との間の境界を表すために使用される。
「膜」は、別々のイオン、原子または分子として供給源から基体へと輸送される元素または化合物から、その基体の上に成長した膜を意味する。この膜の厚さは、用途によって変わるであろうし、広い範囲で、好ましくは1原子層〜100ナノメートル(nm)以上で変わってもよい。当該膜がフラッシュメモリにおける仕事関数を定める働きをする実施形態などのいくつかの実施形態では、この厚さは約25Å〜200Åであってもよいが、いくつかの実施形態では厚さは500Åまたは1000Åでさえという高いものであってもよい。他の実施形態では、CMOSゲート用途についてはこの膜は、厚さが約200Å未満、さらにより好ましくは約100Å未満、最も好ましくは約50Å未満である。
「金属炭化物膜」は少なくとも1つの金属および炭素を含む膜を表す。この金属は、単一の元素状金属または複数の金属(金属合金など)であってもよい。この金属炭化物膜は、化学量論的、例えば、TaCであってもよく、または非化学量論的、例えば、TaC(式中、「x」は、この膜が過剰の炭素を有する場合は1よりも大きく、またはこの膜が炭素不足であれば1未満である)であってもよい。好ましい実施形態では、本願明細書に記載される方法に従って堆積される金属炭化物膜は第1の金属、炭素、およびアルミニウムを含む。この第1の金属は、典型的には、アルミニウムではない。
(ALD法)
ALDは、反応前駆体の逐次的かつ交互のパルスが使用されて、堆積パルスあたり約1原子(または分子)単層の物質が堆積される、自己制御的な(self−limiting)反応に基づく。この堆積条件および前駆体は自己飽和的な反応をもたらすように選択され、その結果、1つのパルスにおいて吸着された層が、同じパルスの気相反応物質と反応性ではない表面停止を残す。異なる反応物質のその後のパルスはそれまでの停止したものと反応として連続的な堆積を可能にする。従って、交互にされたパルスの各サイクルは、典型的にはわずかに約1単層の所望の物質を残す。しかしながら、上で触れたように、当業者は、例えばこれらの反応物質の別々の提供にもかかわらずいくつかの気相反応が起こる場合など、1以上のALDサイクルにおいて複数の単層の物質が堆積されてもよいということを認識するであろう。
金属炭化物膜を堆積するための典型的なALD型プロセスでは、1つの堆積サイクルは、基体を第1の反応物質に曝露する工程と、いずれかの未反応の第1の反応物質および反応副生成物をこの反応空間から除去する工程と、この基体を第2の反応物質に曝露する工程と、続く第2の除去工程とを含む。この第1の反応物質は、好ましくは金属前駆体であり、第2の反応物質は、好ましくは浸炭(または炭素に寄与する)化合物である(しかし、いずれかの反応物質を用いてこのプロセスを始めることは可能である)。
この金属化合物は、好ましくはチタン(Ti)、ジルコニウム(Zr)、ハフニウム(Hf)、バナジウム(V)、ニオブ(Nb)、タンタル(Ta)、クロム(Cr)、モリブデン(Mo)、タングステン(W)、マンガン(Mn)、レニウム(Re)、鉄(Fe)、コバルト(Co)、ニッケル(Ni)、銅(Cu)、銀(Ag)、金(Au)、パラジウム(Pd)、白金(Pt)、ロジウム(Rh)、イリジウム(Ir)、ルテニウム(Ru)およびオスミウム(Os)からなる群から選択される1以上の金属を含む。
典型的には、例えば、TaClおよびHfClなどのハロゲン化物反応物質が、ALD堆積における金属前駆体として使用される。なぜなら、これらの前駆体は安価でかつ比較的安定であるが、同時に様々な種類の表面基に対して反応性であるからである。
炭素に寄与する化合物は、好ましくはアルミニウム炭化水素化合物である。このアルミニウム炭化水素化合物は、例えばアルカン、アルケンまたはアルキンであってもよい。いくつかの実施形態では、このアルミニウム炭化水素化合物は、トリメチルアルミニウム(TMA)、トリエチルアルミニウム(TEA)および水素化ジメチルアルミニウム(DMAH)からなる群から選択される。
このアルミニウム炭化水素化合物は、好ましくは、当該金属炭化物膜において所望の特徴を達成するように選択される。これらとしては、接着、抵抗率、耐酸化性および仕事関数が挙げられるが、これらに限定されない。加えて、適切なアルミニウム炭化水素化合物および適切な堆積条件を選択することにより、当該金属炭化物中のアルミニウム膜のレベルを制御することができる。例えば、特定の膜においてより高いアルミニウム濃度を達成するためには、TMAよりもTEAが選択されてもよい。いくつかの実施形態では、異なるアルミニウム炭化水素化合物が異なるALD堆積サイクルにおいて使用されて、当該金属炭化物膜中でのアルミニウムの組み込みが改変されてもよい。例えば、金属炭化物層を堆積するための堆積プロセスにおいて、第1のALDサイクルは第1のアルミニウム化合物を使用してもよく、かつ1以上のALDサイクルは異なるアルミニウム化合物を使用してもよい。
Arなどの不活性ガスによる前駆体の分離によって反応物質間の気相反応が防止され、自己飽和的な表面反応が可能になる。反応は自己飽和するため、基体の厳密な温度制御およびこの前駆体の正確な投与量制御は必要とはされない。しかしながら、基体温度は、投入される(incident)ガス種が単分子層の中へと凝縮せず、表面を分解することもしない温度であることが好ましい。過剰の化学物質および(もしあるなら)反応副生成物は、次の反応性の化学物質のパルスがそのチャンバーの中に導入される前に、その反応空間から除去される。所望されないガス状分子は、不活性なパージ用ガスの助けを借りて、反応空間から効果的に排出することができる。このパージ用ガスはそれらの余分な分子をチャンバーから外へと導く。このパージ操作(purging)を支援するために、真空ポンプを使用してもよい。
本発明のいくつかの実施形態によれば、ALD型プロセスは、集積回路ワークピースなどの基体の上に金属炭化物膜を形成するために使用される。好ましくは、各ALDサイクルは2つの別個の堆積工程または段階を含む。当該堆積サイクルの第1段階(「金属段階」)では、金属を含む第1の反応物質(すなわち、金属供給源物質または化学物質)は反応空間へとパルス供与され、基体表面の上へと化学吸着し、その基体の表面の上にわずかに約1単層を形成する。この段階の金属供給源物質は、好ましい条件下で、その表面に結合することができる金属供給源物質の量が、利用できる結合部位の数によって、および化学吸着される種(リガンドを含む)の物理的サイズによって決定されるように、選択される。金属供給源化学物質のパルスによって残された化学吸着された化学吸着された層は、そのパルスの残りの化学物質と非反応性の表面を有して自己停止する。この現象は、本願明細書において「自己飽和」と呼ばれる。当業者は、この段階の自己制御的性質によってALDサイクル全体が自己制御的になることを認識するであろう。
この金属供給源物質は、好ましくは、堆積されつつある膜において所望される金属種を含む。いくつかの実施形態では、本願明細書において「金属化合物」とも呼ばれるこの金属供給源化学物質はハロゲン化物であり、吸着される単層はハロゲンリガンドを有して停止する。いくつかの実施形態では、この金属化合物は、金属臭化物、金属塩化物、および金属ヨウ化物からなる群から選択される。例として、タンタル含有金属炭化物膜は、TaBr、TaCl、およびTaI(式中、w、x、y、およびzは1〜5の数字である)からなる群から選択される金属化合物を使用して堆積することができる。炭化タンタル膜が所望されるいくつかの実施形態では、TaClがこの金属化合物として使用される。
過剰の金属供給源物質および(もしあるなら)反応副生成物は、例えば不活性ガスを用いてパージすることにより、反応空間から除去される。過剰の金属供給源物質およびいずれかの反応副生成物は、ポンピングシステムによって発生される真空の助けを借りて除去されてもよい。
ワークピース表面上での最大工程被覆は、典型的には、当該金属供給源物質が各自己制御的パルスにおいてわずかに約1つの単層を形成する場合に得られる。化学吸着された種のサイズおよび反応性部位の数に起因して、いくらか単層未満が金属反応物質の各パルスにおいて堆積されてもよい。従って、金属供給源物質の最大被覆は単層未満である場合がある。プロセス条件によっては、いくつかの実施形態では、第1の反応の複数の単層が基体表面の上に見出されるということが起こる可能性がある。
当該堆積サイクルの第2段階(「炭素に寄与する段階」)では、本願明細書において「第2の供給源化学物質」とも呼ばれる第2の反応物質がこの反応空間の中へとパルス供与され、先行するパルスによって基体表面の上に残された金属含有分子と反応するようにされる。この第2の供給源化学物質はアルミニウム炭化水素化合物である。好ましくは、この第2段階では、炭素は、この第2の供給源化学物質と、上記金属供給源物質によって残された単層との相互作用によって当該膜の中へと組み込まれる。好ましい実施形態では、第2の供給源化学物質と化学吸着された金属種との間の反応によって当該基体の上に金属炭化物膜が生成される。
アルミニウムも、この第2段階でこの膜の中へと組み込まれてもよい。反応条件(反応物質の選択、温度、圧力ならびにパルス時間およびパージ時間が挙げられるが、これらに限定されない)は、この膜の中で所望のアルミニウム含有量を達成するように調整される。いくつかの実施形態では、アルミニウム含有量は約0%〜約30、より好ましくは約6〜約16%で変動してもよい。他の実施形態では、アルミニウム含有量はより高くてもよい。
このアルミニウム炭化水素は、アルカン、アルケンおよびアルキンからなる群から選択されてもよい。例えば、この炭素含有化合物はTMA、DMAH、またはTEAであってもよい。いくつかの実施形態では、複数のアルミニウム炭化水素化合物が使用されてもよい。例えば、2以上のアルミニウム炭化水素化合物が同時に同じパルスにおいて与えられてもよい。他の実施形態では、2以上の異なるアルミニウム炭化水素化合物が、単一の堆積プロセスを用いる別個のALDサイクルで与えられてもよい。
過剰の第2の供給源化学物質および(もしあるなら)反応副生成物は、パージ用ガスパルスおよび/またはポンピングシステムによって発生される真空によって反応空間から除去される。パージ用ガスは、好ましくはアルゴン(Ar)またはヘリウム(He)(これらに限定されない)などのいずれかの不活性ガスである。パージ(すなわち、パージ用ガスパルス)または他の反応物質除去工程が介在する場合は、1つの段階には、すぐに別の段階が続くと一般に考えられる。
いくつかの実施形態では、例えば堆積された膜を還元するため、または当該膜の中にさらなる種を組み込むために、付加的な反応物質が、利用されてもよい。いくつかの実施形態では、第3の反応物質は、例えば現場の(in situ)または遠隔のプラズマ発生器により発生される水素のプラズマで励起された種などの還元剤であってもよい。この還元剤は、堆積された膜を還元するために、上記金属段階および/または上記炭素に寄与する段階の後にこの反応空間へとパルス供与され(またはその反応空間の中で発生され)てもよい。この還元剤は、例えば、膜の中および/または基体の中のハロゲン原子または酸化性物質(例えば、酸素原子)などの不純物を除去するために使用してもよい。還元剤は、当該金属炭化物膜の中へのアルミニウムの組み込みを制御し、これによりこの膜の特性を制御するために使用されてもよい。いくつかの実施形態では、熱的ALDおよびプラズマALDサイクルは、堆積された膜の中のアルミニウム濃度を制御するために同じ堆積プロセスで使用される。プラズマALDサイクルに対する熱的ALDサイクルの比は、その薄膜の中の所望のアルミニウム濃度および/または濃度プロファイルを達成するように選択することができる。
いくつかの実施形態では、プラズマパラメータは、当該金属炭化物膜の中へのアルミニウムの組み込みのレベルおよび/または炭素に対するタンタルの比を改変するように選択することができる。つまり、いくつかの実施形態では、膜組成は、プラズマパラメータの関数として制御することができる。組成に加えて、結晶性、結晶格子定数、抵抗率および結晶応力などの他の膜の特徴は、適切なプラズマパラメータを選択することにより調整することができる。
いくつかの実施形態では、プラズマパラメータは、プラズマパラメータと膜組成および特徴との間にすでに確立された関係から選択される。「プラズマパラメータ」としては、例えば、RF出力およびRF周波数を挙げることができる。所望の膜特性を達成するために、1つのプラズマパラメータ(RF出力など)、または複数のプラズマパラメータ(すなわち、RF出力およびRF周波数などの、一組のプラズマパラメータ)が、1以上のALDサイクルにおいて調整されてもよい。プラズマパラメータは、好ましくは所望の組成を有する金属炭化物膜を与えるように選択される。ある場合には、プラズマパラメータは、所望のゲートスタック仕事関数を与えるための特定の組成を有するゲート電極を形成するように選択される。
いくつかの実施形態では、金属炭化物膜用の堆積処方は、プラズマパラメータを選択することにより決定または設計される。例として、RF出力は、必要に応じて化学量論に影響を及ぼすように選択されてもよい。別の例として、特定のプラズマパルス継続時間またはRF電源オン時間を使用して所望の組成を得ることができる。さらに別の例として、所望の組成は、RF出力、反応物質パルス継続時間、および反応物質流量の組み合わせを選択することにより達成されうる。
好ましくは、上記プラズマで励起された種は水素を含む。水素のプラズマで励起された種としては、水素ラジカル(H)および水素陽イオン(例えば、H、H )を挙げることができるが、これらに限定されない。水素のプラズマで励起された種は、例えば分子状水素(H)または水素含有化合物(例えば、シラン、ジボランなど)から現場で(in situ)または遠隔で形成されてもよい。いくつかの実施形態では、本願明細書に記載される反応物質のうちの1以上は、プラズマとして与えられてもよい。
堆積パラメータ(プラズマ、反応物質など)と薄膜組成との間の関係は、パラメータ(1つまたは複数個)を選択して、所望の厚さの膜が形成されるまで、その選択されたパラメータ(1つまたは複数個)を使用して特定の原子層堆積プロセスによって金属炭化物膜を堆積することにより、確立することができる。次いで膜組成および特徴が決定され、異なるパラメータを使用して別の膜が堆積されてもよい。このプロセスは、パラメータと膜組成との間の関係を明らかにするために、異なるパラメータについて繰り返すことができる。
適切な反応条件を選択することにより、所望の組成をもつ化合物膜を形成することができる。
1つの実施形態では、ALD型プロセスによる金属炭化物膜の形成は、1以上の堆積サイクルを含み、その各々は、
1. 金属化合物を反応空間に与える工程と、
2. 過剰の金属化合物および反応副生成物をパージおよび/または排出する工程と、
3. この反応空間にアルミニウム炭化水素化合物を与える工程と、
4. 過剰のアルミニウム炭化水素化合物および反応副生成物を反応空間からパージおよび/または排出する工程とを含む。
工程1〜4は熱的ALDサイクルと呼ぶことができる。工程1〜4は、所望の厚さおよび所望のアルミニウム濃度をもつ金属炭化物膜を生成するために、必要に応じて繰り返すことができる。例えば、1原子層または数原子層〜100ナノメートル(nm)以上の範囲の均一な厚さをもつ金属炭化物層を生成するために、工程1〜4は、最高10回、100回または1000回以上さえも繰り返してもよい。いくつかの実施形態では、工程1〜4は、金属炭化物膜が約1〜約1000Å、好ましくは約1000Å未満、より好ましくは約500Å未満の厚さをもって形成されるまで、繰り返されてもよい。いくつかの実施形態では、当該膜は約300Å未満の厚さを有し、他の実施形態では、約200Å未満の厚さを有する。1つの実施形態では、この厚さは好ましくは約100Å〜約200Åである。他の実施形態では、この厚さは好ましくは約20〜約200Åである。当業者なら、当該金属炭化物膜の厚さは特定の用途に応じて変わりうるということはわかるであろう。例として、NMOSゲート用途については、この厚さは典型的には約50Å〜約500Åである。別の例として、MIMコンデンサ用途(例えば、DRAM、eDRAMなど)についてはこの厚さ範囲は典型的には約50Å〜約200Åである。さらに、この金属炭化物薄膜がフラッシュメモリにおける仕事関数を定める用途については、この厚さは好ましくは約20Å〜約200Åである。
いくつかの実施形態では、工程1および2は、工程3および4の前に所定の回数繰り返される。例えば、工程1および2は、工程3および4の前に5回繰り返されてもよい。別の例として、工程1および2は、工程3および4の前に10回繰り返されてもよい。組成の均一性をもつ金属炭化物膜が所望される場合、工程1および2が繰り返される回数は、その金属膜の実質的な浸炭を防ぐと思われる回数を超えてはならない、ということを理解されたい。1つの実施形態では、金属化合物は低い分解温度を有し、工程1および2が繰り返される回数は1を超えない。
本願明細書で論じられるように、炭化水素アルミニウム反応物質の選択は、接着、抵抗率、耐酸化性および/または仕事関数などの所望の特徴をもつ膜の堆積を達成するために使用することができる。いくつかの実施形態では、複数のアルミニウム炭化水素化合物が使用される。加えて、種々の反応条件を、所望の膜の品質および組成を達成するように操作することができる。これらの反応条件としては、反応温度、供給源容器温度、圧力、流量、プラズマパラメータならびにパルス時間およびパージ時間が挙げられるが、これらに限定されない。
1つの実施形態では、ALD型プロセスによる金属炭化物膜の形成は2以上の堆積サイクルを含み、第1のサイクルは、
1. 金属化合物を反応空間に与える工程と、
2. 過剰の金属化合物および反応副生成物をパージおよび/または排出する工程と、
3. 第1のアルミニウム炭化水素化合物を反応空間に与える工程と、
4. 過剰のアルミニウム炭化水素化合物および反応副生成物を反応空間からパージおよび/または排出する工程とを含み、
第2の堆積サイクルは、
5. 金属化合物を反応空間に与える工程と、
6. 過剰の金属化合物および反応副生成物をパージおよび/または排出する工程と、
7. 第2のアルミニウム炭化水素化合物を反応空間に与える工程と、
8. 過剰のアルミニウム炭化水素化合物および反応副生成物をその反応空間からパージおよび/または排出する工程とを含む。
第1および第2のサイクルは連続的である必要はなく、第2のサイクルに対する第1のサイクルの比は、所望の組成を達成するように選択することができる。
いくつかの実施形態では、この堆積サイクルは、上記反応物質のうちのいずれかを用いて始めることができる。好ましくは、第1および第2のアルミニウム炭化水素化合物は異なる化合物である。好ましくは、第1および第2のアルミニウム炭化水素化合物はTMA、TEA、またはDMAHを含む。いくつかの実施形態では、第1のアルミニウム炭化水素化合物はTEAを含み、第2の炭化水素化合物はTMAを含む。いくつかの実施形態では、TEAを使用する複数の堆積サイクルが実施され、次いでTMAを使用する複数の堆積サイクルが実施される。
いくつかの実施形態では、第1のアルミニウム炭化水素パルスと第2の炭化水素パルスとの間の比は約1:100〜100:1である。好ましくは、第1および第2のアルミニウム炭化水素パルス間の比は約5:1〜約1:5である。いくつかの実施形態では、第1および第2のアルミニウム炭化水素パルス間の比は約1:1である。
いくつかの実施形態では、プラズマは当該金属炭化物膜の堆積の間、使用することができる。1つの実施形態では、ALD型プロセスによる金属炭化物膜の形成は、1以上のプラズマALD堆積サイクルを含み、その各々は、
1. 金属化合物を反応空間に与える工程と、
2. 過剰の金属化合物および反応副生成物をパージおよび/または排出する工程と、
3. 第1のアルミニウム炭化水素化合物を反応空間に与える工程と、
4. 過剰のアルミニウム炭化水素化合物および反応副生成物をその反応空間からパージおよび/または排出する工程と、
5. プラズマで励起された種を反応空間に与える工程と、
6. 過剰のプラズマ供給源および反応副生成物をその反応空間からパージおよび/または排出する工程とを含む。
工程1〜6は、プラズマ堆積サイクルと呼ぶことができる。いくつかの実施形態では、このプラズマ供給源は金属化合物の後かつアルミニウム炭化水素化合物の前に与えることができる。いくつかの実施形態では、当該堆積サイクルは上記反応物質のうちのいずれを用いて開始することもできる。好ましくは、上記プラズマで励起された種は水素を含む。
いくつかの実施形態では、プラズマALDサイクルおよび熱的ALDサイクルが同じ堆積プロセスにおいて使用される。熱的ALDサイクルとプラズマALDサイクルとの間の比は、典型的には約1:100〜100:1である。好ましくは、第1および第2のアルミニウム炭化水素パルス間の比は約5:1〜約1:5である。いくつかの実施形態では、熱的ALDサイクルとプラズマALDサイクルとの間の比は約1:1である。
以下の一般的条件は、本願明細書に開示される堆積サイクルのいずれにも当てはまる。反応温度は、好ましくは約150〜約550℃、より好ましくは約300〜約400℃である。いくつかの実施形態では、反応温度は約350〜375℃である。
反応圧力は約0.5〜約10torr(約67Pa〜約1330Pa)である。いくつかの実施形態では、圧力は約2〜約7torr(約267Pa〜933Pa)である。この圧力は、望ましい成長速度および許容できる均一性を達成するように調整されることが好ましい。
いくつかの実施形態では、反応物質容器温度は、所望の特徴をもつ膜を与えるように選択することができる。いくつかの実施形態では、上記ハロゲン化物反応物質容器温度は約40℃〜約80℃である。例えば、TaClを金属前駆体として使用するタンタル含有膜の堆積については、この反応物質容器温度は約45℃〜約70℃、より好ましくは約65℃であってもよい。
金属反応物質パルス時間は、好ましくは約0.1〜約20秒、より好ましくは約1〜約10秒である。
アルミニウム炭化水素化合物パルス時間は、好ましくは約0.1〜約20秒、より好ましくは約0.5〜約2秒である。いくつかの実施形態では、TMAがアルミニウム炭化水素反応物質として使用され、約1秒よりも長い、より好ましくは約2秒よりも長いパルス時間が使用される。他の実施形態では、TEAがアルミニウム炭化水素反応物質として使用され、約1秒のパルス時間が用いられる。他の実施形態では、DMAHがアルミニウム炭化水素反応物質として使用され、約1秒のパルス時間が用いられる。他の実施形態では、2以上の異なるアルミニウム炭化水素反応物質を使用することができる。さらなる他の実施形態では、より長いパルス時間を使用してもよい。いくつかの実施形態では、基体上での上記化合物の飽和に影響を及ぼすために、より長いパルス時間を、当該アルミニウム炭化水素化合物に対して使用することができる。
パージ時間は、一般的に約0.1〜約10秒、より好ましくは約2〜約8秒である。いくつかの実施形態では、約6秒のパージ時間が使用される。しかしながら、他の実施形態では、より長いパージ時間を使用してもよい。いくつかの実施形態では、当該金属反応物質および当該アルミニウム炭化水素反応物質をパージするためにはパージ時間は同じであるが、他方で、他の実施形態ではパージ時間は異なる反応物質について異なる。
流量は、上記不活性なパージガス(Arなど)については一般に約100〜約400sccmである。金属前駆体およびアルミニウム炭化水素の両方についてのキャリアの流量は、好ましくは約100〜約400sccmである。このキャリアガスは、好ましくはArなどの不活性ガスであり、上記パージガスと同じであってもよいし、それとは異なっていてもよい。当該パージガスおよびキャリアガスの流量は、当業者なら分かるように、一部は特定の反応器に基づいて決定することができる。
図1を参照して、ALD型プロセスによって金属炭化物膜を形成するための例示的な実施形態が例証される。最初の表面停止の後、必要に応じて、第1の反応物質または供給源物質が基体またはワークピースへと供給され、またはパルス供与される(10)。好ましい実施形態によれば、この第1の反応物質パルスはキャリアガスの流れおよび金属前駆体、好ましくは注目するワークピース表面と反応性である揮発性ハロゲン化物化合物を含む。このハロゲン化物化合物は、当該金属炭化物膜の一部を形成することになる金属種を含む。従って、金属含有種はこのワークピース表面の上へと吸着する。この第1の反応物質パルスはこのワークピース表面を自己飽和し、そのためこの第1の反応物質パルスのいずれの過剰の構成成分もこのプロセスによって形成される単層とさらに反応しない。自己飽和はリガンド(この単層の末端にあり、この層をさらなる反応から保護するハロゲン化物の尾部など)に起因する。いくつかの実施形態では、第1の反応物質はTaClなどのハロゲン化タンタル化合物である。
次いで過剰の第1の反応物質はこの反応空間から除去される(20)。好ましくは、工程104は、好ましくは反応チャンバーの約2倍体積よりも多いパージガス、より好ましくは約3チャンバー体積よりも多くを用いて過剰の反応物質および反応物質副生成物を反応空間から拡散させるまたはパージするのに十分な時間キャリアガス(例えば、ArまたはH)を流し続けながら、第1の反応物質または化学物質の流れを停止することだけを伴う。好ましくは、除去(20)は、第1の反応物質パルスの流れを停止した後、約0.1秒〜20秒間パージガスを流し続けることを含む。パルス間パージは、1999年9月8日出願の、発明の名称「IMPROVED APPARATUS AND METHOD FOR GROWTH OF A THIN FILM(薄膜を成長させる、改良された装置および方法)」の同時係属中の米国特許出願第09/392,371号に記載されており、この特許出願の開示は、参照により本願明細書に援用したものとする。他の配置では、このチャンバーは、交互の化学物質間にポンプ排出されてもよい。例えば、1996年6月6日公開の、発明の名称「METHOD AND APPARATUS FOR GROWING THIN FILMS(薄膜を成長させるための方法と装置)」の国際公開第96/17107号パンフレットを参照。この特許文献の開示は、参照により本願明細書に援用したものとする。一緒にすると、吸着(10)および反応物質除去(20)は当該堆積サイクルにおける第1段階50を表す。例証された堆積サイクルにおける第1段階50は、従って上記金属段階である。
続けて図1を参照して、第2の反応物質または供給源化学物質はワークピースへとパルス供与される(30)。この第2の化学物質は、第1の反応物質によって残された単層と反応するかまたはその上に吸着する。いくつかの実施形態では、この第2の反応物質は工程10で堆積された金属含有種からリガンドを除去する。図示された実施形態では、第2の反応物質は、第1の反応物質によって堆積された層と反応して金属炭化物を形成するアルミニウム炭化水素化合物である。このアルミニウム炭化水素化合物は、上記金属段階で形成された金属層の中に炭素を堆積する。いくつかの実施形態では、このアルミニウム炭化水素化合物は、キャリアガス(例えば、H)、好ましくは不活性なキャリアガス(例えば、He、Ar)とともにパルス供与される。
成長しつつある膜の中に炭素を堆積するために十分な時間の後、このアルミニウム炭化水素化合物の供与は停止され、(もしあるなら)反応副生成物(同様に揮発性であることが好ましい)が、好ましくはパージガスによって反応空間から除去される(40)。この除去は、工程20について記載されたとおりのものであってもよい。一緒にすると、工程30および40は、炭素に寄与する段階60と呼ぶこともできる、例証されたALDプロセスの第2段階を表す。
工程10〜40は、所望の厚さの金属炭化物層を形成するために繰り返されてもよい(70)。繰り返し工程70は、約1単層以下の厚さをもつ金属炭化物膜が所望される場合は、排除されてもよい。
本願明細書に記載されるALD型プロセスについては、基体は、好ましくは約150℃〜約550℃、より好ましくは約350℃〜約400℃の温度に維持される。チャンバーは、好ましくは約200mTorr〜約10Torr(約27Pa〜約1330Pa)、より好ましくは約1Torr〜約8Torr(約133Pa〜約1064Pa)の圧力に維持される。
いくつかの実施形態では、第1の反応物質はTaClなどのハロゲン化タンタルであり、第2の反応物質はTMA、DMAH、またはTEAなどのアルミニウム炭化水素化合物であり、形成される膜は炭化タンタルである。この炭化タンタル膜は、好ましくはアルミニウムを含む。いくつかの実施形態では、この炭化タンタル膜は約6〜約16%のアルミニウムを含む。
いくつかの実施形態では、この膜は、堆積後にアニーリングすることができる。堆積後に当該膜をアニーリングすることで、当該薄膜の特性を改変することができる。例えば、アニーリングによって、膜の水素および塩素含有量を改変することができる。好ましくは、アニーリングの間、基体温度は約500℃〜約1200℃である。いくつかの実施形態では、このアニーリング工程の間の基体温度は約600℃〜約1000℃である。好ましくは、このアニーリング工程は不活性雰囲気の中で行われる。アニーリングのための好ましい不活性雰囲気は窒素、ヘリウム、およびアルゴンを含む。好ましくは、圧力は、アニーリング工程の間、ほぼ大気圧である。いくつかの実施形態では、圧力は大気圧より高くてもよいし、大気圧より低くてもよい。いくつかの実施形態では、アニーリング雰囲気は低酸素分圧を含む。
(フラッシュメモリ)
いくつかの実施形態では、制御ゲートの少なくとも一部を形成するために金属炭化物膜が本願明細書に記載されるALDによって堆積されている、フラッシュメモリ構造が提供される。例示的なフラッシュメモリ構造の主要な要素が図2に図示されている。誘電性層(トンネル酸化物)110が基体100の上に堆積される。誘電性層110は典型的にはSiOであるが、いくつかの実施形態では、誘電性層110は高k物質であってもよい。「高k」物質は、一般に、約7を超えるk値を有する金属酸化物の形態であり、例としては酸化アルミニウム(Al)、酸化ジルコニウム(ZrO)、酸化ハフニウム(HfO)、酸化タンタル(Ta)、チタン酸バリウムストロンチウム(BST)、タンタル酸ストロンチウムビスマス(SBT)、ランタノイド酸化物、およびこれらの組合せ(HfSiOおよびHfZrOなど)などがある。典型的には酸化物であるが、誘電性層110は別の種類の物質であってもよい。
フローティングゲート120がこの誘電性層の上に直接堆積される。フローティングゲート120は、例えばポリシリコンを含んでもよい。いくつかの実施形態では、フローティングゲート120は電荷トラップ層で置き換えられる。いくつかの実施形態では、この電荷トラップ層は窒化ケイ素であるが、他の物質も可能である。
バリア酸化物(またはブロッキング誘電体)130がフローティングゲートまたは電荷トラップ層120の上に形成される。いくつかの実施形態では、バリア酸化物130はAlを含むが、AlLaO、AlZrOおよびHfLaOなどの他の物質を使用することができる。バリア酸化物130は、例えば、フローティングゲートまたは電荷トラップ層120に接した底部誘電性物質、上に存在する制御ゲート140に接した上部誘電性物質と、この上部誘電性物質と底部誘電性物質との間に位置する、介在する窒化物層とを含む、ONO(酸化物窒化物酸化物)構造であってもよい。
制御ゲート140はこのバリア酸化物の上に形成される。制御ゲート140を形成することは、好ましくは、1以上のアルミニウム炭化水素化合物を使用してALDによって金属炭化物ゲート電極層150を堆積し、当該金属炭化物層が制御ゲート140の仕事関数を制御するようにする工程を含む。好ましい実施形態では、この金属炭化物はTaCである。金属炭化物層150は好ましくは約100〜200Åの厚さまで堆積される。堆積条件、例えば温度、圧力、パルスおよびパージ時間、(使用する場合は)プラズマ条件および反応物質の選択は、金属炭化物層150中の所望量のアルミニウムを達成し、従って所望の仕事関数を生成するように調整される。加えて、アルミニウム含有量は、好ましくは、後のパターニングおよび/または堆積工程の間にその膜が自己不動態化することができるようなものである。
いくつかの実施形態では、この金属炭化物層は全体の制御ゲートとしての役割を果たす。他の実施形態では、第2の上方ゲート電極層160は、第1の下方ゲート電極層150の上にかつそれに接して堆積される。第2のゲート電極層160は、ポリシリコン、窒化チタンなどの導電性物質および/またはタングステンなどの金属を含む。上方ゲート電極層160は、下方ゲート電極層150よりも厚くてもよい。いくつかの実施形態では、上方ゲート電極層160は約1000Åの厚さを有する。典型的に、上方ゲート電極層160は、制御ゲート140の仕事関数には寄与しない。しかしながら、いくつかの実施形態では、下方ゲート電極層150および上方ゲート電極層160の厚さは、所望の仕事関数が達成されるように各々が仕事関数に寄与するように、選択される。
誘電性層110、フローティングゲートまたは電荷トラップ層120、バリア酸化物130および上方ゲート電極層160(存在する場合)に適した物質は、当該技術分野で公知であり、特定の状況に応じて当業者によって選択されてもよい。誘電性層110は、ALDまたはPEALDなどの当該技術分野で公知のいずれかの堆積方法によって堆積されてよい。上方ゲート電極層160は、好ましくは化学気相成長(CVD)型または物理気相成長(PVD)型プロセスによって堆積される。いくつかの実施形態では、バリア酸化物130および制御ゲート150は、気中遮断(air break)は全くなく同じプラットフォームの上に堆積される。
次いでこの構造体はパターン形成され、エッチングされ、例えば酸化ケイ素を用いて不動態化される。この不動態化プロセスの間、当該金属炭化物層のエッジは露出されて、その金属炭化物中のアルミニウムは酸素と反応し、残りの金属膜を自己不動態化する。
(ゲート電極)
いくつかの実施形態では、金属炭化物膜が本願明細書に記載されるALDによって堆積されてゲート電極の少なくとも一部を形成するトランジスタ構造が提供される。CMOSトランジスタ中のゲートスタックの概略図が図3に与えられる。特に、半導体基板200は、トランジスタゲートスタック210がその上に形成されて示されている。図示された実施形態では、基板200は単結晶シリコンウェーハの上方部分を含むが、当業者なら、この基板は他の半導体物質をも含んでもよいということはわかるであろう。ゲートスタック210は、金属炭化物を含むゲート電極層220を具える。側壁スペーサ230および絶縁層240は、電極220を従来の様式で保護および隔離する。より高度に導電性のストラッピング層250(典型的には金属を含む)も、ケイ素含有ゲート電極層220の上に図示されている。ストラップ250は、このウェーハにわたるトランジスタゲートの間の迅速な信号伝搬を容易にし、このゲートを論理回路に接続する。集積回路トランジスタは、図3の形態とはまったく似ていない様々な形態を有することができるということに留意されたい。しかしながら、好ましい実施形態のゲート電極層220は、様々なトランジスタ型(例えば、ヘテロ接合BiCMOSトランジスタ)におけるゲート電極へ応用されるであろう。
ゲート電極220の少なくとも一部分は、1以上のアルミニウム炭化水素化合物を使用してALDによって金属炭化物層を堆積することにより形成される。いくつかの実施形態では、この金属炭化物層がゲート電極220の仕事関数を制御する。好ましい実施形態では、この金属炭化物はTaCを含む。この金属炭化物層は、好ましくは約20〜200Åの厚さまで堆積される。堆積条件、例えば温度、圧力、パルス時間およびパージ時間、(使用する場合は)プラズマ条件ならびに反応物質の選択は、当該金属炭化物層中の所望量のアルミニウムを達成し、従って所望の仕事関数を生成するように調整される。加えて、アルミニウム含有量は、好ましくは、その膜が、後のパターニングおよび/または堆積工程の間に自己不動態化することができるようなものである。
いくつかの実施形態では、この金属炭化物層は、全体のゲート電極220としての役割を果たす。他の実施形態では、第2の上方ゲート電極層は、この金属炭化物層の上にかつそれに接して堆積される。この第2の上方ゲート電極層は、ポリシリコン、窒化チタンなどの導電性物質および/またはタングステンなどの金属を含む。この上方ゲート電極層は、この金属炭化物ゲート電極層よりも厚くてもよい。いくつかの実施形態では、上方ゲート電極層は約1000Åの厚さを有する。典型的には、上方ゲート電極層はゲート電極の仕事関数には寄与しない。しかしながら、いくつかの実施形態では、当該下方ゲート電極層および上方ゲート電極層の厚さは、所望の仕事関数が達成されるように各々が仕事関数に寄与するように、選択される。この上方ゲート電極層は、好ましくは化学気相成長(CVD)型または物理気相成長(PVD)型プロセスによって堆積される。
炭化タンタル膜を、EmerALDおよびPulsar反応器の両方の中で、ALD型プロセスによって二酸化ケイ素(SiO)、Al、SiN、HfOおよびTa基体の上に堆積した。このプロセスにおける工程の順序は、金属化合物(TaCl)、アルミニウム炭化水素(TMAまたはTEA)およびパージガス(Ar)をこの基体を収容する反応空間の中へと交互にかつ逐次的にパルスとして加えることを含んでいた。堆積を様々な反応条件下で行った。
ガスパルスの順序は以下のとおりであった。
(1)TaClパルス;
(2)Arパージ;
(3)TMAまたはTEAパルス;および
(4)Arパージ。
工程(1)〜(4)を繰り返して、炭化タンタル膜を形成した。
(TMAを使用する炭化タンタル堆積)
1つの実験では、TMAおよびTaClから約375℃の反応温度で炭化タンタル膜を二酸化ケイ素(SiO)の上に堆積した。ガスパルスおよびパージ(ミリ秒、「ms」)の順序は以下のとおりであった。
(1)TaClパルス(1000ms);
(2)Arパージ(3000ms);
(3)TMAパルス(1000ms);および
(4)Arパージ(3000ms)。
工程(1)〜(4)を繰り返して、およそ3.5Å/サイクルの堆積速度で均一な炭化タンタル膜を形成した。
(TEAを使用する炭化タンタル堆積)
別の実験では、TEAおよびTaClから約375℃の反応温度で炭化タンタル膜を二酸化ケイ素(SiO)の上に堆積した。ガスパルスおよびパージ(ミリ秒、「ms」)の順序は以下のとおりであった。
(1)TaClパルス(1000ms);
(2)Arパージ(3000ms);
(3)TEAパルス(2000ms);および
(4)Arパージ(4000ms)。
工程(1)〜(4)を繰り返して、およそ4Å/サイクルの堆積速度で均一な炭化タンタル膜を形成した。
(TaC膜特性)
上記の実施例に概略を示した手順に従って形成した200Åの炭化タンタル膜の抵抗率は、TMAをアルミニウム炭化水素として使用した場合は約1200μohm*cmでり、TEAをアルミニウム炭化水素反応物質として使用した場合は約700μohm*cmであった。
Al基体の上に堆積した場合は、TMAをアルミニウム炭化水素化合物として使用して堆積したTaC膜は、引っかき試験およびテープ試験においてその基体から層間剥離した。しかしながら、他は同一の条件下でTEAを使用して堆積したTaC膜は、同じ測定を使用しても良好な接着を示した。
上述の実施形態のうちの少なくともいくつかにおいて、実施形態で使用されたいずれかの要素は、置き換えは実現可能ではない場合を除いて、別の実施形態において交換可能に使用することができる。
本発明の範囲から逸脱せずに種々の他の省略、付加および改変を、上に記載された方法および構造に対してなしてもよいということは、当業者なら分かるであろう。すべてのこのような改変および変更は、添付の特許請求の範囲によって定められるところの本発明の範囲内に包含されることが意図されている。

Claims (28)

  1. 反応空間中の基体の上に金属炭化物薄膜を形成するための原子層堆積方法であって、
    各サイクルが
    気相の金属ハロゲン化物を前記反応空間に与え、これにより前記金属ハロゲン化物が基板上に金属層を形成する工程、
    過剰の気相の金属ハロゲン化物および反応副生成物を除去する工程、
    気相のトリエチルアルミニウム(TEA)を前記反応空間に与え、これによりTEAが前記金属層と反応して前記金属層中に炭素を堆積させ、かつ揮発性の反応副生成物を生成する工程、および
    過剰の気相のTEAおよび揮発性の反応副生成物を前記反応空間から除去する工程、
    を含む、アルミニウムを16%以上含む金属炭化物薄膜が形成されるような複数の熱的ALDサイクルを行うことを含む、方法。
  2. 前記金属ハロゲン化物が、Ti、Zr、Hf、V、Nb、Ta,Cr、Mo,W、Mn、Re、Fe、Co、Ni、Cu、Ag、Au、Pd、Pt、Rh、Ir、RuおよびOsからなる群から選択される1種以上の金属を含む、請求項1に記載の方法。
  3. 前記金属ハロゲン化物はハロゲン化タンタルである、請求項1に記載の方法。
  4. 前記金属ハロゲン化物はTaClである、請求項1に記載の方法。
  5. 前記金属ハロゲン化物はNbを含む、請求項1に記載の方法。
  6. 前記金属ハロゲン化物はTiを含む、請求項1に記載の方法。
  7. 前記金属ハロゲン化物はTaBr、TaCl、TaIからなる群から選択される1種以上を含み、w、xおよびzは1〜5の整数である、請求項1に記載の方法。
  8. 前記金属炭化物は炭化タンタルである、請求項1に記載の方法。
  9. 前記金属炭化物は1〜1000Åの厚さまで堆積される、請求項1に記載の方法。
  10. 前記金属炭化物は20〜200Åの厚さまで堆積される、請求項に記載の方法。
  11. 前記原子層堆積方法は350℃〜400℃の温度で実施される、請求項1に記載の方法。
  12. 前記原子層堆積方法は2〜5Torr(約267Pa〜約667Pa)の圧力で実施される、請求項1に記載の方法。
  13. 気相のTEAを前記反応空間に与えることが、20秒以下のTEAのパルスを含む、請求項1に記載の方法。
  14. 気相の金属ハロゲン化物を前記反応空間に与えることが、1秒〜10秒の金属ハロゲン化物のパルスを含む、請求項1に記載の方法。
  15. 金属炭化物薄膜を形成する熱的原子層堆積(ALD)方法であって、
    前記方法は、
    基体を反応チャンバー内で金属ハロゲン化物およびトリエチルアルミニウム(TEA)の気相パルスに交互にかつ逐次的に接触させることにより、金属炭化物薄膜を堆積する工程であって、前記金属炭化物薄膜がアルミニウムを16%以上含む工程
    を含む方法。
  16. 前記基体を金属ハロゲン化物およびTEAの気相パルスに接触させることが、
    気相の金属ハロゲン化物を反応空間に与え、これにより前記基体上に金属層を形成する工程、
    前記反応空間から過剰の気相の金属ハロゲン化物を除去する工程、
    気相のTEAを前記反応空間に与え、これによりTEAが前記金属層と反応して(a)前記金属層中に炭素を堆積させ、かつ(b)揮発性の反応副生成物を生成する工程、および
    気相のTEAおよび揮発性の反応副生成物を前記反応空間から除去する工程
    を含む、請求項15に記載の方法。
  17. 前記基体を金属ハロゲン化物およびTEAの気相パルスに接触させることが、20秒以下のTEAのパルスを含む、請求項15に記載の方法。
  18. 前記基体を金属ハロゲン化物およびTEAの気相パルスに接触させることが、1秒〜10秒の金属ハロゲン化物のパルスを含む、請求項15に記載の方法。
  19. 前記金属ハロゲン化物が、Ti、Zr、Hf、V、Nb、Ta,Cr、Mo,W、Mn、Re、Fe、Co、Ni、Cu、Ag、Au、Pd、Pt、Rh、Ir、RuおよびOsからなる群から選択される1種以上の金属を含む、請求項15に記載の方法。
  20. 前記金属ハロゲン化物はNbを含む、請求項15に記載の方法。
  21. 前記金属ハロゲン化物はTiを含む、請求項15に記載の方法。
  22. 前記金属ハロゲン化物はTaを含む、請求項15に記載の方法。
  23. 前記金属ハロゲン化物はTaBr、TaCl、TaIからなる群から選択される1種以上を含み、w、xおよびzは1〜5の整数である、請求項15に記載の方法。
  24. 前記金属ハロゲン化物はTaClを含む、請求項15に記載の方法。
  25. 前記金属炭化物は炭化タンタルである、請求項15に記載の方法。
  26. 前記金属炭化物は1〜1000Åの厚さまで堆積される、請求項15に記載の方法。
  27. 前記原子層堆積方法は2〜5Torr(約267Pa〜約667Pa)の圧力で実施される、請求項15に記載の方法。
  28. 前記原子層堆積方法は350℃〜400℃の温度で実施される、請求項15に記載の方法。
JP2011505180A 2008-04-16 2009-04-15 アルミニウム炭化水素化合物を使用する金属炭化物膜の原子層堆積 Active JP5551681B2 (ja)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US4555408P 2008-04-16 2008-04-16
US61/045,554 2008-04-16
PCT/US2009/040705 WO2009129332A2 (en) 2008-04-16 2009-04-15 Atomic layer deposition of metal carbide films using aluminum hydrocarbon compounds

Publications (3)

Publication Number Publication Date
JP2011520251A JP2011520251A (ja) 2011-07-14
JP2011520251A5 JP2011520251A5 (ja) 2012-06-07
JP5551681B2 true JP5551681B2 (ja) 2014-07-16

Family

ID=41199714

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2011505180A Active JP5551681B2 (ja) 2008-04-16 2009-04-15 アルミニウム炭化水素化合物を使用する金属炭化物膜の原子層堆積

Country Status (5)

Country Link
US (2) US20090315093A1 (ja)
JP (1) JP5551681B2 (ja)
KR (1) KR101540077B1 (ja)
TW (1) TWI488990B (ja)
WO (1) WO2009129332A2 (ja)

Families Citing this family (328)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US8993055B2 (en) * 2005-10-27 2015-03-31 Asm International N.V. Enhanced thin film deposition
US10378106B2 (en) 2008-11-14 2019-08-13 Asm Ip Holding B.V. Method of forming insulation film by modified PEALD
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
US8802201B2 (en) 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
JP5587116B2 (ja) * 2009-09-30 2014-09-10 京セラ株式会社 配線基板及び実装構造体
US8513535B2 (en) * 2009-10-30 2013-08-20 Kyocera Corporation Circuit board and structure using the same
US20120100308A1 (en) * 2010-10-25 2012-04-26 Asm America, Inc. Ternary metal alloys with tunable stoichiometries
KR102111702B1 (ko) * 2011-04-07 2020-05-15 피코순 오와이 플라즈마 소오스를 갖는 원자층 퇴적
US9312155B2 (en) 2011-06-06 2016-04-12 Asm Japan K.K. High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules
US10364496B2 (en) 2011-06-27 2019-07-30 Asm Ip Holding B.V. Dual section module having shared and unshared mass flow controllers
US10854498B2 (en) 2011-07-15 2020-12-01 Asm Ip Holding B.V. Wafer-supporting device and method for producing same
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US20130078454A1 (en) * 2011-09-23 2013-03-28 Applied Materials, Inc. Metal-Aluminum Alloy Films From Metal Amidinate Precursors And Aluminum Precursors
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
US8927059B2 (en) * 2011-11-08 2015-01-06 Applied Materials, Inc. Deposition of metal films using alane-based precursors
JP6039996B2 (ja) * 2011-12-09 2016-12-07 株式会社日立国際電気 半導体装置の製造方法、基板処理方法、基板処理装置およびプログラム
TWI563111B (en) * 2011-12-16 2016-12-21 Applied Materials Inc Film deposition using tantalum precursors
US9145612B2 (en) 2012-07-06 2015-09-29 Applied Materials, Inc. Deposition of N-metal films comprising aluminum alloys
US9659799B2 (en) 2012-08-28 2017-05-23 Asm Ip Holding B.V. Systems and methods for dynamic semiconductor process scheduling
US9021985B2 (en) 2012-09-12 2015-05-05 Asm Ip Holdings B.V. Process gas management for an inductively-coupled plasma deposition reactor
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
TWI628305B (zh) 2012-10-23 2018-07-01 應用材料股份有限公司 包含具高鋁含量的鋁合金之膜的沉積
US9064857B2 (en) 2012-12-19 2015-06-23 Taiwan Semiconductor Manufacturing Company, Ltd. N metal for FinFET
JP6084070B2 (ja) * 2013-02-28 2017-02-22 株式会社日立国際電気 半導体装置の製造方法、プログラムおよび基板処理装置
US9484191B2 (en) 2013-03-08 2016-11-01 Asm Ip Holding B.V. Pulsed remote plasma method and system
US9589770B2 (en) 2013-03-08 2017-03-07 Asm Ip Holding B.V. Method and systems for in-situ formation of intermediate reactive species
WO2014164742A1 (en) * 2013-03-11 2014-10-09 Intermolecular, Inc Atomic layer deposition of hfaic as a metal gate workfunction material in mos devices
US9607904B2 (en) 2013-03-11 2017-03-28 Intermolecular, Inc. Atomic layer deposition of HfAlC as a metal gate workfunction material in MOS devices
US9412602B2 (en) 2013-03-13 2016-08-09 Asm Ip Holding B.V. Deposition of smooth metal nitride films
US8841182B1 (en) 2013-03-14 2014-09-23 Asm Ip Holding B.V. Silane and borane treatments for titanium carbide films
US8846550B1 (en) 2013-03-14 2014-09-30 Asm Ip Holding B.V. Silane or borane treatment of metal thin films
KR102060834B1 (ko) * 2013-07-23 2019-12-30 삼성전자주식회사 반도체 장치 및 그 제조방법
US9240412B2 (en) 2013-09-27 2016-01-19 Asm Ip Holding B.V. Semiconductor structure and device and methods of forming same using selective epitaxial process
US9245742B2 (en) 2013-12-18 2016-01-26 Asm Ip Holding B.V. Sulfur-containing thin films
US9394609B2 (en) 2014-02-13 2016-07-19 Asm Ip Holding B.V. Atomic layer deposition of aluminum fluoride thin films
US10683571B2 (en) 2014-02-25 2020-06-16 Asm Ip Holding B.V. Gas supply manifold and method of supplying gases to chamber using same
KR102172753B1 (ko) 2014-03-05 2020-11-02 삼성전자주식회사 반도체 제조 장비 운용 방법
US10167557B2 (en) 2014-03-18 2019-01-01 Asm Ip Holding B.V. Gas distribution system, reactor including the system, and methods of using the same
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US10643925B2 (en) 2014-04-17 2020-05-05 Asm Ip Holding B.V. Fluorine-containing conductive films
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US10408776B2 (en) * 2014-08-29 2019-09-10 Kyocera Corporation Sensor board, lead-bearing sensor board, and sensor device
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US9657845B2 (en) 2014-10-07 2017-05-23 Asm Ip Holding B.V. Variable conductance gas distribution apparatus and method
US10002936B2 (en) 2014-10-23 2018-06-19 Asm Ip Holding B.V. Titanium aluminum and tantalum aluminum thin films
KR102514466B1 (ko) * 2014-12-15 2023-03-24 어플라이드 머티어리얼스, 인코포레이티드 진보된 배선 애플리케이션들을 위한 초박 유전체 확산 배리어 및 에칭 정지 층
KR102263121B1 (ko) 2014-12-22 2021-06-09 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 및 그 제조 방법
US10529542B2 (en) 2015-03-11 2020-01-07 Asm Ip Holdings B.V. Cross-flow reactor and method
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US10458018B2 (en) * 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10600673B2 (en) 2015-07-07 2020-03-24 Asm Ip Holding B.V. Magnetic susceptor to baseplate seal
US10083836B2 (en) 2015-07-24 2018-09-25 Asm Ip Holding B.V. Formation of boron-doped titanium metal films with high work function
US11421321B2 (en) 2015-07-28 2022-08-23 Asm Ip Holding B.V. Apparatuses for thin film deposition
US10204790B2 (en) 2015-07-28 2019-02-12 Asm Ip Holding B.V. Methods for thin film deposition
US9960072B2 (en) 2015-09-29 2018-05-01 Asm Ip Holding B.V. Variable adjustment for precise matching of multiple chamber cavity housings
US9941425B2 (en) 2015-10-16 2018-04-10 Asm Ip Holdings B.V. Photoactive devices and materials
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US10322384B2 (en) 2015-11-09 2019-06-18 Asm Ip Holding B.V. Counter flow mixer for process chamber
US9786491B2 (en) 2015-11-12 2017-10-10 Asm Ip Holding B.V. Formation of SiOCN thin films
KR101818610B1 (ko) * 2015-11-12 2018-01-16 성균관대학교산학협력단 탄소, 산소, 및 금속을 포함하는 금속탄화산화물 박막 및 그의 제조방법
US9786492B2 (en) 2015-11-12 2017-10-10 Asm Ip Holding B.V. Formation of SiOCN thin films
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10468251B2 (en) 2016-02-19 2019-11-05 Asm Ip Holding B.V. Method for forming spacers using silicon nitride film for spacer-defined multiple patterning
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10501866B2 (en) 2016-03-09 2019-12-10 Asm Ip Holding B.V. Gas distribution apparatus for improved film uniformity in an epitaxial system
US10343920B2 (en) 2016-03-18 2019-07-09 Asm Ip Holding B.V. Aligned carbon nanotubes
US9892913B2 (en) 2016-03-24 2018-02-13 Asm Ip Holding B.V. Radial and thickness control via biased multi-port injection settings
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
US10032628B2 (en) 2016-05-02 2018-07-24 Asm Ip Holding B.V. Source/drain performance through conformal solid state doping
KR102378021B1 (ko) 2016-05-06 2022-03-23 에이에스엠 아이피 홀딩 비.브이. SiOC 박막의 형성
KR102592471B1 (ko) 2016-05-17 2023-10-20 에이에스엠 아이피 홀딩 비.브이. 금속 배선 형성 방법 및 이를 이용한 반도체 장치의 제조 방법
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US10388509B2 (en) 2016-06-28 2019-08-20 Asm Ip Holding B.V. Formation of epitaxial layers via dislocation filtering
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
US10381226B2 (en) 2016-07-27 2019-08-13 Asm Ip Holding B.V. Method of processing substrate
US10395919B2 (en) 2016-07-28 2019-08-27 Asm Ip Holding B.V. Method and apparatus for filling a gap
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
US20180094352A1 (en) * 2016-09-30 2018-04-05 Uchicago Argonne, Llc Systems and methods for metal layer adhesion
US10410943B2 (en) 2016-10-13 2019-09-10 Asm Ip Holding B.V. Method for passivating a surface of a semiconductor and related systems
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10435790B2 (en) 2016-11-01 2019-10-08 Asm Ip Holding B.V. Method of subatmospheric plasma-enhanced ALD using capacitively coupled electrodes with narrow gap
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10643904B2 (en) 2016-11-01 2020-05-05 Asm Ip Holdings B.V. Methods for forming a semiconductor device and related semiconductor device structures
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10134757B2 (en) 2016-11-07 2018-11-20 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by using the method
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
US10340135B2 (en) 2016-11-28 2019-07-02 Asm Ip Holding B.V. Method of topologically restricted plasma-enhanced cyclic deposition of silicon or metal nitride
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
KR20180070971A (ko) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10655221B2 (en) 2017-02-09 2020-05-19 Asm Ip Holding B.V. Method for depositing oxide film by thermal ALD and PEALD
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
US10283353B2 (en) 2017-03-29 2019-05-07 Asm Ip Holding B.V. Method of reforming insulating film deposited on substrate with recess pattern
US10847529B2 (en) 2017-04-13 2020-11-24 Asm Ip Holding B.V. Substrate processing method and device manufactured by the same
KR102457289B1 (ko) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10504901B2 (en) 2017-04-26 2019-12-10 Asm Ip Holding B.V. Substrate processing method and device manufactured using the same
US20200135445A1 (en) * 2017-04-28 2020-04-30 Semiconductor Energy Laboratory Co., Ltd. Semiconductor device and method for fabricating semiconductor device
US11158500B2 (en) 2017-05-05 2021-10-26 Asm Ip Holding B.V. Plasma enhanced deposition processes for controlled formation of oxygen containing thin films
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10446393B2 (en) 2017-05-08 2019-10-15 Asm Ip Holding B.V. Methods for forming silicon-containing epitaxial layers and related semiconductor device structures
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US10504742B2 (en) 2017-05-31 2019-12-10 Asm Ip Holding B.V. Method of atomic layer etching using hydrogen plasma
US10886123B2 (en) 2017-06-02 2021-01-05 Asm Ip Holding B.V. Methods for forming low temperature semiconductor layers and related semiconductor device structures
EP3480337A4 (en) * 2017-06-12 2020-04-29 ULVAC, Inc. THIN FILM FORMING PROCESS
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US10685834B2 (en) 2017-07-05 2020-06-16 Asm Ip Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10605530B2 (en) 2017-07-26 2020-03-31 Asm Ip Holding B.V. Assembly of a liner and a flange for a vertical furnace as well as the liner and the vertical furnace
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10312055B2 (en) 2017-07-26 2019-06-04 Asm Ip Holding B.V. Method of depositing film by PEALD using negative bias
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US10249524B2 (en) 2017-08-09 2019-04-02 Asm Ip Holding B.V. Cassette holder assembly for a substrate cassette and holding member for use in such assembly
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US10236177B1 (en) 2017-08-22 2019-03-19 ASM IP Holding B.V.. Methods for depositing a doped germanium tin semiconductor and related semiconductor device structures
USD900036S1 (en) 2017-08-24 2020-10-27 Asm Ip Holding B.V. Heater electrical connector and adapter
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
US10607895B2 (en) 2017-09-18 2020-03-31 Asm Ip Holdings B.V. Method for forming a semiconductor device structure comprising a gate fill metal
KR102630301B1 (ko) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10319588B2 (en) 2017-10-10 2019-06-11 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
KR102443047B1 (ko) 2017-11-16 2022-09-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 방법 및 그에 의해 제조된 장치
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
CN111344522B (zh) 2017-11-27 2022-04-12 阿斯莫Ip控股公司 包括洁净迷你环境的装置
KR102597978B1 (ko) 2017-11-27 2023-11-06 에이에스엠 아이피 홀딩 비.브이. 배치 퍼니스와 함께 사용하기 위한 웨이퍼 카세트를 보관하기 위한 보관 장치
KR20190065962A (ko) 2017-12-04 2019-06-12 에이에스엠 아이피 홀딩 비.브이. 유전체와 금속 표면 상에 SiOC의 균일한 증착
US10290508B1 (en) 2017-12-05 2019-05-14 Asm Ip Holding B.V. Method for forming vertical spacers for spacer-defined patterning
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
TWI799494B (zh) 2018-01-19 2023-04-21 荷蘭商Asm 智慧財產控股公司 沈積方法
KR20200108016A (ko) 2018-01-19 2020-09-16 에이에스엠 아이피 홀딩 비.브이. 플라즈마 보조 증착에 의해 갭 충진 층을 증착하는 방법
USD903477S1 (en) 2018-01-24 2020-12-01 Asm Ip Holdings B.V. Metal clamp
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
US10535516B2 (en) 2018-02-01 2020-01-14 Asm Ip Holdings B.V. Method for depositing a semiconductor structure on a surface of a substrate and related semiconductor structures
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
US11685991B2 (en) 2018-02-14 2023-06-27 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10658181B2 (en) 2018-02-20 2020-05-19 Asm Ip Holding B.V. Method of spacer-defined direct patterning in semiconductor fabrication
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
US10510536B2 (en) 2018-03-29 2019-12-17 Asm Ip Holding B.V. Method of depositing a co-doped polysilicon film on a surface of a substrate within a reaction chamber
KR102501472B1 (ko) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
KR102550652B1 (ko) * 2018-04-02 2023-07-05 삼성전자주식회사 반도체 소자의 제조 방법
KR20190128558A (ko) 2018-05-08 2019-11-18 에이에스엠 아이피 홀딩 비.브이. 기판 상에 산화물 막을 주기적 증착 공정에 의해 증착하기 위한 방법 및 관련 소자 구조
TWI816783B (zh) 2018-05-11 2023-10-01 荷蘭商Asm 智慧財產控股公司 用於基板上形成摻雜金屬碳化物薄膜之方法及相關半導體元件結構
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
TW202013553A (zh) 2018-06-04 2020-04-01 荷蘭商Asm 智慧財產控股公司 水氣降低的晶圓處置腔室
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
TWI819010B (zh) 2018-06-27 2023-10-21 荷蘭商Asm Ip私人控股有限公司 用於形成含金屬材料及包含含金屬材料的膜及結構之循環沉積方法
WO2020003000A1 (en) 2018-06-27 2020-01-02 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
KR20200002519A (ko) 2018-06-29 2020-01-08 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US10483099B1 (en) 2018-07-26 2019-11-19 Asm Ip Holding B.V. Method for forming thermally stable organosilicon polymer film
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
US10847365B2 (en) 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
US10811256B2 (en) 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US10381219B1 (en) 2018-10-25 2019-08-13 Asm Ip Holding B.V. Methods for forming a silicon nitride film
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10559458B1 (en) 2018-11-26 2020-02-11 Asm Ip Holding B.V. Method of forming oxynitride film
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
TW202037745A (zh) 2018-12-14 2020-10-16 荷蘭商Asm Ip私人控股有限公司 形成裝置結構之方法、其所形成之結構及施行其之系統
TWI819180B (zh) 2019-01-17 2023-10-21 荷蘭商Asm 智慧財產控股公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
US11482533B2 (en) 2019-02-20 2022-10-25 Asm Ip Holding B.V. Apparatus and methods for plug fill deposition in 3-D NAND applications
KR102638425B1 (ko) 2019-02-20 2024-02-21 에이에스엠 아이피 홀딩 비.브이. 기판 표면 내에 형성된 오목부를 충진하기 위한 방법 및 장치
TW202104632A (zh) 2019-02-20 2021-02-01 荷蘭商Asm Ip私人控股有限公司 用來填充形成於基材表面內之凹部的循環沉積方法及設備
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
TW202100794A (zh) 2019-02-22 2021-01-01 荷蘭商Asm Ip私人控股有限公司 基材處理設備及處理基材之方法
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
KR20200108248A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOCN 층을 포함한 구조체 및 이의 형성 방법
JP2020167398A (ja) 2019-03-28 2020-10-08 エーエスエム・アイピー・ホールディング・ベー・フェー ドアオープナーおよびドアオープナーが提供される基材処理装置
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
KR20200123380A (ko) 2019-04-19 2020-10-29 에이에스엠 아이피 홀딩 비.브이. 층 형성 방법 및 장치
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
US20200362458A1 (en) * 2019-05-14 2020-11-19 Applied Materials, Inc. Deposition of rhenium-containing thin films
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141003A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 가스 감지기를 포함하는 기상 반응기 시스템
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP2021015791A (ja) 2019-07-09 2021-02-12 エーエスエム アイピー ホールディング ビー.ブイ. 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
CN112242296A (zh) 2019-07-19 2021-01-19 Asm Ip私人控股有限公司 形成拓扑受控的无定形碳聚合物膜的方法
CN112309843A (zh) 2019-07-29 2021-02-02 Asm Ip私人控股有限公司 实现高掺杂剂掺入的选择性沉积方法
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
CN112323048B (zh) 2019-08-05 2024-02-09 Asm Ip私人控股有限公司 用于化学源容器的液位传感器
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
TW202129060A (zh) 2019-10-08 2021-08-01 荷蘭商Asm Ip控股公司 基板處理裝置、及基板處理方法
KR20210043460A (ko) 2019-10-10 2021-04-21 에이에스엠 아이피 홀딩 비.브이. 포토레지스트 하부층을 형성하기 위한 방법 및 이를 포함한 구조체
KR20210045930A (ko) 2019-10-16 2021-04-27 에이에스엠 아이피 홀딩 비.브이. 실리콘 산화물의 토폴로지-선택적 막의 형성 방법
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
KR20210065848A (ko) 2019-11-26 2021-06-04 에이에스엠 아이피 홀딩 비.브이. 제1 유전체 표면과 제2 금속성 표면을 포함한 기판 상에 타겟 막을 선택적으로 형성하기 위한 방법
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210078405A (ko) 2019-12-17 2021-06-28 에이에스엠 아이피 홀딩 비.브이. 바나듐 나이트라이드 층을 형성하는 방법 및 바나듐 나이트라이드 층을 포함하는 구조
KR20210080214A (ko) 2019-12-19 2021-06-30 에이에스엠 아이피 홀딩 비.브이. 기판 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
KR20210095050A (ko) 2020-01-20 2021-07-30 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법 및 박막 표면 개질 방법
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
KR20210100010A (ko) 2020-02-04 2021-08-13 에이에스엠 아이피 홀딩 비.브이. 대형 물품의 투과율 측정을 위한 방법 및 장치
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
TW202146715A (zh) 2020-02-17 2021-12-16 荷蘭商Asm Ip私人控股有限公司 用於生長磷摻雜矽層之方法及其系統
US11380697B2 (en) 2020-02-25 2022-07-05 Tokyo Electron Limited Raised pad formations for contacts in three-dimensional structures on microelectronic workpieces
US11876356B2 (en) 2020-03-11 2024-01-16 Asm Ip Holding B.V. Lockout tagout assembly and system and method of using same
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
TW202146831A (zh) 2020-04-24 2021-12-16 荷蘭商Asm Ip私人控股有限公司 垂直批式熔爐總成、及用於冷卻垂直批式熔爐之方法
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
JP2021172884A (ja) 2020-04-24 2021-11-01 エーエスエム・アイピー・ホールディング・ベー・フェー 窒化バナジウム含有層を形成する方法および窒化バナジウム含有層を含む構造体
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
KR20210143653A (ko) 2020-05-19 2021-11-29 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
KR20220010438A (ko) 2020-07-17 2022-01-25 에이에스엠 아이피 홀딩 비.브이. 포토리소그래피에 사용하기 위한 구조체 및 방법
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
KR20220027026A (ko) 2020-08-26 2022-03-07 에이에스엠 아이피 홀딩 비.브이. 금속 실리콘 산화물 및 금속 실리콘 산질화물 층을 형성하기 위한 방법 및 시스템
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
TW202217037A (zh) 2020-10-22 2022-05-01 荷蘭商Asm Ip私人控股有限公司 沉積釩金屬的方法、結構、裝置及沉積總成
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
KR20220076343A (ko) 2020-11-30 2022-06-08 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치의 반응 챔버 내에 배열되도록 구성된 인젝터
CN114639631A (zh) 2020-12-16 2022-06-17 Asm Ip私人控股有限公司 跳动和摆动测量固定装置
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate

Family Cites Families (186)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
FI119941B (fi) * 1999-10-15 2009-05-15 Asm Int Menetelmä nanolaminaattien valmistamiseksi
FI117944B (fi) 1999-10-15 2007-04-30 Asm Int Menetelmä siirtymämetallinitridiohutkalvojen kasvattamiseksi
US3708728A (en) * 1971-06-10 1973-01-02 Int Standard Electric Corp Electrolytic capacitor electrode comprising semiconductor core with film-forming metal coating
US4085430A (en) * 1974-01-24 1978-04-18 U.S. Philips Corporation Thin film magnetic head with a gap formed between a loop shaped core part and a bridging core part
SE393967B (sv) 1974-11-29 1977-05-31 Sateko Oy Forfarande och for utforande av stroleggning mellan lagren i ett virkespaket
US4282267A (en) * 1979-09-20 1981-08-04 Western Electric Co., Inc. Methods and apparatus for generating plasmas
US4389973A (en) * 1980-03-18 1983-06-28 Oy Lohja Ab Apparatus for performing growth of compound thin films
JPS5833841A (ja) 1981-08-24 1983-02-28 Hitachi Ltd 半導体装置
EP0149044B1 (en) * 1983-11-11 1987-05-13 Research Development Corporation of Japan Boron nitride containing titanium nitride, method of producing the same and composite ceramics produced therefrom
US5693139A (en) 1984-07-26 1997-12-02 Research Development Corporation Of Japan Growth of doped semiconductor monolayers
GB8516537D0 (en) * 1985-06-29 1985-07-31 Standard Telephones Cables Ltd Pulsed plasma apparatus
US5769950A (en) * 1985-07-23 1998-06-23 Canon Kabushiki Kaisha Device for forming deposited film
US4761269A (en) * 1986-06-12 1988-08-02 Crystal Specialties, Inc. Apparatus for depositing material on a substrate
US4747367A (en) * 1986-06-12 1988-05-31 Crystal Specialties, Inc. Method and apparatus for producing a constant flow, constant pressure chemical vapor deposition
US4767494A (en) * 1986-07-04 1988-08-30 Nippon Telegraph & Telephone Corporation Preparation process of compound semiconductor
US5221556A (en) * 1987-06-24 1993-06-22 Epsilon Technology, Inc. Gas injectors for reaction chambers in CVD systems
US5166092A (en) 1988-01-28 1992-11-24 Fujitsu Limited Method of growing compound semiconductor epitaxial layer by atomic layer epitaxy
US4851095A (en) * 1988-02-08 1989-07-25 Optical Coating Laboratory, Inc. Magnetron sputtering apparatus and process
US4981724A (en) 1988-10-27 1991-01-01 Hochberg Arthur K Deposition of silicon oxide films using alkylsilane liquid sources
JPH0831454B2 (ja) 1989-04-21 1996-03-27 日本電気株式会社 半導体装置の製造方法
US5549937A (en) * 1989-10-11 1996-08-27 U.S. Philips Corporation Method of plasma-activated reactive deposition of electrically conducting multicomponent material from a gas phase
JP2822536B2 (ja) 1990-02-14 1998-11-11 住友電気工業株式会社 立方晶窒化ホウ素薄膜の形成方法
US5071670A (en) 1990-06-11 1991-12-10 Kelly Michael A Method for chemical vapor deposition under a single reactor vessel divided into separate reaction chambers each with its own depositing and exhausting means
US5225366A (en) * 1990-06-22 1993-07-06 The United States Of America As Represented By The Secretary Of The Navy Apparatus for and a method of growing thin films of elemental semiconductors
US5382333A (en) * 1990-07-30 1995-01-17 Mitsubishi Gas Chemical Company, Inc. Process for producing copper clad laminate
US5356673A (en) 1991-03-18 1994-10-18 Jet Process Corporation Evaporation system and method for gas jet deposition of thin film materials
US5316793A (en) * 1992-07-27 1994-05-31 Texas Instruments Incorporated Directed effusive beam atomic layer epitaxy system and method
US5270247A (en) 1991-07-12 1993-12-14 Fujitsu Limited Atomic layer epitaxy of compound semiconductor
US5242530A (en) 1991-08-05 1993-09-07 International Business Machines Corporation Pulsed gas plasma-enhanced chemical vapor deposition of silicon
CA2059818C (en) 1991-08-21 1995-01-03 Gokichi Hatouchi Apparatus for storing articles
JPH05335257A (ja) 1992-06-03 1993-12-17 Showa Shell Sekiyu Kk p型シリコンカーバイドの形成方法
US5342652A (en) * 1992-06-15 1994-08-30 Materials Research Corporation Method of nucleating tungsten on titanium nitride by CVD without silane
JPH0637041A (ja) 1992-07-15 1994-02-10 Hitachi Ltd 配線部材の形成方法
US5306666A (en) * 1992-07-24 1994-04-26 Nippon Steel Corporation Process for forming a thin metal film by chemical vapor deposition
JPH0669157A (ja) 1992-08-21 1994-03-11 Sony Corp チタン膜の形成方法
FR2695944B1 (fr) * 1992-09-24 1994-11-18 Onera (Off Nat Aerospatiale) Appareil de dépôt chimique en phase vapeur activé par un plasma micro-ondes.
US5443647A (en) * 1993-04-28 1995-08-22 The United States Of America As Represented By The Secretary Of The Army Method and apparatus for depositing a refractory thin film by chemical vapor deposition
US5438028A (en) * 1993-10-15 1995-08-01 Texaco Inc. Method of enhancing finished catalysts
JP3356531B2 (ja) 1994-02-15 2002-12-16 東京エレクトロン株式会社 ボロン含有ポリシリコン膜の形成方法
US6200389B1 (en) * 1994-07-18 2001-03-13 Silicon Valley Group Thermal Systems Llc Single body injector and deposition chamber
FI97731C (fi) * 1994-11-28 1997-02-10 Mikrokemia Oy Menetelmä ja laite ohutkalvojen valmistamiseksi
FI100409B (fi) 1994-11-28 1997-11-28 Asm Int Menetelmä ja laitteisto ohutkalvojen valmistamiseksi
FI97730C (fi) * 1994-11-28 1997-02-10 Mikrokemia Oy Laitteisto ohutkalvojen valmistamiseksi
DE69517158T2 (de) 1994-11-30 2001-01-25 Micron Technology Inc Verfahren zum auftragen von wolframnitrid unter verwendung eines silicium enthaltenden gases
US5780164A (en) 1994-12-12 1998-07-14 The Dow Chemical Company Computer disk substrate, the process for making same, and the material made therefrom
WO1996018756A1 (en) 1994-12-16 1996-06-20 Nkt Research Center A/S A PA-CVD PROCESS FOR DEPOSITION OF A SOLID METAL-CONTAINING FILM ONTO A SUBSTRATE CONTAINING AT LEAST 50 % of Fe or WC
WO1996020298A1 (de) 1994-12-27 1996-07-04 Siemens Aktiengesellschaft Verfahren zum herstellen von mit bor dotiertem, einkristallinem siliciumcarbid
US6006763A (en) 1995-01-11 1999-12-28 Seiko Epson Corporation Surface treatment method
JPH08264530A (ja) 1995-03-20 1996-10-11 Fujitsu Ltd 半導体装置の製造方法及び半導体装置の製造装置
DE19514081A1 (de) 1995-04-13 1996-10-17 Siemens Ag Verfahren zum Herstellen eines elektrischen Kontakts auf einer SiC-Oberfläche
US5744254A (en) * 1995-05-24 1998-04-28 Virginia Tech Intellectual Properties, Inc. Composite materials including metallic matrix composite reinforcements
US5595784A (en) * 1995-08-01 1997-01-21 Kaim; Robert Titanium nitride and multilayers formed by chemical vapor deposition of titanium halides
JPH0987857A (ja) 1995-09-27 1997-03-31 Res Dev Corp Of Japan プラズマcvdによる炭化物コーティング方法
TW328971B (en) 1995-10-30 1998-04-01 Dow Corning Method for depositing Si-O containing coatings
KR0155918B1 (ko) * 1995-11-03 1998-12-01 김광호 선택적 텅스텐질화박막을 이용한 반도체장치의 캐패시터 형성방법
US6509098B1 (en) 1995-11-17 2003-01-21 Massachusetts Institute Of Technology Poly(ethylene oxide) coated surfaces
US5672054A (en) * 1995-12-07 1997-09-30 Carrier Corporation Rotary compressor with reduced lubrication sensitivity
US5946594A (en) 1996-01-02 1999-08-31 Micron Technology, Inc. Chemical vapor deposition of titanium from titanium tetrachloride and hydrocarbon reactants
US5965004A (en) 1996-03-13 1999-10-12 Sterling Pulp Chemicals, Ltd. Chlorine dioxide generation for water treatment
CA2172870A1 (en) 1996-03-28 1997-09-29 Michael Lambert Connectors for a modular building set
US5789024A (en) * 1996-05-15 1998-08-04 New Jersey Institute Of Technology Subnanoscale composite, N2-permselective membrane for the separation of volatile organic compounds
US6342277B1 (en) * 1996-08-16 2002-01-29 Licensee For Microelectronics: Asm America, Inc. Sequential chemical vapor deposition
US5915004A (en) * 1996-07-11 1999-06-22 Microsoft Corporation Moving a messaging system mailbox
US5916365A (en) * 1996-08-16 1999-06-29 Sherman; Arthur Sequential chemical vapor deposition
FI100758B (fi) 1996-09-11 1998-02-13 Planar Internat Oy Ltd Menetelmä ZnS:Mn-loisteainekerroksen kasvattamiseksi ohutkalvoelektrol uminenssikomponentteja varten
JP3353874B2 (ja) 1996-09-24 2002-12-03 シャープ株式会社 半導体装置及びその製造方法
EP0841690B1 (en) * 1996-11-12 2006-03-01 Samsung Electronics Co., Ltd. Tungsten nitride (WNx) layer manufacturing method and metal wiring manufacturing method
TW320752B (en) * 1996-11-18 1997-11-21 United Microelectronics Corp Metal gate electrode process
US6174377B1 (en) 1997-03-03 2001-01-16 Genus, Inc. Processing chamber for atomic layer deposition processes
US6156382A (en) 1997-05-16 2000-12-05 Applied Materials, Inc. Chemical vapor deposition process for depositing tungsten
US6287965B1 (en) 1997-07-28 2001-09-11 Samsung Electronics Co, Ltd. Method of forming metal layer using atomic layer deposition and semiconductor device having the metal layer as barrier metal layer or upper or lower electrode of capacitor
KR100269306B1 (ko) 1997-07-31 2000-10-16 윤종용 저온처리로안정화되는금속산화막으로구성된완충막을구비하는집적회로장치및그제조방법
KR100261017B1 (ko) 1997-08-19 2000-08-01 윤종용 반도체 장치의 금속 배선층을 형성하는 방법
US5913145A (en) 1997-08-28 1999-06-15 Texas Instruments Incorporated Method for fabricating thermally stable contacts with a diffusion barrier formed at high temperatures
US6348376B2 (en) 1997-09-29 2002-02-19 Samsung Electronics Co., Ltd. Method of forming metal nitride film by chemical vapor deposition and method of forming metal contact and capacitor of semiconductor device using the same
KR100274603B1 (ko) 1997-10-01 2001-01-15 윤종용 반도체장치의제조방법및그의제조장치
US5972430A (en) 1997-11-26 1999-10-26 Advanced Technology Materials, Inc. Digital chemical vapor deposition (CVD) method for forming a multi-component oxide layer
US6099904A (en) * 1997-12-02 2000-08-08 Applied Materials, Inc. Low resistivity W using B2 H6 nucleation step
FI104383B (fi) * 1997-12-09 2000-01-14 Fortum Oil & Gas Oy Menetelmä laitteistojen sisäpintojen päällystämiseksi
US6104074A (en) * 1997-12-11 2000-08-15 Apa Optics, Inc. Schottky barrier detectors for visible-blind ultraviolet detection
KR100269328B1 (ko) * 1997-12-31 2000-10-16 윤종용 원자층 증착 공정을 이용하는 도전층 형성방법
US6015917A (en) 1998-01-23 2000-01-18 Advanced Technology Materials, Inc. Tantalum amide precursors for deposition of tantalum nitride on a substrate
KR100287174B1 (ko) 1998-03-17 2001-04-16 윤종용 다원자계산화물 및 질화물의박막제조방법
US6380627B1 (en) * 1998-06-26 2002-04-30 The Regents Of The University Of California Low resistance barrier layer for isolating, adhering, and passivating copper metal in semiconductor fabrication
DE19832245A1 (de) 1998-07-17 2000-01-20 Heidelberger Druckmasch Ag Verfahren zum Bedienen von Geräten in der Reproduktionstechnik
JP2960928B1 (ja) * 1998-07-24 1999-10-12 スタンレー電気株式会社 車両用信号灯具
KR100275738B1 (ko) * 1998-08-07 2000-12-15 윤종용 원자층 증착법을 이용한 박막 제조방법
US6188134B1 (en) * 1998-08-20 2001-02-13 The United States Of America As Represented By The Secretary Of The Navy Electronic devices with rubidium barrier film and process for making same
JP3142821B2 (ja) * 1998-08-27 2001-03-07 株式会社エヌ・ティ・ティ・ドコモ 情報通信ネットワークの課金方法
TR200102340T2 (tr) 1999-02-11 2002-01-21 Hardide Limited Tungstenli karbür kaplamalar ve bunların üretimine yönelik prosesler.
AU3229600A (en) 1999-02-12 2000-08-29 Gelest, Inc. Chemical vapor deposition of tungsten nitride
US6200893B1 (en) 1999-03-11 2001-03-13 Genus, Inc Radical-assisted sequential CVD
KR20000060438A (ko) 1999-03-16 2000-10-16 이경수 산화알루미늄 막의 형성 방법
KR100273473B1 (ko) 1999-04-06 2000-11-15 이경수 박막 형성 방법
US6124158A (en) 1999-06-08 2000-09-26 Lucent Technologies Inc. Method of reducing carbon contamination of a thin dielectric film by using gaseous organic precursors, inert gas, and ozone to react with carbon contaminants
US6613383B1 (en) 1999-06-21 2003-09-02 Regents Of The University Of Colorado Atomic layer controlled deposition on particle surfaces
US6391785B1 (en) * 1999-08-24 2002-05-21 Interuniversitair Microelektronica Centrum (Imec) Method for bottomless deposition of barrier layers in integrated circuit metallization schemes
US6511539B1 (en) * 1999-09-08 2003-01-28 Asm America, Inc. Apparatus and method for growth of a thin film
US6576053B1 (en) * 1999-10-06 2003-06-10 Samsung Electronics Co., Ltd. Method of forming thin film using atomic layer deposition method
FI117942B (fi) 1999-10-14 2007-04-30 Asm Int Menetelmä oksidiohutkalvojen kasvattamiseksi
US6475276B1 (en) 1999-10-15 2002-11-05 Asm Microchemistry Oy Production of elemental thin films using a boron-containing reducing agent
EP1221178A1 (en) 1999-10-15 2002-07-10 ASM America, Inc. Method for depositing nanolaminate thin films on sensitive surfaces
US6727169B1 (en) * 1999-10-15 2004-04-27 Asm International, N.V. Method of making conformal lining layers for damascene metallization
KR100737901B1 (ko) 1999-10-15 2007-07-10 에이에스엠 인터내셔널 엔.브이. 민감한 표면에 나노적층박막을 증착하는 방법
DE60028394T2 (de) 1999-10-15 2007-03-29 Asm International N.V. Konforme auskleidungsschichten für damaszenmetallisierungen
US6203613B1 (en) * 1999-10-19 2001-03-20 International Business Machines Corporation Atomic layer deposition with nitrate containing precursors
US6780704B1 (en) 1999-12-03 2004-08-24 Asm International Nv Conformal thin films over textured capacitor electrodes
FI20000099A0 (fi) * 2000-01-18 2000-01-18 Asm Microchemistry Ltd Menetelmä metalliohutkalvojen kasvattamiseksi
KR20010075977A (ko) 2000-01-21 2001-08-11 윤덕용 부가 단량체 단일선구물질을 이용한 13 족 질화물 박막의제조방법
US6137706A (en) 2000-02-19 2000-10-24 Pulizzi Engineering Inc Dual-input, automatic-switching power supply
KR100803770B1 (ko) 2000-03-07 2008-02-15 에이에스엠 인터내셔널 엔.브이. 구배(graded)박막
KR20010088044A (ko) 2000-03-10 2001-09-26 윤종용 위성 이동통신단말기의 안테나 장치
WO2001078123A1 (en) 2000-04-11 2001-10-18 Genitech Co., Ltd. Method of forming metal interconnects
DE10018156A1 (de) 2000-04-12 2001-10-25 Bosch Gmbh Robert Elektromotor
US6482733B2 (en) 2000-05-15 2002-11-19 Asm Microchemistry Oy Protective layers prior to alternating layer deposition
AU2001260374A1 (en) 2000-05-15 2001-11-26 Asm Microchemistry Oy Process for producing integrated circuits
US6194310B1 (en) * 2000-06-01 2001-02-27 Sharp Laboratories Of America, Inc. Method of forming amorphous conducting diffusion barriers
EP2293322A1 (en) * 2000-06-08 2011-03-09 Genitech, Inc. Method for forming a metal nitride layer
US20040224504A1 (en) 2000-06-23 2004-11-11 Gadgil Prasad N. Apparatus and method for plasma enhanced monolayer processing
US7101795B1 (en) 2000-06-28 2006-09-05 Applied Materials, Inc. Method and apparatus for depositing refractory metal layers employing sequential deposition techniques to form a nucleation layer
US7405158B2 (en) * 2000-06-28 2008-07-29 Applied Materials, Inc. Methods for depositing tungsten layers employing atomic layer deposition techniques
US6355561B1 (en) * 2000-11-21 2002-03-12 Micron Technology, Inc. ALD method to improve surface coverage
US20020104481A1 (en) * 2000-12-06 2002-08-08 Chiang Tony P. System and method for modulated ion-induced atomic layer deposition (MII-ALD)
KR100386034B1 (ko) * 2000-12-06 2003-06-02 에이에스엠 마이크로케미스트리 리미티드 확산 방지막의 결정립계를 금속산화물로 충진한 구리 배선구조의 반도체 소자 제조 방법
US6464779B1 (en) 2001-01-19 2002-10-15 Novellus Systems, Inc. Copper atomic layer chemical vapor desposition
FI109770B (fi) * 2001-03-16 2002-10-15 Asm Microchemistry Oy Menetelmä metallinitridiohutkalvojen valmistamiseksi
KR100532081B1 (ko) 2001-05-14 2005-11-30 엘지.필립스 엘시디 주식회사 박막 트랜지스터 표시소자의 인듐 틴 옥사이드 재생방법
KR100404342B1 (ko) 2001-07-10 2003-11-03 주식회사 아펙스 자외선 조사장치
US7211144B2 (en) * 2001-07-13 2007-05-01 Applied Materials, Inc. Pulsed nucleation deposition of tungsten layers
US20040206008A1 (en) 2001-07-16 2004-10-21 Chien-Min Sung SiCN compositions and methods
US7098131B2 (en) 2001-07-19 2006-08-29 Samsung Electronics Co., Ltd. Methods for forming atomic layers and thin films including tantalum nitride and devices including the same
DE50205120D1 (de) 2001-08-06 2006-01-05 Degussa Organosiliciumverbindungen
JP4666912B2 (ja) 2001-08-06 2011-04-06 エー・エス・エムジニテックコリア株式会社 プラズマで補強した原子層蒸着装置及びこれを利用した薄膜形成方法
DE10140256B4 (de) 2001-08-07 2012-09-06 Bos Gmbh & Co. Kg Schutzvorrichtung für einen Laderaum eines Fahrzeugs
AU2002333601A1 (en) * 2001-09-14 2003-04-01 Asm America, Inc. Metal nitride deposition by ald using gettering reactant
US20030049931A1 (en) * 2001-09-19 2003-03-13 Applied Materials, Inc. Formation of refractory metal nitrides using chemisorption techniques
US6960537B2 (en) * 2001-10-02 2005-11-01 Asm America, Inc. Incorporation of nitrogen into high k dielectric film
TW589684B (en) * 2001-10-10 2004-06-01 Applied Materials Inc Method for depositing refractory metal layers employing sequential deposition techniques
KR100405259B1 (ko) 2001-10-19 2003-11-12 홍영기 소방용 관창의 멜빵
KR100441568B1 (ko) 2001-11-16 2004-07-23 한스타 디스플레이 코퍼레이션 열소산 구조물
US6809026B2 (en) 2001-12-21 2004-10-26 Applied Materials, Inc. Selective deposition of a barrier layer on a metal film
US20030123216A1 (en) * 2001-12-27 2003-07-03 Yoon Hyungsuk A. Deposition of tungsten for the formation of conformal tungsten silicide
US6827978B2 (en) * 2002-02-11 2004-12-07 Applied Materials, Inc. Deposition of tungsten films
US20030157760A1 (en) * 2002-02-20 2003-08-21 Applied Materials, Inc. Deposition of tungsten films for dynamic random access memory (DRAM) applications
US6833161B2 (en) * 2002-02-26 2004-12-21 Applied Materials, Inc. Cyclical deposition of tungsten nitride for metal oxide gate electrode
US6972267B2 (en) * 2002-03-04 2005-12-06 Applied Materials, Inc. Sequential deposition of tantalum nitride using a tantalum-containing precursor and a nitrogen-containing precursor
US20030194825A1 (en) 2002-04-10 2003-10-16 Kam Law Deposition of gate metallization for active matrix liquid crystal display (AMLCD) applications
US20030203616A1 (en) 2002-04-24 2003-10-30 Applied Materials, Inc. Atomic layer deposition of tungsten barrier layers using tungsten carbonyls and boranes for copper metallization
US20040129212A1 (en) 2002-05-20 2004-07-08 Gadgil Pradad N. Apparatus and method for delivery of reactive chemical precursors to the surface to be treated
KR100505043B1 (ko) 2002-05-25 2005-07-29 삼성전자주식회사 커패시터 형성 방법
KR20030093575A (ko) 2002-06-03 2003-12-11 주식회사 하이닉스반도체 고선택성 질화막을 이용한 캐패시터 제조방법
US7041335B2 (en) 2002-06-04 2006-05-09 Applied Materials, Inc. Titanium tantalum nitride silicide layer
US6838125B2 (en) * 2002-07-10 2005-01-04 Applied Materials, Inc. Method of film deposition using activated precursor gases
US7144806B1 (en) 2002-10-23 2006-12-05 Novellus Systems, Inc. ALD of tantalum using a hydride reducing agent
US7045406B2 (en) * 2002-12-03 2006-05-16 Asm International, N.V. Method of forming an electrode with adjusted work function
US7198820B2 (en) * 2003-02-06 2007-04-03 Planar Systems, Inc. Deposition of carbon- and transition metal-containing thin films
JP2007523994A (ja) * 2003-06-18 2007-08-23 アプライド マテリアルズ インコーポレイテッド バリヤ物質の原子層堆積
US20050064247A1 (en) * 2003-06-25 2005-03-24 Ajit Sane Composite refractory metal carbide coating on a substrate and method for making thereof
US7030430B2 (en) * 2003-08-15 2006-04-18 Intel Corporation Transition metal alloys for use as a gate electrode and devices incorporating these alloys
US20050095763A1 (en) * 2003-10-29 2005-05-05 Samavedam Srikanth B. Method of forming an NMOS transistor and structure thereof
US7186446B2 (en) * 2003-10-31 2007-03-06 International Business Machines Corporation Plasma enhanced ALD of tantalum nitride and bilayer
US20050252449A1 (en) 2004-05-12 2005-11-17 Nguyen Son T Control of gas flow and delivery to suppress the formation of particles in an MOCVD/ALD system
US7211507B2 (en) * 2004-06-02 2007-05-01 International Business Machines Corporation PE-ALD of TaN diffusion barrier region on low-k materials
JP2006079159A (ja) * 2004-09-07 2006-03-23 Masui Yoshiharu 防犯装置
KR100552820B1 (ko) * 2004-09-17 2006-02-21 동부아남반도체 주식회사 반도체 소자의 제조 방법
KR100714269B1 (ko) * 2004-10-14 2007-05-02 삼성전자주식회사 반도체 소자 제조에 사용되는 금속층 형성방법
KR100773755B1 (ko) * 2004-11-18 2007-11-09 주식회사 아이피에스 플라즈마 ald 박막증착방법
US7846499B2 (en) * 2004-12-30 2010-12-07 Asm International N.V. Method of pulsing vapor precursors in an ALD reactor
KR100640550B1 (ko) 2005-01-26 2006-10-31 주식회사 아이피에스 플라즈마 ald 박막증착방법
US20060240187A1 (en) 2005-01-27 2006-10-26 Applied Materials, Inc. Deposition of an intermediate catalytic layer on a barrier layer for copper metallization
US7438949B2 (en) * 2005-01-27 2008-10-21 Applied Materials, Inc. Ruthenium containing layer deposition method
JP4931171B2 (ja) 2005-03-03 2012-05-16 株式会社アルバック タンタル窒化物膜の形成方法
US8486845B2 (en) 2005-03-21 2013-07-16 Tokyo Electron Limited Plasma enhanced atomic layer deposition system and method
US7459392B2 (en) 2005-03-31 2008-12-02 Intel Corporation Noble metal barrier and seed layer for semiconductors
US7220671B2 (en) * 2005-03-31 2007-05-22 Intel Corporation Organometallic precursors for the chemical phase deposition of metal films in interconnect applications
US7927948B2 (en) * 2005-07-20 2011-04-19 Micron Technology, Inc. Devices with nanocrystals and methods of formation
US7547796B2 (en) * 2005-09-29 2009-06-16 Praxair Technology, Inc. Organometallic compounds, processes for the preparation thereof and methods of use thereof
US8993055B2 (en) * 2005-10-27 2015-03-31 Asm International N.V. Enhanced thin film deposition
US7407876B2 (en) * 2006-03-20 2008-08-05 Tokyo Electron Limited Method of plasma enhanced atomic layer deposition of TaC and TaCN films having good adhesion to copper
JP4838766B2 (ja) * 2006-10-20 2011-12-14 古河機械金属株式会社 Iii族窒化物半導体基板の製造方法およびiii族窒化物半導体基板
US8268409B2 (en) * 2006-10-25 2012-09-18 Asm America, Inc. Plasma-enhanced deposition of metal carbide films
US8795771B2 (en) 2006-10-27 2014-08-05 Sean T. Barry ALD of metal-containing films using cyclopentadienyl compounds
US7611751B2 (en) * 2006-11-01 2009-11-03 Asm America, Inc. Vapor deposition of metal carbide films
US7598170B2 (en) * 2007-01-26 2009-10-06 Asm America, Inc. Plasma-enhanced ALD of tantalum nitride films
US7713874B2 (en) 2007-05-02 2010-05-11 Asm America, Inc. Periodic plasma annealing in an ALD-type process
US7666474B2 (en) * 2008-05-07 2010-02-23 Asm America, Inc. Plasma-enhanced pulsed deposition of metal carbide films

Also Published As

Publication number Publication date
JP2011520251A (ja) 2011-07-14
WO2009129332A3 (en) 2010-01-21
KR20100134676A (ko) 2010-12-23
TWI488990B (zh) 2015-06-21
WO2009129332A2 (en) 2009-10-22
US20090315093A1 (en) 2009-12-24
KR101540077B1 (ko) 2015-07-28
US20140127405A1 (en) 2014-05-08
US9631272B2 (en) 2017-04-25
TW201000667A (en) 2010-01-01

Similar Documents

Publication Publication Date Title
JP5551681B2 (ja) アルミニウム炭化水素化合物を使用する金属炭化物膜の原子層堆積
US11926895B2 (en) Structures including metal carbide material, devices including the structures, and methods of forming same
US10964534B2 (en) Enhanced thin film deposition
JP6813983B2 (ja) アルミニウム及び窒素を含む材料の選択的堆積
US7611751B2 (en) Vapor deposition of metal carbide films
US8268409B2 (en) Plasma-enhanced deposition of metal carbide films
Leskelä et al. Atomic layer deposition (ALD): from precursors to thin film structures
US9466574B2 (en) Plasma-enhanced atomic layer deposition of conductive material over dielectric layers
US7727864B2 (en) Controlled composition using plasma-enhanced atomic layer deposition
TWI457983B (zh) 用於在反應空間中的基板上形成薄膜的原子層沉積製程
US7595270B2 (en) Passivated stoichiometric metal nitride films

Legal Events

Date Code Title Description
A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20120410

A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20120410

RD02 Notification of acceptance of power of attorney

Free format text: JAPANESE INTERMEDIATE CODE: A7422

Effective date: 20130726

A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20130830

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20130917

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20131217

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20140121

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20140415

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20140513

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20140522

R150 Certificate of patent or registration of utility model

Ref document number: 5551681

Country of ref document: JP

Free format text: JAPANESE INTERMEDIATE CODE: R150

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

S111 Request for change of ownership or part of ownership

Free format text: JAPANESE INTERMEDIATE CODE: R313113

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R350 Written notification of registration of transfer

Free format text: JAPANESE INTERMEDIATE CODE: R350

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250