AU2001260374A1 - Process for producing integrated circuits - Google Patents

Process for producing integrated circuits

Info

Publication number
AU2001260374A1
AU2001260374A1 AU2001260374A AU6037401A AU2001260374A1 AU 2001260374 A1 AU2001260374 A1 AU 2001260374A1 AU 2001260374 A AU2001260374 A AU 2001260374A AU 6037401 A AU6037401 A AU 6037401A AU 2001260374 A1 AU2001260374 A1 AU 2001260374A1
Authority
AU
Australia
Prior art keywords
integrated circuits
producing integrated
producing
circuits
integrated
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Abandoned
Application number
AU2001260374A
Inventor
Kai-Erik Elers
Pekka Juha Soininen
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
ASM Microchemistry Oy
Original Assignee
ASM Microchemistry Oy
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by ASM Microchemistry Oy filed Critical ASM Microchemistry Oy
Publication of AU2001260374A1 publication Critical patent/AU2001260374A1/en
Abandoned legal-status Critical Current

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76843Barrier, adhesion or liner layers formed in openings in a dielectric
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02041Cleaning
    • H01L21/02057Cleaning during device manufacture
    • H01L21/02068Cleaning during device manufacture during, before or after processing of conductive layers, e.g. polysilicon or amorphous silicon layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/283Deposition of conductive or insulating materials for electrodes conducting electric current
    • H01L21/285Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation
    • H01L21/28506Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers
    • H01L21/28512Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic System
    • H01L21/28556Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic System by chemical means, e.g. CVD, LPCVD, PECVD, laser CVD
    • H01L21/28562Selective deposition
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/321After treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • H01L21/76814Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics post-treatment or after-treatment, e.g. cleaning or removal of oxides on underlying conductors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76822Modification of the material of dielectric layers, e.g. grading, after-treatment to improve the stability of the layers, to increase their density etc.
    • H01L21/76823Modification of the material of dielectric layers, e.g. grading, after-treatment to improve the stability of the layers, to increase their density etc. transforming an insulating layer into a conductive layer
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76829Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers
    • H01L21/76831Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers in via holes or trenches, e.g. non-conductive sidewall liners
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76829Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers
    • H01L21/76834Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers formation of thin insulating films on the sidewalls or on top of conductors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76853Barrier, adhesion or liner layers characterized by particular after-treatment steps
    • H01L21/76861Post-treatment or after-treatment not introducing additional chemical elements into the layer
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76853Barrier, adhesion or liner layers characterized by particular after-treatment steps
    • H01L21/76861Post-treatment or after-treatment not introducing additional chemical elements into the layer
    • H01L21/76862Bombardment with particles, e.g. treatment in noble gas plasmas; UV irradiation
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76871Layers specifically deposited to enhance or enable the nucleation of further layers, i.e. seed layers
    • H01L21/76873Layers specifically deposited to enhance or enable the nucleation of further layers, i.e. seed layers for electroplating
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76877Filling of holes, grooves or trenches, e.g. vias, with conductive material
    • H01L21/76883Post-treatment or after-treatment of the conductive material
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76886Modifying permanently or temporarily the pattern or the conductivity of conductive members, e.g. formation of alloys, reduction of contact resistances
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • H01L21/76807Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics for dual damascene structures
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/26Layer connectors, e.g. plate connectors, solder or adhesive layers; Manufacturing methods related thereto
    • H01L2224/31Structure, shape, material or disposition of the layer connectors after the connecting process
    • H01L2224/32Structure, shape, material or disposition of the layer connectors after the connecting process of an individual layer connector
    • H01L2224/321Disposition
    • H01L2224/32151Disposition the layer connector connecting between a semiconductor or solid-state body and an item not being a semiconductor or solid-state body, e.g. chip-to-substrate, chip-to-passive
    • H01L2224/32221Disposition the layer connector connecting between a semiconductor or solid-state body and an item not being a semiconductor or solid-state body, e.g. chip-to-substrate, chip-to-passive the body and the item being stacked
    • H01L2224/32245Disposition the layer connector connecting between a semiconductor or solid-state body and an item not being a semiconductor or solid-state body, e.g. chip-to-substrate, chip-to-passive the body and the item being stacked the item being metallic
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/42Wire connectors; Manufacturing methods related thereto
    • H01L2224/44Structure, shape, material or disposition of the wire connectors prior to the connecting process
    • H01L2224/45Structure, shape, material or disposition of the wire connectors prior to the connecting process of an individual wire connector
    • H01L2224/45001Core members of the connector
    • H01L2224/45099Material
    • H01L2224/451Material with a principal constituent of the material being a metal or a metalloid, e.g. boron (B), silicon (Si), germanium (Ge), arsenic (As), antimony (Sb), tellurium (Te) and polonium (Po), and alloys thereof
    • H01L2224/45138Material with a principal constituent of the material being a metal or a metalloid, e.g. boron (B), silicon (Si), germanium (Ge), arsenic (As), antimony (Sb), tellurium (Te) and polonium (Po), and alloys thereof the principal constituent melting at a temperature of greater than or equal to 950°C and less than 1550°C
    • H01L2224/45147Copper (Cu) as principal constituent
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/42Wire connectors; Manufacturing methods related thereto
    • H01L2224/47Structure, shape, material or disposition of the wire connectors after the connecting process
    • H01L2224/48Structure, shape, material or disposition of the wire connectors after the connecting process of an individual wire connector
    • H01L2224/481Disposition
    • H01L2224/48151Connecting between a semiconductor or solid-state body and an item not being a semiconductor or solid-state body, e.g. chip-to-substrate, chip-to-passive
    • H01L2224/48221Connecting between a semiconductor or solid-state body and an item not being a semiconductor or solid-state body, e.g. chip-to-substrate, chip-to-passive the body and the item being stacked
    • H01L2224/48245Connecting between a semiconductor or solid-state body and an item not being a semiconductor or solid-state body, e.g. chip-to-substrate, chip-to-passive the body and the item being stacked the item being metallic
    • H01L2224/48247Connecting between a semiconductor or solid-state body and an item not being a semiconductor or solid-state body, e.g. chip-to-substrate, chip-to-passive the body and the item being stacked the item being metallic connecting the wire to a bond pad of the item
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/0001Technical content checked by a classifier
    • H01L2924/00011Not relevant to the scope of the group, the symbol of which is combined with the symbol of this group
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/10Details of semiconductor or other solid state devices to be connected
    • H01L2924/11Device type
    • H01L2924/12Passive devices, e.g. 2 terminal devices
    • H01L2924/1204Optical Diode
    • H01L2924/12044OLED
AU2001260374A 2000-05-15 2001-05-15 Process for producing integrated circuits Abandoned AU2001260374A1 (en)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
FI20001163 2000-05-15
FI20001163 2000-05-15
PCT/FI2001/000473 WO2001088972A1 (en) 2000-05-15 2001-05-15 Process for producing integrated circuits

Publications (1)

Publication Number Publication Date
AU2001260374A1 true AU2001260374A1 (en) 2001-11-26

Family

ID=8558397

Family Applications (1)

Application Number Title Priority Date Filing Date
AU2001260374A Abandoned AU2001260374A1 (en) 2000-05-15 2001-05-15 Process for producing integrated circuits

Country Status (7)

Country Link
US (4) US6482740B2 (en)
EP (1) EP1282911B1 (en)
JP (1) JP5173101B2 (en)
KR (1) KR100775159B1 (en)
AU (1) AU2001260374A1 (en)
TW (1) TW508658B (en)
WO (1) WO2001088972A1 (en)

Families Citing this family (377)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6974766B1 (en) * 1998-10-01 2005-12-13 Applied Materials, Inc. In situ deposition of a low κ dielectric layer, barrier layer, etch stop, and anti-reflective coating for damascene application
EP1129480A1 (en) * 1998-10-05 2001-09-05 Kulicke & Soffa Investments, Inc Semiconductor copper bond pad surface protection
JP2000349285A (en) * 1999-06-04 2000-12-15 Hitachi Ltd Manufacture of semiconductor integrated circuit device and the semiconductor integrated circuit device
US7554829B2 (en) 1999-07-30 2009-06-30 Micron Technology, Inc. Transmission lines for CMOS integrated circuits
US6475276B1 (en) 1999-10-15 2002-11-05 Asm Microchemistry Oy Production of elemental thin films using a boron-containing reducing agent
US6503330B1 (en) * 1999-12-22 2003-01-07 Genus, Inc. Apparatus and method to achieve continuous interface and ultrathin film during atomic layer deposition
WO2001088972A1 (en) * 2000-05-15 2001-11-22 Asm Microchemistry Oy Process for producing integrated circuits
US7494927B2 (en) 2000-05-15 2009-02-24 Asm International N.V. Method of growing electrical conductors
US6878628B2 (en) * 2000-05-15 2005-04-12 Asm International Nv In situ reduction of copper oxide prior to silicon carbide deposition
EP2293322A1 (en) * 2000-06-08 2011-03-09 Genitech, Inc. Method for forming a metal nitride layer
US20040224504A1 (en) 2000-06-23 2004-11-11 Gadgil Prasad N. Apparatus and method for plasma enhanced monolayer processing
US6620723B1 (en) 2000-06-27 2003-09-16 Applied Materials, Inc. Formation of boride barrier layers using chemisorption techniques
US7732327B2 (en) 2000-06-28 2010-06-08 Applied Materials, Inc. Vapor deposition of tungsten materials
US6936538B2 (en) 2001-07-16 2005-08-30 Applied Materials, Inc. Method and apparatus for depositing tungsten after surface treatment to improve film characteristics
US6551929B1 (en) 2000-06-28 2003-04-22 Applied Materials, Inc. Bifurcated deposition process for depositing refractory metal layers employing atomic layer deposition and chemical vapor deposition techniques
US7101795B1 (en) 2000-06-28 2006-09-05 Applied Materials, Inc. Method and apparatus for depositing refractory metal layers employing sequential deposition techniques to form a nucleation layer
US7405158B2 (en) 2000-06-28 2008-07-29 Applied Materials, Inc. Methods for depositing tungsten layers employing atomic layer deposition techniques
US7964505B2 (en) 2005-01-19 2011-06-21 Applied Materials, Inc. Atomic layer deposition of tungsten materials
US7217615B1 (en) * 2000-08-31 2007-05-15 Micron Technology, Inc. Capacitor fabrication methods including forming a conductive layer
US20020036780A1 (en) * 2000-09-27 2002-03-28 Hiroaki Nakamura Image processing apparatus
KR100869326B1 (en) * 2000-11-30 2008-11-18 에이에스엠 인터내셔널 엔.브이. thin films for magnetic devices
US9139906B2 (en) * 2001-03-06 2015-09-22 Asm America, Inc. Doping with ALD technology
US7563715B2 (en) 2005-12-05 2009-07-21 Asm International N.V. Method of producing thin films
US7491634B2 (en) * 2006-04-28 2009-02-17 Asm International N.V. Methods for forming roughened surfaces and applications thereof
JP2002329682A (en) * 2001-04-27 2002-11-15 Anelva Corp Cu THIN FILM MANUFACTURING METHOD
US6759081B2 (en) 2001-05-11 2004-07-06 Asm International, N.V. Method of depositing thin films for magnetic heads
JP2002343790A (en) * 2001-05-21 2002-11-29 Nec Corp Vapor-phase deposition method of metallic compound thin film and method for manufacturing semiconductor device
US7037574B2 (en) * 2001-05-23 2006-05-02 Veeco Instruments, Inc. Atomic layer deposition for fabricating thin films
US6828218B2 (en) * 2001-05-31 2004-12-07 Samsung Electronics Co., Ltd. Method of forming a thin film using atomic layer deposition
US6849545B2 (en) * 2001-06-20 2005-02-01 Applied Materials, Inc. System and method to form a composite film stack utilizing sequential deposition techniques
US6656835B2 (en) * 2001-06-21 2003-12-02 Micron Technology, Inc. Process for low temperature atomic layer deposition of Rh
KR100414871B1 (en) * 2001-06-30 2004-01-13 주식회사 하이닉스반도체 Method for forming group ⅸ metal layer using atomic layer deposition
US7211144B2 (en) * 2001-07-13 2007-05-01 Applied Materials, Inc. Pulsed nucleation deposition of tungsten layers
US20070009658A1 (en) * 2001-07-13 2007-01-11 Yoo Jong H Pulse nucleation enhanced nucleation technique for improved step coverage and better gap fill for WCVD process
JP2005518088A (en) 2001-07-16 2005-06-16 アプライド マテリアルズ インコーポレイテッド Formation of tungsten composite film
US20030029715A1 (en) * 2001-07-25 2003-02-13 Applied Materials, Inc. An Apparatus For Annealing Substrates In Physical Vapor Deposition Systems
US9051641B2 (en) 2001-07-25 2015-06-09 Applied Materials, Inc. Cobalt deposition on barrier surfaces
US20090004850A1 (en) 2001-07-25 2009-01-01 Seshadri Ganguli Process for forming cobalt and cobalt silicide materials in tungsten contact applications
US20080268635A1 (en) * 2001-07-25 2008-10-30 Sang-Ho Yu Process for forming cobalt and cobalt silicide materials in copper contact applications
US8110489B2 (en) 2001-07-25 2012-02-07 Applied Materials, Inc. Process for forming cobalt-containing materials
KR100414872B1 (en) * 2001-08-29 2004-01-13 주식회사 하이닉스반도체 Semiconductor device and fabricating method of the same
US8026161B2 (en) 2001-08-30 2011-09-27 Micron Technology, Inc. Highly reliable amorphous high-K gate oxide ZrO2
US6844203B2 (en) 2001-08-30 2005-01-18 Micron Technology, Inc. Gate oxides, and methods of forming
US9708707B2 (en) * 2001-09-10 2017-07-18 Asm International N.V. Nanolayer deposition using bias power treatment
US6756318B2 (en) * 2001-09-10 2004-06-29 Tegal Corporation Nanolayer thick film processing system and method
KR101013231B1 (en) 2001-09-14 2011-02-10 에이에스엠 인터내셔널 엔.브이. Metal nitride deposition by ald with reduction pulse
US6936906B2 (en) * 2001-09-26 2005-08-30 Applied Materials, Inc. Integration of barrier layer and seed layer
US7049226B2 (en) * 2001-09-26 2006-05-23 Applied Materials, Inc. Integration of ALD tantalum nitride for copper metallization
TW589684B (en) * 2001-10-10 2004-06-01 Applied Materials Inc Method for depositing refractory metal layers employing sequential deposition techniques
US6743474B1 (en) * 2001-10-25 2004-06-01 Lsi Logic Corporation Method for growing thin films
US6916398B2 (en) * 2001-10-26 2005-07-12 Applied Materials, Inc. Gas delivery apparatus and method for atomic layer deposition
US7780785B2 (en) 2001-10-26 2010-08-24 Applied Materials, Inc. Gas delivery apparatus for atomic layer deposition
KR100760291B1 (en) * 2001-11-08 2007-09-19 에이에스엠지니텍코리아 주식회사 Method for forming thin film
US6821891B2 (en) * 2001-11-16 2004-11-23 Applied Materials, Inc. Atomic layer deposition of copper using a reducing gas and non-fluorinated copper precursors
US6620956B2 (en) 2001-11-16 2003-09-16 Applied Materials, Inc. Nitrogen analogs of copper II β-diketonates as source reagents for semiconductor processing
US6703307B2 (en) 2001-11-26 2004-03-09 Advanced Micro Devices, Inc. Method of implantation after copper seed deposition
US6703308B1 (en) 2001-11-26 2004-03-09 Advanced Micro Devices, Inc. Method of inserting alloy elements to reduce copper diffusion and bulk diffusion
US6835655B1 (en) 2001-11-26 2004-12-28 Advanced Micro Devices, Inc. Method of implanting copper barrier material to improve electrical performance
US7696092B2 (en) * 2001-11-26 2010-04-13 Globalfoundries Inc. Method of using ternary copper alloy to obtain a low resistance and large grain size interconnect
KR100418569B1 (en) * 2001-12-10 2004-02-14 주식회사 하이닉스반도체 Method for forming high dielectric thin film using atomic layer deposition
US6953730B2 (en) 2001-12-20 2005-10-11 Micron Technology, Inc. Low-temperature grown high quality ultra-thin CoTiO3 gate dielectrics
US6809026B2 (en) 2001-12-21 2004-10-26 Applied Materials, Inc. Selective deposition of a barrier layer on a metal film
KR100805843B1 (en) * 2001-12-28 2008-02-21 에이에스엠지니텍코리아 주식회사 Method of forming copper interconnection, semiconductor device fabricated by the same and system for forming copper interconnection
KR100442963B1 (en) * 2001-12-29 2004-08-04 주식회사 하이닉스반도체 Method of manufacturing metal layer having high degree of purity
US6767795B2 (en) 2002-01-17 2004-07-27 Micron Technology, Inc. Highly reliable amorphous high-k gate dielectric ZrOXNY
US6911391B2 (en) * 2002-01-26 2005-06-28 Applied Materials, Inc. Integration of titanium and titanium nitride layers
US6998014B2 (en) 2002-01-26 2006-02-14 Applied Materials, Inc. Apparatus and method for plasma assisted deposition
US6824816B2 (en) * 2002-01-29 2004-11-30 Asm International N.V. Process for producing metal thin films by ALD
US6713373B1 (en) * 2002-02-05 2004-03-30 Novellus Systems, Inc. Method for obtaining adhesion for device manufacture
US6827978B2 (en) 2002-02-11 2004-12-07 Applied Materials, Inc. Deposition of tungsten films
US6833161B2 (en) 2002-02-26 2004-12-21 Applied Materials, Inc. Cyclical deposition of tungsten nitride for metal oxide gate electrode
US6972267B2 (en) 2002-03-04 2005-12-06 Applied Materials, Inc. Sequential deposition of tantalum nitride using a tantalum-containing precursor and a nitrogen-containing precursor
US7323422B2 (en) * 2002-03-05 2008-01-29 Asm International N.V. Dielectric layers and methods of forming the same
US6812100B2 (en) 2002-03-13 2004-11-02 Micron Technology, Inc. Evaporation of Y-Si-O films for medium-k dielectrics
US6720027B2 (en) 2002-04-08 2004-04-13 Applied Materials, Inc. Cyclical deposition of a variable content titanium silicon nitride layer
US6846516B2 (en) * 2002-04-08 2005-01-25 Applied Materials, Inc. Multiple precursor cyclical deposition system
KR100476556B1 (en) * 2002-04-11 2005-03-18 삼성전기주식회사 Piezoelectric transformer, housing for piezoelectric transformer and manufacture thereof
US7279432B2 (en) 2002-04-16 2007-10-09 Applied Materials, Inc. System and method for forming an integrated barrier layer
US7105065B2 (en) * 2002-04-25 2006-09-12 Micron Technology, Inc. Metal layer forming methods and capacitor electrode forming methods
KR100472730B1 (en) * 2002-04-26 2005-03-08 주식회사 하이닉스반도체 Method for fabricating metal electrode with Atomic Layer Deposition in semiconductor device
US7045430B2 (en) 2002-05-02 2006-05-16 Micron Technology Inc. Atomic layer-deposited LaAlO3 films for gate dielectrics
US7160577B2 (en) 2002-05-02 2007-01-09 Micron Technology, Inc. Methods for atomic-layer deposition of aluminum oxides in integrated circuits
US6861349B1 (en) * 2002-05-15 2005-03-01 Advanced Micro Devices, Inc. Method of forming an adhesion layer with an element reactive with a barrier layer
US20040009665A1 (en) * 2002-06-04 2004-01-15 Applied Materials, Inc. Deposition of copper films
US7264846B2 (en) 2002-06-04 2007-09-04 Applied Materials, Inc. Ruthenium layer formation for copper film deposition
US7404985B2 (en) 2002-06-04 2008-07-29 Applied Materials, Inc. Noble metal layer formation for copper film deposition
US7910165B2 (en) * 2002-06-04 2011-03-22 Applied Materials, Inc. Ruthenium layer formation for copper film deposition
US7041335B2 (en) 2002-06-04 2006-05-09 Applied Materials, Inc. Titanium tantalum nitride silicide layer
KR100455297B1 (en) * 2002-06-19 2004-11-06 삼성전자주식회사 Manufacturing method of inorganic nano tube
US6881260B2 (en) * 2002-06-25 2005-04-19 Micron Technology, Inc. Process for direct deposition of ALD RhO2
US7221586B2 (en) 2002-07-08 2007-05-22 Micron Technology, Inc. Memory utilizing oxide nanolaminates
US6838125B2 (en) 2002-07-10 2005-01-04 Applied Materials, Inc. Method of film deposition using activated precursor gases
US6921702B2 (en) 2002-07-30 2005-07-26 Micron Technology Inc. Atomic layer deposited nanolaminates of HfO2/ZrO2 films as gate dielectrics
US20040036129A1 (en) * 2002-08-22 2004-02-26 Micron Technology, Inc. Atomic layer deposition of CMOS gates with variable work functions
US6967154B2 (en) * 2002-08-26 2005-11-22 Micron Technology, Inc. Enhanced atomic layer deposition
US7199023B2 (en) 2002-08-28 2007-04-03 Micron Technology, Inc. Atomic layer deposited HfSiON dielectric films wherein each precursor is independendently pulsed
US6861355B2 (en) * 2002-08-29 2005-03-01 Micron Technology, Inc. Metal plating using seed film
US6984478B2 (en) * 2002-09-16 2006-01-10 E.I. Du Pont De Nemours And Company Print control for flexographic printing
US7001641B2 (en) * 2002-09-23 2006-02-21 Intel Corporation Seed layer treatment
US20040065255A1 (en) * 2002-10-02 2004-04-08 Applied Materials, Inc. Cyclical layer deposition system
US6821563B2 (en) 2002-10-02 2004-11-23 Applied Materials, Inc. Gas distribution system for cyclical layer deposition
US6905737B2 (en) 2002-10-11 2005-06-14 Applied Materials, Inc. Method of delivering activated species for rapid cyclical deposition
US7252773B2 (en) * 2002-10-11 2007-08-07 Texas Instruments Incorporated Clean for high density capacitors
US20060093848A1 (en) * 2002-10-15 2006-05-04 Senkevich John J Atomic layer deposition of noble metals
US6933011B2 (en) * 2002-10-17 2005-08-23 Aviza Technology, Inc. Two-step atomic layer deposition of copper layers
WO2004040642A1 (en) * 2002-10-29 2004-05-13 Asm America, Inc. Oxygen bridge structures and methods
TWI274082B (en) * 2002-10-31 2007-02-21 Praxair Technology Inc Methods for making metallocene compounds
US7927658B2 (en) * 2002-10-31 2011-04-19 Praxair Technology, Inc. Deposition processes using group 8 (VIII) metallocene precursors
US6869876B2 (en) 2002-11-05 2005-03-22 Air Products And Chemicals, Inc. Process for atomic layer deposition of metal films
US6858524B2 (en) * 2002-12-03 2005-02-22 Asm International, Nv Method of depositing barrier layer for metal gates
US6893978B1 (en) * 2002-12-03 2005-05-17 Silicon Magnetic Systems Method for oxidizing a metal layer
US7122414B2 (en) * 2002-12-03 2006-10-17 Asm International, Inc. Method to fabricate dual metal CMOS devices
US7045406B2 (en) * 2002-12-03 2006-05-16 Asm International, N.V. Method of forming an electrode with adjusted work function
US6958302B2 (en) * 2002-12-04 2005-10-25 Micron Technology, Inc. Atomic layer deposited Zr-Sn-Ti-O films using TiI4
US7101813B2 (en) 2002-12-04 2006-09-05 Micron Technology Inc. Atomic layer deposited Zr-Sn-Ti-O films
WO2004064147A2 (en) 2003-01-07 2004-07-29 Applied Materials, Inc. Integration of ald/cvd barriers with porous low k materials
US7648886B2 (en) * 2003-01-14 2010-01-19 Globalfoundries Inc. Shallow trench isolation process
US6743719B1 (en) * 2003-01-22 2004-06-01 Texas Instruments Incorporated Method for forming a conductive copper structure
US7713592B2 (en) 2003-02-04 2010-05-11 Tegal Corporation Nanolayer deposition process
US9121098B2 (en) 2003-02-04 2015-09-01 Asm International N.V. NanoLayer Deposition process for composite films
US7192892B2 (en) 2003-03-04 2007-03-20 Micron Technology, Inc. Atomic layer deposited dielectric layers
US7135369B2 (en) 2003-03-31 2006-11-14 Micron Technology, Inc. Atomic layer deposited ZrAlxOy dielectric layers including Zr4AlO9
US7183186B2 (en) 2003-04-22 2007-02-27 Micro Technology, Inc. Atomic layer deposited ZrTiO4 films
US20040211357A1 (en) * 2003-04-24 2004-10-28 Gadgil Pradad N. Method of manufacturing a gap-filled structure of a semiconductor device
US7371427B2 (en) * 2003-05-20 2008-05-13 Applied Materials, Inc. Reduction of hillocks prior to dielectric barrier deposition in Cu damascene
US7723228B2 (en) * 2003-05-20 2010-05-25 Applied Materials, Inc. Reduction of hillocks prior to dielectric barrier deposition in Cu damascene
KR100988082B1 (en) * 2003-05-21 2010-10-18 삼성전자주식회사 Stack type capacitor, memory device having the same and manufacturing method thereof
JP2004356117A (en) * 2003-05-26 2004-12-16 Ebara Corp Method and apparatus for processing substrate
KR100773537B1 (en) * 2003-06-03 2007-11-07 삼성전자주식회사 Nonvolatile memory device composing one switching device and one resistant material and method of manufacturing the same
US7211508B2 (en) 2003-06-18 2007-05-01 Applied Materials, Inc. Atomic layer deposition of tantalum based barrier materials
US7049192B2 (en) 2003-06-24 2006-05-23 Micron Technology, Inc. Lanthanide oxide / hafnium oxide dielectrics
US7192824B2 (en) 2003-06-24 2007-03-20 Micron Technology, Inc. Lanthanide oxide / hafnium oxide dielectric layers
US6905958B2 (en) * 2003-07-25 2005-06-14 Intel Corporation Protecting metal conductors with sacrificial organic monolayers
US7067407B2 (en) * 2003-08-04 2006-06-27 Asm International, N.V. Method of growing electrical conductors
WO2005017963A2 (en) * 2003-08-04 2005-02-24 Asm America, Inc. Surface preparation prior to deposition on germanium
US20050032365A1 (en) * 2003-08-08 2005-02-10 Marsh Eugene P. Atomic layer deposition of metal during the formation of a semiconductor device
US7169706B2 (en) * 2003-10-16 2007-01-30 Advanced Micro Devices, Inc. Method of using an adhesion precursor layer for chemical vapor deposition (CVD) copper deposition
US7071118B2 (en) * 2003-11-12 2006-07-04 Veeco Instruments, Inc. Method and apparatus for fabricating a conformal thin film on a substrate
US7074719B2 (en) * 2003-11-28 2006-07-11 International Business Machines Corporation ALD deposition of ruthenium
US7037816B2 (en) * 2004-01-23 2006-05-02 Taiwan Semiconductor Manufacturing Company, Ltd. System and method for integration of HfO2 and RTCVD poly-silicon
US20050181226A1 (en) * 2004-01-26 2005-08-18 Applied Materials, Inc. Method and apparatus for selectively changing thin film composition during electroless deposition in a single chamber
US20050233477A1 (en) * 2004-03-05 2005-10-20 Tokyo Electron Limited Substrate processing apparatus, substrate processing method, and program for implementing the method
US20050210455A1 (en) * 2004-03-18 2005-09-22 International Business Machines Corporation Method for generating an executable workflow code from an unstructured cyclic process model
FR2868085B1 (en) * 2004-03-24 2006-07-14 Alchimer Sa METHOD FOR SELECTIVE COATING OF COMPOSITE SURFACE, FABRICATION OF MICROELECTRONIC INTERCONNECTIONS USING THE SAME, AND INTEGRATED CIRCUITS
US7405143B2 (en) * 2004-03-25 2008-07-29 Asm International N.V. Method for fabricating a seed layer
US8256829B2 (en) * 2004-04-02 2012-09-04 GM Global Technology Operations LLC Active material inserts for use with hollow structures
US7041596B1 (en) * 2004-04-08 2006-05-09 Novellus Systems, Inc. Surface treatment using iodine plasma to improve metal deposition
US20050253268A1 (en) * 2004-04-22 2005-11-17 Shao-Ta Hsu Method and structure for improving adhesion between intermetal dielectric layer and cap layer
US7338903B2 (en) * 2004-04-24 2008-03-04 Taiwan Semiconductor Manufacturing Co., Ltd. Sequential reducing plasma and inert plasma pre-treatment method for oxidizable conductor layer
JP2005314713A (en) * 2004-04-27 2005-11-10 L'air Liquide Sa Pour L'etude & L'exploitation Des Procede S Georges Claude Method for manufacturing ruthenium film or ruthenium oxide film
US7696089B1 (en) * 2004-05-11 2010-04-13 Johnson Research & Development Co., Inc. Passivated thin film and method of producing same
US7189287B2 (en) * 2004-06-29 2007-03-13 Micron Technology, Inc. Atomic layer deposition using electron bombardment
US20060019493A1 (en) * 2004-07-15 2006-01-26 Li Wei M Methods of metallization for microelectronic devices utilizing metal oxide
US7141495B2 (en) * 2004-08-25 2006-11-28 Taiwan Semiconductor Manufacturing Co. Ltd. Methods and forming structures, structures and apparatuses for forming structures
US7081421B2 (en) 2004-08-26 2006-07-25 Micron Technology, Inc. Lanthanide oxide dielectric layer
US7588988B2 (en) 2004-08-31 2009-09-15 Micron Technology, Inc. Method of forming apparatus having oxide films formed using atomic layer deposition
US7494939B2 (en) 2004-08-31 2009-02-24 Micron Technology, Inc. Methods for forming a lanthanum-metal oxide dielectric layer
KR100612860B1 (en) * 2004-09-24 2006-08-14 삼성전자주식회사 Method of forming ferroelectric layer and methods of forming capacitor and semiconductor memory device using the same
JP2006097044A (en) * 2004-09-28 2006-04-13 L'air Liquide Sa Pour L'etude & L'exploitation Des Procede S Georges Claude Precursor for film deposition, method for depositing ruthenium-containing film, method for depositing ruthenium film, method for depositing ruthenium oxide film and method for depositing ruthenate film
US7476618B2 (en) * 2004-10-26 2009-01-13 Asm Japan K.K. Selective formation of metal layers in an integrated circuit
US7429402B2 (en) 2004-12-10 2008-09-30 Applied Materials, Inc. Ruthenium as an underlayer for tungsten film deposition
US7235501B2 (en) 2004-12-13 2007-06-26 Micron Technology, Inc. Lanthanum hafnium oxide dielectrics
DE102004061094A1 (en) * 2004-12-18 2006-06-22 Aixtron Ag Deposition of single layers, on a flat or structured substrate, uses a limiter to stop the deposition automatically when the layer is closed
US20080047583A1 (en) * 2005-01-06 2008-02-28 Akira Fukunaga Substrate Processing Method and Apparatus
US20070271751A1 (en) * 2005-01-27 2007-11-29 Weidman Timothy W Method of forming a reliable electrochemical capacitor
KR100682926B1 (en) * 2005-01-31 2007-02-15 삼성전자주식회사 Nonvolatile memory device using resistance material and fabrication method of the same
US20060177601A1 (en) * 2005-02-10 2006-08-10 Hyung-Sang Park Method of forming a ruthenium thin film using a plasma enhanced atomic layer deposition apparatus and the method thereof
EP1851794A1 (en) 2005-02-22 2007-11-07 ASM America, Inc. Plasma pre-treating surfaces for atomic layer deposition
US7265048B2 (en) * 2005-03-01 2007-09-04 Applied Materials, Inc. Reduction of copper dewetting by transition metal deposition
US8025922B2 (en) 2005-03-15 2011-09-27 Asm International N.V. Enhanced deposition of noble metals
US7666773B2 (en) 2005-03-15 2010-02-23 Asm International N.V. Selective deposition of noble metal thin films
US20060246699A1 (en) * 2005-03-18 2006-11-02 Weidman Timothy W Process for electroless copper deposition on a ruthenium seed
US7651934B2 (en) 2005-03-18 2010-01-26 Applied Materials, Inc. Process for electroless copper deposition
US20060216548A1 (en) * 2005-03-22 2006-09-28 Ming Mao Nanolaminate thin films and method for forming the same using atomic layer deposition
US7662729B2 (en) 2005-04-28 2010-02-16 Micron Technology, Inc. Atomic layer deposition of a ruthenium layer to a lanthanide oxide dielectric layer
US20060252254A1 (en) * 2005-05-06 2006-11-09 Basol Bulent M Filling deep and wide openings with defect-free conductor
US20060272577A1 (en) * 2005-06-03 2006-12-07 Ming Mao Method and apparatus for decreasing deposition time of a thin film
US7767363B2 (en) * 2005-06-24 2010-08-03 Micron Technology, Inc. Methods for photo-processing photo-imageable material
US20070014919A1 (en) * 2005-07-15 2007-01-18 Jani Hamalainen Atomic layer deposition of noble metal oxides
US7473637B2 (en) 2005-07-20 2009-01-06 Micron Technology, Inc. ALD formed titanium nitride films
US7927948B2 (en) 2005-07-20 2011-04-19 Micron Technology, Inc. Devices with nanocrystals and methods of formation
TWI374951B (en) * 2005-07-29 2012-10-21 Applied Materials Inc Integrated electroless deposition system
US8110469B2 (en) 2005-08-30 2012-02-07 Micron Technology, Inc. Graded dielectric layers
KR101124504B1 (en) * 2005-09-22 2012-03-15 삼성전자주식회사 Fabrication method of amorphous NiO thin film by ALD process and nonvolatile memory device using the amorphous NiO thin film
US7582562B2 (en) * 2005-10-06 2009-09-01 Micron Technology, Inc. Atomic layer deposition methods
US8993055B2 (en) 2005-10-27 2015-03-31 Asm International N.V. Enhanced thin film deposition
US20070099422A1 (en) * 2005-10-28 2007-05-03 Kapila Wijekoon Process for electroless copper deposition
US20070119370A1 (en) 2005-11-04 2007-05-31 Paul Ma Apparatus and process for plasma-enhanced atomic layer deposition
KR20070049278A (en) * 2005-11-08 2007-05-11 삼성전자주식회사 Wiring, thin film transistor substrate and method of manufacturing the same
US7658802B2 (en) * 2005-11-22 2010-02-09 Applied Materials, Inc. Apparatus and a method for cleaning a dielectric film
JP4816052B2 (en) * 2005-12-13 2011-11-16 東京エレクトロン株式会社 Semiconductor manufacturing apparatus and semiconductor device manufacturing method
KR100727258B1 (en) * 2005-12-29 2007-06-11 동부일렉트로닉스 주식회사 Fabricating method of thin film and metal line in semiconductor device
US7405153B2 (en) * 2006-01-17 2008-07-29 International Business Machines Corporation Method for direct electroplating of copper onto a non-copper plateable layer
KR101379015B1 (en) * 2006-02-15 2014-03-28 한국에이에스엠지니텍 주식회사 METHOD OF DEPOSITING Ru FILM USING PEALD AND DENSE Ru FILM
US7709402B2 (en) 2006-02-16 2010-05-04 Micron Technology, Inc. Conductive layers for hafnium silicon oxynitride films
JP2007220882A (en) * 2006-02-16 2007-08-30 Fujitsu Ltd Buried-wiring forming method
US7666787B2 (en) * 2006-02-21 2010-02-23 International Business Machines Corporation Grain growth promotion layer for semiconductor interconnect structures
US20070194450A1 (en) * 2006-02-21 2007-08-23 Tyberg Christy S BEOL compatible FET structure
JP2007227706A (en) * 2006-02-24 2007-09-06 Fujitsu Ltd Method of forming buried wiring layer
KR101203254B1 (en) * 2006-02-28 2012-11-21 도쿄엘렉트론가부시키가이샤 Methods of depositing ruthenium film and memory medium readable by computer
JP4741965B2 (en) * 2006-03-23 2011-08-10 ルネサスエレクトロニクス株式会社 Semiconductor device and manufacturing method thereof
JP4579181B2 (en) * 2006-03-24 2010-11-10 富士通セミコンダクター株式会社 Wiring reduction method in multilayer wiring, multilayer wiring manufacturing method, and semiconductor device manufacturing method
US7485561B2 (en) * 2006-03-29 2009-02-03 Asm Nutool, Inc. Filling deep features with conductors in semiconductor manufacturing
US7625814B2 (en) * 2006-03-29 2009-12-01 Asm Nutool, Inc. Filling deep features with conductors in semiconductor manufacturing
US7833358B2 (en) * 2006-04-07 2010-11-16 Applied Materials, Inc. Method of recovering valuable material from exhaust gas stream of a reaction chamber
US7285477B1 (en) * 2006-05-16 2007-10-23 International Business Machines Corporation Dual wired integrated circuit chips
DE102006023998B4 (en) * 2006-05-22 2009-02-19 Infineon Technologies Ag Electronic circuit arrangement and method for producing such
JP2007324350A (en) * 2006-05-31 2007-12-13 Tokyo Electron Ltd Heat treatment method, heat treatment apparatus and substrate processing apparatus
JP4787073B2 (en) * 2006-05-31 2011-10-05 東京エレクトロン株式会社 Processing method and processing apparatus
DE102006027932A1 (en) * 2006-06-14 2007-12-20 Aixtron Ag Method for the deposition of layers in a process chamber used in the production of electronic components comprises using a first starting material containing two beta-diketones and a diene coordinated with a ruthenium atom
US20080135914A1 (en) * 2006-06-30 2008-06-12 Krishna Nety M Nanocrystal formation
JP2008034736A (en) * 2006-07-31 2008-02-14 Tokyo Electron Ltd Method and device for heat treatment
JP2008031541A (en) * 2006-07-31 2008-02-14 Tokyo Electron Ltd Cvd film deposition process and cvd film deposition system
US8916232B2 (en) * 2006-08-30 2014-12-23 Lam Research Corporation Method for barrier interface preparation of copper interconnect
US7563730B2 (en) 2006-08-31 2009-07-21 Micron Technology, Inc. Hafnium lanthanide oxynitride films
US7435484B2 (en) 2006-09-01 2008-10-14 Asm Japan K.K. Ruthenium thin film-formed structure
GB2445218B (en) 2006-09-21 2011-05-25 Smith International Atomic layer deposition nanocoating on cutting tool powder materials
JP2008091645A (en) * 2006-10-02 2008-04-17 Tokyo Electron Ltd Semiconductor manufacturing apparatus, semiconductor device manufacturing method, and storage medium
WO2008042981A2 (en) 2006-10-05 2008-04-10 Asm America, Inc. Ald of metal silicate films
US8268409B2 (en) * 2006-10-25 2012-09-18 Asm America, Inc. Plasma-enhanced deposition of metal carbide films
US7611751B2 (en) 2006-11-01 2009-11-03 Asm America, Inc. Vapor deposition of metal carbide films
US20080124484A1 (en) * 2006-11-08 2008-05-29 Asm Japan K.K. Method of forming ru film and metal wiring structure
EP1941950A1 (en) * 2006-12-05 2008-07-09 Linde Aktiengesellschaft Method of fabricating porous surfaces on metal components
US8026605B2 (en) * 2006-12-14 2011-09-27 Lam Research Corporation Interconnect structure and method of manufacturing a damascene structure
KR100832704B1 (en) * 2006-12-21 2008-05-28 동부일렉트로닉스 주식회사 Semiconductor device and method of manufacturing the same
ATE500261T1 (en) * 2006-12-22 2011-03-15 Air Liquide NEW ORGANIC RUTHENIUM COMPOUND, PRODUCTION PROCESS THEREOF AND USE AS A RUTHENIUM PRECURSOR FOR THE PRODUCTION OF RUTHENIUM-BASED FILM-COATED METAL ELECTRODES
US20080171436A1 (en) * 2007-01-11 2008-07-17 Asm Genitech Korea Ltd. Methods of depositing a ruthenium film
US20080206987A1 (en) * 2007-01-29 2008-08-28 Gelatos Avgerinos V Process for tungsten nitride deposition by a temperature controlled lid assembly
KR101483318B1 (en) * 2007-02-21 2015-01-16 레르 리키드 쏘시에떼 아노님 뿌르 레?드 에렉스뿔라따시옹 데 프로세데 조르즈 클로드 Methods for forming a ruthenium-based film on a substrate
US20080237048A1 (en) * 2007-03-30 2008-10-02 Ismail Emesh Method and apparatus for selective electrofilling of through-wafer vias
US7713874B2 (en) 2007-05-02 2010-05-11 Asm America, Inc. Periodic plasma annealing in an ALD-type process
JP2008300652A (en) * 2007-05-31 2008-12-11 Toshiba Corp Method for manufacturing semiconductor device
US7896988B2 (en) * 2007-06-15 2011-03-01 Spectre Enterprises, Inc. Charge system for destroying chips on a circuit board and method for destroying chips on a circuit board
EP2011898B1 (en) * 2007-07-03 2021-04-07 Beneq Oy Method in depositing metal oxide materials
KR100889553B1 (en) * 2007-07-23 2009-03-23 주식회사 동부하이텍 System in package and method for fabricating the same
KR101286239B1 (en) * 2007-08-24 2013-07-15 삼성전자주식회사 Structure of interconnection having a oxygen trap pattern in semiconductor device and method of fabricating the same
US20090065365A1 (en) * 2007-09-11 2009-03-12 Asm Nutool, Inc. Method and apparatus for copper electroplating
US20090087339A1 (en) * 2007-09-28 2009-04-02 Asm Japan K.K. METHOD FOR FORMING RUTHENIUM COMPLEX FILM USING Beta-DIKETONE-COORDINATED RUTHENIUM PRECURSOR
US7867900B2 (en) 2007-09-28 2011-01-11 Applied Materials, Inc. Aluminum contact integration on cobalt silicide junction
US7737028B2 (en) * 2007-09-28 2010-06-15 Applied Materials, Inc. Selective ruthenium deposition on copper materials
DE102007046851B4 (en) * 2007-09-29 2019-01-10 Globalfoundries Dresden Module One Limited Liability Company & Co. Kg Semiconductor structure with an electrically conductive structure element and method for forming a semiconductor structure
KR101544198B1 (en) 2007-10-17 2015-08-12 한국에이에스엠지니텍 주식회사 Method of depositing ruthenium film
US20090121287A1 (en) * 2007-11-14 2009-05-14 Kerry Bernstein Dual wired integrated circuit chips
DE102007058571B4 (en) 2007-12-05 2012-02-16 Fraunhofer-Gesellschaft zur Förderung der angewandten Forschung e.V. Substrate with a copper-containing coating and method for their preparation by means of atomic layer deposition and use of the method
US7655564B2 (en) * 2007-12-12 2010-02-02 Asm Japan, K.K. Method for forming Ta-Ru liner layer for Cu wiring
KR20090067505A (en) * 2007-12-21 2009-06-25 에이에스엠지니텍코리아 주식회사 Method of depositing ruthenium film
US7776740B2 (en) * 2008-01-22 2010-08-17 Tokyo Electron Limited Method for integrating selective low-temperature ruthenium deposition into copper metallization of a semiconductor device
US20090203917A1 (en) * 2008-01-24 2009-08-13 Thompson David M Organometallic compounds, processes for the preparation thereof and methods of use thereof
US20090199739A1 (en) * 2008-01-24 2009-08-13 Thompson David M Organometallic compounds, processes for the preparation thereof and methods of use thereof
US20090205538A1 (en) * 2008-01-24 2009-08-20 Thompson David M Organometallic compounds, processes for the preparation thereof and methods of use thereof
US20090203928A1 (en) * 2008-01-24 2009-08-13 Thompson David M Organometallic compounds, processes for the preparation thereof and methods of use thereof
US7799674B2 (en) 2008-02-19 2010-09-21 Asm Japan K.K. Ruthenium alloy film for copper interconnects
US7767572B2 (en) * 2008-02-21 2010-08-03 Applied Materials, Inc. Methods of forming a barrier layer in an interconnect structure
US7618893B2 (en) * 2008-03-04 2009-11-17 Applied Materials, Inc. Methods of forming a layer for barrier applications in an interconnect structure
US7993462B2 (en) 2008-03-19 2011-08-09 Asm Japan K.K. Substrate-supporting device having continuous concavity
JP4836092B2 (en) 2008-03-19 2011-12-14 国立大学法人東北大学 Method for forming semiconductor device
CN101981226B (en) * 2008-03-26 2012-09-26 乔治洛德方法研究和开发液化空气有限公司 Deposition of ternary oxide films containing ruthenium and alkali earth metals
TWI447256B (en) * 2008-03-26 2014-08-01 Air Liquide Deposition of ternary oxide films containing ruthenium and alkali earth metals
US8545936B2 (en) 2008-03-28 2013-10-01 Asm International N.V. Methods for forming carbon nanotubes
JP5551681B2 (en) 2008-04-16 2014-07-16 エーエスエム アメリカ インコーポレイテッド Atomic layer deposition of metal carbide films using aluminum hydrocarbon compounds
US8383525B2 (en) 2008-04-25 2013-02-26 Asm America, Inc. Plasma-enhanced deposition process for forming a metal oxide thin film and related structures
US20090269507A1 (en) * 2008-04-29 2009-10-29 Sang-Ho Yu Selective cobalt deposition on copper surfaces
US7666474B2 (en) 2008-05-07 2010-02-23 Asm America, Inc. Plasma-enhanced pulsed deposition of metal carbide films
US8945675B2 (en) 2008-05-29 2015-02-03 Asm International N.V. Methods for forming conductive titanium oxide thin films
US8084104B2 (en) 2008-08-29 2011-12-27 Asm Japan K.K. Atomic composition controlled ruthenium alloy film formed by plasma-enhanced atomic layer deposition
US8133555B2 (en) 2008-10-14 2012-03-13 Asm Japan K.K. Method for forming metal film by ALD using beta-diketone metal complex
JP5778382B2 (en) * 2008-10-22 2015-09-16 東ソー株式会社 Composition for producing metal film, method for producing metal film, and method for producing metal powder
US20100151676A1 (en) * 2008-12-16 2010-06-17 Applied Materials, Inc. Densification process for titanium nitride layer for submicron applications
US7927942B2 (en) 2008-12-19 2011-04-19 Asm International N.V. Selective silicide process
US9379011B2 (en) 2008-12-19 2016-06-28 Asm International N.V. Methods for depositing nickel films and for making nickel silicide and nickel germanide
US8557702B2 (en) * 2009-02-02 2013-10-15 Asm America, Inc. Plasma-enhanced atomic layers deposition of conductive material over dielectric layers
US7884016B2 (en) * 2009-02-12 2011-02-08 Asm International, N.V. Liner materials and related processes for 3-D integration
US9127340B2 (en) * 2009-02-13 2015-09-08 Asm International N.V. Selective oxidation process
US20110020546A1 (en) * 2009-05-15 2011-01-27 Asm International N.V. Low Temperature ALD of Noble Metals
US8168528B2 (en) * 2009-06-18 2012-05-01 Kabushiki Kaisha Toshiba Restoration method using metal for better CD controllability and Cu filing
US8329569B2 (en) 2009-07-31 2012-12-11 Asm America, Inc. Deposition of ruthenium or ruthenium dioxide
CN102574884B (en) * 2009-08-07 2016-02-10 西格玛-奥吉奇有限责任公司 High molecular weight alkyl-allyl three carbonylic cobalt compound and the purposes for the preparation of dielectric film thereof
KR20120093188A (en) * 2009-09-16 2012-08-22 히다치 가세고교 가부시끼가이샤 Copper metal film, method for producing same, copper metal pattern, conductive wiring line using the copper metal pattern, copper metal bump, heat conduction path, bondig material, and liquid composition
JP5809152B2 (en) 2009-10-20 2015-11-10 エーエスエム インターナショナル エヌ.ヴェー.Asm International N.V. Method for passivating a dielectric film
KR101347197B1 (en) 2009-12-18 2014-01-03 한국전자통신연구원 Conductive via hole and method for forming conductive via hole
US8927433B2 (en) 2009-12-18 2015-01-06 Electronics And Telecommunications Research Institute Conductive via hole and method for forming conductive via hole
US8444868B2 (en) * 2010-01-28 2013-05-21 International Business Machines Corporation Method for removing copper oxide layer
US8859047B2 (en) 2010-02-23 2014-10-14 L'air Liquide, Societe Anonyme Pour L'etude Et L'exploitation Des Procedes Georges Claude Use of ruthenium tetroxide as a precursor and reactant for thin film depositions
US8357614B2 (en) * 2010-04-19 2013-01-22 L'air Liquide Societe Anonyme Pour L'etude Et L'exploitation Des Procedes Georges Claude Ruthenium-containing precursors for CVD and ALD
US8420476B2 (en) 2010-05-27 2013-04-16 International Business Machines Corporation Integrated circuit with finFETs and MIM fin capacitor
TWI509695B (en) 2010-06-10 2015-11-21 Asm Int Method for selectively depositing film on substrate
JP5733561B2 (en) * 2011-01-07 2015-06-10 日立化成株式会社 Method for producing metallic copper film and printed metallic copper pattern
KR101956347B1 (en) 2011-03-04 2019-03-08 어플라이드 머티어리얼스, 인코포레이티드 Methods for contact clean
US8658533B2 (en) * 2011-03-10 2014-02-25 International Business Machines Corporation Semiconductor interconnect structure with multi-layered seed layer providing enhanced reliability and minimizing electromigration
US8871617B2 (en) 2011-04-22 2014-10-28 Asm Ip Holding B.V. Deposition and reduction of mixed metal oxide thin films
US8912096B2 (en) 2011-04-28 2014-12-16 Applied Materials, Inc. Methods for precleaning a substrate prior to metal silicide fabrication process
US8927748B2 (en) 2011-08-12 2015-01-06 Sigma-Aldrich Co. Llc Alkyl-substituted allyl carbonyl metal complexes and use thereof for preparing dielectric thin films
US9005705B2 (en) 2011-09-14 2015-04-14 Fraunhofer-Gesellschaft Zur Foerderung Der Angewandten Forschung E.V. Method for the production of a substrate having a coating comprising copper, and coated substrate and device prepared by this method
US9218961B2 (en) 2011-09-19 2015-12-22 Applied Materials, Inc. Methods of forming a metal containing layer on a substrate with high uniformity and good profile control
US9112003B2 (en) 2011-12-09 2015-08-18 Asm International N.V. Selective formation of metallic films on metallic surfaces
US8927423B2 (en) 2011-12-16 2015-01-06 Applied Materials, Inc. Methods for annealing a contact metal layer to form a metal silicidation layer
US8586479B2 (en) 2012-01-23 2013-11-19 Applied Materials, Inc. Methods for forming a contact metal layer in semiconductor devices
WO2013110434A1 (en) * 2012-01-27 2013-08-01 Merck Patent Gmbh Method for producing electrically semiconductive or conductive layers with improved conductivity
US9330939B2 (en) 2012-03-28 2016-05-03 Applied Materials, Inc. Method of enabling seamless cobalt gap-fill
US9018108B2 (en) 2013-01-25 2015-04-28 Applied Materials, Inc. Low shrinkage dielectric films
US9412602B2 (en) 2013-03-13 2016-08-09 Asm Ip Holding B.V. Deposition of smooth metal nitride films
US8841182B1 (en) 2013-03-14 2014-09-23 Asm Ip Holding B.V. Silane and borane treatments for titanium carbide films
US9041216B2 (en) 2013-03-14 2015-05-26 Taiwan Semiconductor Manufacturing Company, Ltd. Interconnect structure and method of forming the same
US8846550B1 (en) 2013-03-14 2014-09-30 Asm Ip Holding B.V. Silane or borane treatment of metal thin films
US9543163B2 (en) 2013-08-20 2017-01-10 Applied Materials, Inc. Methods for forming features in a material layer utilizing a combination of a main etching and a cyclical etching process
KR102271202B1 (en) 2013-09-27 2021-06-30 어플라이드 머티어리얼스, 인코포레이티드 Method of enabling seamless cobalt gap-fill
TWI739285B (en) 2014-02-04 2021-09-11 荷蘭商Asm Ip控股公司 Selective deposition of metals, metal oxides, and dielectrics
US9394609B2 (en) 2014-02-13 2016-07-19 Asm Ip Holding B.V. Atomic layer deposition of aluminum fluoride thin films
US9508561B2 (en) 2014-03-11 2016-11-29 Applied Materials, Inc. Methods for forming interconnection structures in an integrated cluster system for semicondcutor applications
US10047435B2 (en) 2014-04-16 2018-08-14 Asm Ip Holding B.V. Dual selective deposition
US10643925B2 (en) 2014-04-17 2020-05-05 Asm Ip Holding B.V. Fluorine-containing conductive films
US9528185B2 (en) 2014-08-22 2016-12-27 Applied Materials, Inc. Plasma uniformity control by arrays of unit cell plasmas
US20160064275A1 (en) * 2014-08-27 2016-03-03 Applied Materials, Inc. Selective Deposition With Alcohol Selective Reduction And Protection
US10002936B2 (en) 2014-10-23 2018-06-19 Asm Ip Holding B.V. Titanium aluminum and tantalum aluminum thin films
CN107208262B (en) 2014-11-21 2019-09-13 应用材料公司 Alcohols assists ALD film deposition
KR102185458B1 (en) 2015-02-03 2020-12-03 에이에스엠 아이피 홀딩 비.브이. Selective deposition
US9490145B2 (en) * 2015-02-23 2016-11-08 Asm Ip Holding B.V. Removal of surface passivation
US10428421B2 (en) 2015-08-03 2019-10-01 Asm Ip Holding B.V. Selective deposition on metal or metallic surfaces relative to dielectric surfaces
US10121699B2 (en) 2015-08-05 2018-11-06 Asm Ip Holding B.V. Selective deposition of aluminum and nitrogen containing material
US10566185B2 (en) 2015-08-05 2020-02-18 Asm Ip Holding B.V. Selective deposition of aluminum and nitrogen containing material
US9523148B1 (en) 2015-08-25 2016-12-20 Asm Ip Holdings B.V. Process for deposition of titanium oxynitride for use in integrated circuit fabrication
US9540729B1 (en) 2015-08-25 2017-01-10 Asm Ip Holding B.V. Deposition of titanium nanolaminates for use in integrated circuit fabrication
US9607842B1 (en) 2015-10-02 2017-03-28 Asm Ip Holding B.V. Methods of forming metal silicides
US10814349B2 (en) 2015-10-09 2020-10-27 Asm Ip Holding B.V. Vapor phase deposition of organic films
US10343186B2 (en) 2015-10-09 2019-07-09 Asm Ip Holding B.V. Vapor phase deposition of organic films
US10695794B2 (en) 2015-10-09 2020-06-30 Asm Ip Holding B.V. Vapor phase deposition of organic films
US9941425B2 (en) 2015-10-16 2018-04-10 Asm Ip Holdings B.V. Photoactive devices and materials
US9786491B2 (en) 2015-11-12 2017-10-10 Asm Ip Holding B.V. Formation of SiOCN thin films
US9786492B2 (en) 2015-11-12 2017-10-10 Asm Ip Holding B.V. Formation of SiOCN thin films
US10121655B2 (en) 2015-11-20 2018-11-06 Applied Materials, Inc. Lateral plasma/radical source
US9981286B2 (en) 2016-03-08 2018-05-29 Asm Ip Holding B.V. Selective formation of metal silicides
US10204782B2 (en) 2016-04-18 2019-02-12 Imec Vzw Combined anneal and selective deposition process
KR102182550B1 (en) 2016-04-18 2020-11-25 에이에스엠 아이피 홀딩 비.브이. Method of forming induced self-assembly layer on a substrate
US11081342B2 (en) 2016-05-05 2021-08-03 Asm Ip Holding B.V. Selective deposition using hydrophobic precursors
KR102378021B1 (en) 2016-05-06 2022-03-23 에이에스엠 아이피 홀딩 비.브이. Formation of SiOC thin films
US10662527B2 (en) 2016-06-01 2020-05-26 Asm Ip Holding B.V. Manifolds for uniform vapor deposition
US10373820B2 (en) 2016-06-01 2019-08-06 Asm Ip Holding B.V. Deposition of organic films
US10453701B2 (en) 2016-06-01 2019-10-22 Asm Ip Holding B.V. Deposition of organic films
US10014212B2 (en) 2016-06-08 2018-07-03 Asm Ip Holding B.V. Selective deposition of metallic films
US9803277B1 (en) 2016-06-08 2017-10-31 Asm Ip Holding B.V. Reaction chamber passivation and selective deposition of metallic films
US11430656B2 (en) 2016-11-29 2022-08-30 Asm Ip Holding B.V. Deposition of oxide thin films
US10186420B2 (en) 2016-11-29 2019-01-22 Asm Ip Holding B.V. Formation of silicon-containing thin films
US10199266B2 (en) * 2016-12-26 2019-02-05 Intel Corporation Integrated circuit interconnect structure having metal oxide adhesive layer
US11094535B2 (en) 2017-02-14 2021-08-17 Asm Ip Holding B.V. Selective passivation and selective deposition
US10847529B2 (en) 2017-04-13 2020-11-24 Asm Ip Holding B.V. Substrate processing method and device manufactured by the same
US10504901B2 (en) 2017-04-26 2019-12-10 Asm Ip Holding B.V. Substrate processing method and device manufactured using the same
US11158500B2 (en) 2017-05-05 2021-10-26 Asm Ip Holding B.V. Plasma enhanced deposition processes for controlled formation of oxygen containing thin films
US11501965B2 (en) 2017-05-05 2022-11-15 Asm Ip Holding B.V. Plasma enhanced deposition processes for controlled formation of metal oxide thin films
CN110651064B (en) 2017-05-16 2022-08-16 Asm Ip 控股有限公司 Selective PEALD of oxides on dielectrics
US10622214B2 (en) 2017-05-25 2020-04-14 Applied Materials, Inc. Tungsten defluorination by high pressure treatment
US10900120B2 (en) 2017-07-14 2021-01-26 Asm Ip Holding B.V. Passivation against vapor deposition
US10913056B2 (en) 2017-07-31 2021-02-09 Honda Motor Co., Ltd. Method for synthesis of copper/copper oxide nanocrystals
KR102405723B1 (en) 2017-08-18 2022-06-07 어플라이드 머티어리얼스, 인코포레이티드 High pressure and high temperature annealing chamber
US10276411B2 (en) 2017-08-18 2019-04-30 Applied Materials, Inc. High pressure and high temperature anneal chamber
US10720341B2 (en) 2017-11-11 2020-07-21 Micromaterials, LLC Gas delivery system for high pressure processing chamber
KR20200075892A (en) 2017-11-17 2020-06-26 어플라이드 머티어리얼스, 인코포레이티드 Condenser system for high pressure treatment systems
CN110622283A (en) * 2017-11-22 2019-12-27 应用材料公司 Method for reducing or eliminating defects in tungsten films
KR20190065962A (en) 2017-12-04 2019-06-12 에이에스엠 아이피 홀딩 비.브이. UNIFORM DEPOSITION OF SiOC ON DIELECTRIC AND METAL SURFACES
KR102536820B1 (en) 2018-03-09 2023-05-24 어플라이드 머티어리얼스, 인코포레이티드 High pressure annealing process for metal containing materials
JP7146690B2 (en) 2018-05-02 2022-10-04 エーエスエム アイピー ホールディング ビー.ブイ. Selective layer formation using deposition and removal
US10950429B2 (en) 2018-05-08 2021-03-16 Applied Materials, Inc. Methods of forming amorphous carbon hard mask layers and hard mask layers formed therefrom
EP3821463A1 (en) * 2018-07-11 2021-05-19 Dynex Semiconductor Limited Semiconductor device sub-assembly
US10748783B2 (en) 2018-07-25 2020-08-18 Applied Materials, Inc. Gas delivery module
JP2020056104A (en) 2018-10-02 2020-04-09 エーエスエム アイピー ホールディング ビー.ブイ. Selective passivation and selective deposition
WO2020117462A1 (en) 2018-12-07 2020-06-11 Applied Materials, Inc. Semiconductor processing system
WO2020176575A1 (en) 2019-02-28 2020-09-03 Honda Motor Co., Ltd. Cu/cu2o interface nanostructures for electrochemical co2 reduction
US11339487B2 (en) 2019-02-28 2022-05-24 Honda Motor Co., Ltd. Synergistic effects of multi-faceted CU2O nanocrystals for electrochemical CO2 reduction
US11492701B2 (en) 2019-03-19 2022-11-08 Asm Ip Holding B.V. Reactor manifolds
KR20210048408A (en) 2019-10-22 2021-05-03 에이에스엠 아이피 홀딩 비.브이. Semiconductor deposition reactor manifolds
US11139163B2 (en) 2019-10-31 2021-10-05 Asm Ip Holding B.V. Selective deposition of SiOC thin films
TW202136571A (en) 2020-02-10 2021-10-01 荷蘭商Asm Ip 控股公司 Deposition of hafnium oxide within a high aspect ratio hole
US11901222B2 (en) 2020-02-17 2024-02-13 Applied Materials, Inc. Multi-step process for flowable gap-fill film
TW202204658A (en) 2020-03-30 2022-02-01 荷蘭商Asm Ip私人控股有限公司 Simultaneous selective deposition of two different materials on two different surfaces
TW202140833A (en) 2020-03-30 2021-11-01 荷蘭商Asm Ip私人控股有限公司 Selective deposition of silicon oxide on dielectric surfaces relative to metal surfaces
TW202140832A (en) 2020-03-30 2021-11-01 荷蘭商Asm Ip私人控股有限公司 Selective deposition of silicon oxide on metal surfaces
US11443936B2 (en) * 2020-06-19 2022-09-13 Applied Materials, Inc. Methods and apparatus for aluminum oxide surface recovery
WO2023027974A1 (en) * 2021-08-22 2023-03-02 Trustees Of Dartmouth College Functionalization of microscale 3d-printed polymer structures with nanoscale vapor deposited electronic layers

Family Cites Families (52)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
DE410873C (en) 1923-08-18 1925-03-26 Neufeldt & Kuhnke Fa Asynchronous machine with capacitors to generate the magnetizing current
GB368850A (en) * 1930-06-07 1932-03-14 Westinghouse Brake & Signal Improvements relating to electric current rectifying devices
US3620853A (en) * 1969-11-25 1971-11-16 Anaconda Wire & Cable Co Descaling copper rods
SE393967B (en) 1974-11-29 1977-05-31 Sateko Oy PROCEDURE AND PERFORMANCE OF LAYING BETWEEN THE STORAGE IN A LABOR PACKAGE
BE843167A (en) * 1975-06-24 1976-10-18 COOLING AND PICKLING OF A CONTINUOUS ROLLED MACHINE WIRE
US4477296A (en) * 1982-09-30 1984-10-16 E. I. Du Pont De Nemours And Company Method for activating metal particles
JPH0713304B2 (en) 1987-12-14 1995-02-15 日立化成工業株式会社 Copper surface treatment method
JPH0445534A (en) * 1990-06-12 1992-02-14 Sony Corp Selective etching method of thin copper film
EP0469470B1 (en) 1990-07-30 1996-10-09 Mitsubishi Gas Chemical Company, Inc. Process for producing multilayered printed board
JPH0485024A (en) * 1990-07-30 1992-03-18 Mitsubishi Gas Chem Co Inc Manufacture of copper-clad laminated sheet
US5382333A (en) 1990-07-30 1995-01-17 Mitsubishi Gas Chemical Company, Inc. Process for producing copper clad laminate
US5106454A (en) * 1990-11-01 1992-04-21 Shipley Company Inc. Process for multilayer printed circuit board manufacture
US5865365A (en) 1991-02-19 1999-02-02 Hitachi, Ltd. Method of fabricating an electronic circuit device
WO1993010652A1 (en) 1991-11-22 1993-05-27 Electrochemicals, Inc. Process for improved adhesion between a metallic oxide and a polymer surface
JPH05206632A (en) * 1992-01-17 1993-08-13 Tokyo Gas Co Ltd Reflow soldering reducing atmosphere gas and supply device thereof
US5561082A (en) 1992-07-31 1996-10-01 Kabushiki Kaisha Toshiba Method for forming an electrode and/or wiring layer by reducing copper oxide or silver oxide
FI97731C (en) 1994-11-28 1997-02-10 Mikrokemia Oy Method and apparatus for making thin films
US6006763A (en) * 1995-01-11 1999-12-28 Seiko Epson Corporation Surface treatment method
US6342277B1 (en) * 1996-08-16 2002-01-29 Licensee For Microelectronics: Asm America, Inc. Sequential chemical vapor deposition
NL1003538C2 (en) 1996-07-08 1998-01-12 Advanced Semiconductor Mat Method and device for contactless treatment of a disc-shaped semiconductor substrate.
US5916365A (en) * 1996-08-16 1999-06-29 Sherman; Arthur Sequential chemical vapor deposition
US5923056A (en) * 1996-10-10 1999-07-13 Lucent Technologies Inc. Electronic components with doped metal oxide dielectric materials and a process for making electronic components with doped metal oxide dielectric materials
JP3150095B2 (en) 1996-12-12 2001-03-26 日本電気株式会社 Method of manufacturing multilayer wiring structure
US6124189A (en) 1997-03-14 2000-09-26 Kabushiki Kaisha Toshiba Metallization structure and method for a semiconductor device
US6387805B2 (en) 1997-05-08 2002-05-14 Applied Materials, Inc. Copper alloy seed layer for copper metallization
US5939334A (en) 1997-05-22 1999-08-17 Sharp Laboratories Of America, Inc. System and method of selectively cleaning copper substrate surfaces, in-situ, to remove copper oxides
KR100269306B1 (en) * 1997-07-31 2000-10-16 윤종용 Integrate circuit device having buffer layer containing metal oxide stabilized by low temperature treatment and fabricating method thereof
CA2246402C (en) 1997-09-05 2001-02-06 Premark Feg L.L.C. Door/sill interface for a warewasher
US5983644A (en) 1997-09-29 1999-11-16 Applied Materials, Inc. Integrated bake and chill plate
US6033584A (en) 1997-12-22 2000-03-07 Advanced Micro Devices, Inc. Process for reducing copper oxide during integrated circuit fabrication
JP3111979B2 (en) * 1998-05-20 2000-11-27 日本電気株式会社 Wafer cleaning method
US6323131B1 (en) * 1998-06-13 2001-11-27 Agere Systems Guardian Corp. Passivated copper surfaces
US6130123A (en) 1998-06-30 2000-10-10 Intel Corporation Method for making a complementary metal gate electrode technology
US6461675B2 (en) 1998-07-10 2002-10-08 Cvc Products, Inc. Method for forming a copper film on a substrate
KR100275738B1 (en) 1998-08-07 2000-12-15 윤종용 Method for producing thin film using atomatic layer deposition
JP3137087B2 (en) * 1998-08-31 2001-02-19 日本電気株式会社 Method for manufacturing semiconductor device
US6108937A (en) 1998-09-10 2000-08-29 Asm America, Inc. Method of cooling wafers
JP2000208627A (en) * 1999-01-19 2000-07-28 Hitachi Ltd Production of semiconductor device
US6303500B1 (en) * 1999-02-24 2001-10-16 Micron Technology, Inc. Method and apparatus for electroless plating a contact pad
US6136163A (en) 1999-03-05 2000-10-24 Applied Materials, Inc. Apparatus for electro-chemical deposition with thermal anneal chamber
US6305314B1 (en) * 1999-03-11 2001-10-23 Genvs, Inc. Apparatus and concept for minimizing parasitic chemical vapor deposition during atomic layer deposition
US6297539B1 (en) * 1999-07-19 2001-10-02 Sharp Laboratories Of America, Inc. Doped zirconia, or zirconia-like, dielectric film transistor structure and deposition method for same
US6040243A (en) * 1999-09-20 2000-03-21 Chartered Semiconductor Manufacturing Ltd. Method to form copper damascene interconnects using a reverse barrier metal scheme to eliminate copper diffusion
US6593653B2 (en) * 1999-09-30 2003-07-15 Novellus Systems, Inc. Low leakage current silicon carbonitride prepared using methane, ammonia and silane for copper diffusion barrier, etchstop and passivation applications
US6576053B1 (en) * 1999-10-06 2003-06-10 Samsung Electronics Co., Ltd. Method of forming thin film using atomic layer deposition method
US6203613B1 (en) * 1999-10-19 2001-03-20 International Business Machines Corporation Atomic layer deposition with nitrate containing precursors
JP2001160558A (en) 1999-12-02 2001-06-12 Nec Corp Method and apparatus for manufacturing semiconductor device
NL1013984C2 (en) 1999-12-29 2001-07-02 Asm Int Method and device for treating substrates.
US6777331B2 (en) * 2000-03-07 2004-08-17 Simplus Systems Corporation Multilayered copper structure for improving adhesion property
US20020013487A1 (en) * 2000-04-03 2002-01-31 Norman John Anthony Thomas Volatile precursors for deposition of metals and metal-containing films
WO2001088972A1 (en) * 2000-05-15 2001-11-22 Asm Microchemistry Oy Process for producing integrated circuits
JP3574383B2 (en) * 2000-07-31 2004-10-06 富士通株式会社 Semiconductor device and manufacturing method thereof

Also Published As

Publication number Publication date
US20050215053A1 (en) 2005-09-29
US20020004293A1 (en) 2002-01-10
US6921712B2 (en) 2005-07-26
US6887795B2 (en) 2005-05-03
EP1282911A1 (en) 2003-02-12
US6482740B2 (en) 2002-11-19
JP5173101B2 (en) 2013-03-27
KR20030007612A (en) 2003-01-23
EP1282911B1 (en) 2018-09-05
US7241677B2 (en) 2007-07-10
JP2003533880A (en) 2003-11-11
TW508658B (en) 2002-11-01
WO2001088972A1 (en) 2001-11-22
KR100775159B1 (en) 2007-11-12
US20030096468A1 (en) 2003-05-22
US20040038529A1 (en) 2004-02-26

Similar Documents

Publication Publication Date Title
AU2001260374A1 (en) Process for producing integrated circuits
EG23016A (en) Integrated process for producing an alkenylsubstitutes aromatic compound
EP1122776B8 (en) Process for producing semiconductor chips
AU2001256732A1 (en) Process for producing crystal
AU2001271021A1 (en) Process for producing glycoprotein
AU2002211793A1 (en) Method for optimizing the characteristics of integrated circuits components fromcircuit speficications
HUP0104566A3 (en) Process for producing n-carboxi-anhidrides
AU2002217089A1 (en) Method for producing sulfonamide-substituted imidazotriazinones
AU2002213972A1 (en) Method for producing polyisobutenylphenoles
HK1049327A1 (en) Process for producing aminodiphenylamines
AU2002210535A1 (en) Method for producing melamine
AU2001291313A1 (en) Process for making 3-amino-2-chloro-4-methylpyridine
AU2001272757A1 (en) Process for producing 5-fluorooxyindole and for producing intermediate therefor
AU2001225621A1 (en) Method for producing nitrogenous-potash fertiliser
AU2002212224A1 (en) Method for producing delta1-pyrrolines
AU2001242735A1 (en) Process for producing camptothecin
AU2001260605A1 (en) Process for producing antioxidant
AU2001254841A1 (en) Process for producing ether
AU2001284442A1 (en) Process for producing fluoroalkanol
AU2001296023A1 (en) Process for producing peptide
AU8444201A (en) Process for producing fluoroalkanol
AU2001262147A1 (en) Method for producing 4-bromo- and 4-chloro-2-nitro-1-trifluoromethoxybenzene
AU2002217463A1 (en) Process for producing allumium extracts
EP1457568A4 (en) Process for producing 2 -deoxyguanosine
AU2562001A (en) Method for producing nitrogenous-potash fertiliser