JP6813983B2 - アルミニウム及び窒素を含む材料の選択的堆積 - Google Patents

アルミニウム及び窒素を含む材料の選択的堆積 Download PDF

Info

Publication number
JP6813983B2
JP6813983B2 JP2016154091A JP2016154091A JP6813983B2 JP 6813983 B2 JP6813983 B2 JP 6813983B2 JP 2016154091 A JP2016154091 A JP 2016154091A JP 2016154091 A JP2016154091 A JP 2016154091A JP 6813983 B2 JP6813983 B2 JP 6813983B2
Authority
JP
Japan
Prior art keywords
substrate
aluminum
nitrogen
deposition
deposited
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Active
Application number
JP2016154091A
Other languages
English (en)
Other versions
JP2017041632A (ja
Inventor
ハン ワン
ハン ワン
チー シエ
チー シエ
デルフィン ロングリー
デルフィン ロングリー
ヤン ウィレム マエス
ヤン ウィレム マエス
ロエスト ダヴィド デ
ロエスト ダヴィド デ
ジュリアン シェイ
ジュリアン シェイ
チーユー ジュー
チーユー ジュー
ティモ アシカイネン
ティモ アシカイネン
Original Assignee
エーエスエム アイピー ホールディング ビー.ブイ.
エーエスエム アイピー ホールディング ビー.ブイ.
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by エーエスエム アイピー ホールディング ビー.ブイ., エーエスエム アイピー ホールディング ビー.ブイ. filed Critical エーエスエム アイピー ホールディング ビー.ブイ.
Publication of JP2017041632A publication Critical patent/JP2017041632A/ja
Application granted granted Critical
Publication of JP6813983B2 publication Critical patent/JP6813983B2/ja
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02172Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides
    • H01L21/02175Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides characterised by the metal
    • H01L21/02178Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides characterised by the metal the material containing aluminium, e.g. Al2O3
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02205Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/0228Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition deposition by cyclic CVD, e.g. ALD, ALE, pulsed CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02299Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer pre-treatment
    • H01L21/02312Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer pre-treatment treatment by exposure to a gas or vapour
    • H01L21/02315Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer pre-treatment treatment by exposure to a gas or vapour treatment by exposure to a plasma
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/28008Making conductor-insulator-semiconductor electrodes
    • H01L21/28017Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon
    • H01L21/28158Making the insulator
    • H01L21/28167Making the insulator on single crystalline silicon, e.g. using a liquid, i.e. chemical oxidation
    • H01L21/28194Making the insulator on single crystalline silicon, e.g. using a liquid, i.e. chemical oxidation by deposition, e.g. evaporation, ALD, CVD, sputtering, laser deposition
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/283Deposition of conductive or insulating materials for electrodes conducting electric current
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31105Etching inorganic layers
    • H01L21/31111Etching inorganic layers by chemical means
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31144Etching the insulating layers by chemical or physical means using masks
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76829Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers
    • H01L21/76834Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers formation of thin insulating films on the sidewalls or on top of conductors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76897Formation of self-aligned vias or contact plugs, i.e. involving a lithographically uncritical step
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10KORGANIC ELECTRIC SOLID-STATE DEVICES
    • H10K85/00Organic materials used in the body or electrodes of devices covered by this subclass
    • H10K85/30Coordination compounds
    • H10K85/321Metal complexes comprising a group IIIA element, e.g. Tris (8-hydroxyquinoline) gallium [Gaq3]
    • H10K85/324Metal complexes comprising a group IIIA element, e.g. Tris (8-hydroxyquinoline) gallium [Gaq3] comprising aluminium, e.g. Alq3

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Plasma & Fusion (AREA)
  • General Chemical & Material Sciences (AREA)
  • Inorganic Chemistry (AREA)
  • Crystallography & Structural Chemistry (AREA)
  • Materials Engineering (AREA)
  • Chemical Vapour Deposition (AREA)
  • Electrodes Of Semiconductors (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)
  • Formation Of Insulating Films (AREA)

Description

本願は、第2の表面と比較した基板の第1の表面のアルミニウム及び窒素を含む材料、例えば、Al及びNを含む薄膜の選択的堆積に関する。
集積回路は、現在、種々の材料層が所定の配列で半導体基板上に順次構築される精巧なプロセスによって製造されている。
半導体基板上の材料の所定の配列は、材料を基板表面全体に堆積させ、続いてマスク層の堆積とそれに続く選択的エッチングプロセスなどによって材料を基板の所定の領域から除去することによって行われることが多い。
ある例においては、集積表面を基板上に製造する際に関与するステップの数は、後続の加工を必要とせずに、又はさほど必要とせずに、材料が第1の表面に第2の表面よりも選択的に堆積する選択的堆積プロセスを利用することによって削減することができる。基板の第1の表面に基板の第2の異なる表面よりも選択的に堆積させる方法が本明細書に開示される。
一部の態様においては、アルミニウム及び窒素を含む材料を選択的に堆積させるプロセスが提供される。一部の実施形態においては、基板をアルミニウムを含む第1の気相前駆体と接触させるステップ及び基板を窒素を含む第2の気相前駆体と接触させるステップを含む1回以上の堆積サイクルを含むプロセスにおいて、アルミニウム及び窒素を含む材料が、基板の第1の表面に、同じ基板の第2の誘電体表面に対して堆積する。一部の実施形態においては、基板をアルミニウムを含む第1の気相前駆体と接触させるステップ及び基板を窒素を含む第2の気相前駆体と接触させるステップを含む1回以上の堆積サイクルを含むプロセスにおいて、アルミニウム及び窒素を含む材料が、基板の第1の表面に、同じ基板の第2のSi−O表面に対して堆積する。一部の実施形態においては、基板をアルミニウムを含む第1の気相前駆体と接触させるステップ及び基板を窒素を含む第2の気相前駆体と接触させるステップを含む1回以上の堆積サイクルを含むプロセスにおいて、アルミニウム及び窒素を含む材料は、基板の第1の表面に、同じ基板の第2の非導電性表面に対して堆積する。一部の実施形態においては、アルミニウム及び窒素を含む材料は、基板の第1の表面に、同じ基板の第2の誘電体表面に比べて約50%を超える選択性(selectively)で堆積する。一部の実施形態においては、第1の表面は、銅、窒化チタン、タングステン及び窒化ケイ素の少なくとも1つを含む。一部の実施形態においては、アルミニウム及び窒素を含む材料は窒化アルミニウム薄膜である。一部の実施形態においては、窒化アルミニウム薄膜は酸素を含む。
一部の実施形態においては、アルミニウムを含む第1の気相前駆体は、有機金属アルミニウム化合物である。一部の実施形態においては、アルミニウムを含む第1の気相前駆体は、アルミニウム以外の金属を含まない。一部の実施形態においては、アルミニウムを含む第1の気相前駆体は式RAlを有し、各RをC〜Cアルキル基から独立に選択することができる。一部の実施形態においては、アルミニウムを含む第1の気相前駆体は、ハロゲン化物を含まない。一部の実施形態においては、アルミニウムを含む第1の気相前駆体は、1つの塩素リガンド及び少なくとも2つのアルキルリガンドを含む。一部の実施形態においては、アルミニウムを含む第1の気相前駆体は、少なくとも1つの水素リガンド及び少なくとも1つのアルキルリガンドを含む。一部の実施形態においては、アルミニウムを含む第1の気相前駆体は、窒素、ケイ素又は酸素を含まない。一部の実施形態においては、アルミニウムを含む第1の気相前駆体は、トリエチルアルミニウム(TEA)、トリメチルアルミニウム(TMA)又はトリ‐tert‐ブチルアルミニウム(TTBA)を含み、窒素を含む第2の気相前駆体はNHを含む。
一部の実施形態においては、第2の誘電体表面は、Si−O結合を含む。一部の実施形態においては、前記プロセスは、熱原子層堆積(ALD:atomic layer deposition)プロセスを含む。一部の実施形態においては、前記プロセスは、少なくとも2回の連続堆積サイクルにおいてプラズマを含まない。一部の実施形態においては、前記プロセスは、さらに、第1の堆積サイクルの前に基板を前処理反応物にさらすステップを含む。一部の実施形態においては、前処理反応物は、プラズマを含む。一部の実施形態においては、第1の堆積サイクルの前に基板を前処理反応物にさらすステップは、選択性を約2倍超高める。一部の実施形態においては、前記プロセスは、さらに、少なくとも1回の堆積サイクル後に基板をプラズマにさらすステップを含む。一部の実施形態においては、基板は、10回を超える堆積サイクル後にプラズマにさらされる。
一部の実施形態においては、アルミニウム及び窒素を含む材料は、希薄HF中のSiOに対してエッチング選択性を有する。一部の実施形態においては、基板の第2の誘電体表面に対する同じ基板の第1の表面に堆積したアルミニウム及び窒素を含む材料の比は、約10:1を超え、基板の第1の表面に堆積したアルミニウム及び窒素を含む材料の厚さは、約5nmを超える。一部の実施形態においては、基板の第2の誘電体表面に対する同じ基板の第1の表面に堆積したアルミニウム及び窒素を含む材料の比は、約10:1を超え、基板の第1の表面に堆積したアルミニウム及び窒素を含む材料の厚さは、約1nmを超える。一部の実施形態においては、基板の第2の誘電体表面に対する同じ基板の第1の表面に堆積したアルミニウム及び窒素を含む材料の比は、約10:1を超え、前記プロセスは、約1から25回の堆積サイクルを含む。一部の実施形態においては、基板の第2の誘電体表面に対する同じ基板の第1の表面に堆積したアルミニウム及び窒素を含む材料の比は、約10:1を超え、前記プロセスは、約1から150回の堆積サイクルを含む。一部の実施形態においては、約0.1nm未満のアルミニウム及び窒素を含む材料は、約1から25回の堆積サイクル後に基板の第2の誘電体表面に堆積する。一部の実施形態においては、堆積したアルミニウム及び窒素を含む材料のウェットエッチング速度とSiOのウェットエッチング速度との比は、約1:5未満である。
一部の実施形態においては、基板の第2の誘電体表面がソース/ドレイン領域の上にあり、前記プロセスは、さらに、基板の第2の誘電体表面を除去し、それによって基板のソース/ドレイン領域を露出させるステップと、基板の露出したソース/ドレイン領域の上にコンタクトを形成するステップと、を含む。
一部の態様においては、AlNを基板の第1の表面に、同じ基板の第2の誘電体表面よりも選択的に堆積させるプロセスが提供される。一部の実施形態においては、前記プロセスは、基板を気相トリ‐tert‐ブチルアルミニウム(TTBA)と気相NHとに交互に順次接触させるステップを含む1回以上の堆積サイクルを含むことができる。一部の実施形態においては、AlNは、基板の第1の表面に、同じ基板の第2の誘電体表面に比べて約50%を超える選択性で堆積する。一部の実施形態においては、第2の誘電体表面は、Si−O結合を含む。一部の実施形態においては、前記プロセスは、熱原子層堆積(ALD)プロセスを含む。一部の実施形態においては、前記プロセスは、少なくとも2回の連続堆積サイクルにおいてプラズマを含まない。一部の実施形態においては、前記プロセスは、さらに、第1の堆積サイクルの前に基板を前処理反応物にさらすステップを含む。一部の実施形態においては、前処理反応物は、プラズマを含む。一部の実施形態においては、前記プロセスは、上記他の実施形態の特徴の一部又はすべてを含むことができる。
一部の態様においては、自己整合コンタクト形成においてエッチングストップ層を形成するプロセスが提供される。一部の実施形態においては、プロセスは、第1の表面とソース/ドレイン領域の上にある第2の誘電体表面とを含む半導体基板を用意するステップと、基板の第1の表面の一部を除去して、その中に凹部を形成するステップ、アルミニウム及び窒素を含む材料を第1の表面に第2の誘電体表面よりも選択的に堆積させるステップと、基板の第2の誘電体表面を除去し、それによって基板のソース/ドレイン領域を露出させるステップと、基板の露出したソース/ドレイン領域の上に接触部を形成するステップと、を含むことができる。一部の実施形態においては、第1の表面は、銅、窒化チタン、タングステン及び窒化ケイ素の少なくとも1種を含む。一部の実施形態においては、アルミニウム及び窒素を含む材料は窒化アルミニウム薄膜である。一部の実施形態においては、窒化アルミニウム薄膜は酸素を含む。一部の実施形態においては、前記プロセスは、上記他の実施形態の特徴の一部又はすべてを含むことができる。
本発明は、詳細な説明及び添付の図面から更に理解されるはずである。図面は、本発明を説明するものであって、本発明を限定するものではない。
Al及びNを含む材料を基板の第1の表面に同じ基板の第2の異なる表面よりも選択的に堆積させる堆積プロセスフローである。 AlNを基板の第1の表面に同じ基板の第2の異なる表面よりも選択的に堆積させる堆積プロセスフローである。 自己整合コンタクト構造を形成するためのプロセスフローである。 別の自己整合接触構造を形成するためのプロセスフローである。 第1のTiN表面に第2のSiO表面よりも選択的に堆積したAl及びNを含む材料の堆積材料厚さと堆積サイクル数のグラフである。 第1のTiN表面にSiO及び自然酸化物の第2の表面よりも選択的に堆積したAl及びNを含む材料の堆積材料厚さと堆積サイクル数のグラフである。 第1のTiN表面に第2の自然酸化物表面よりも選択的に堆積したAl及びNを含む材料の堆積材料厚さと堆積サイクル数のグラフである。 第1のTiN又はW表面に第2のSiO表面よりも選択的に堆積したAl及びNを含む材料の堆積材料厚さと堆積サイクル数のグラフである。
状況によっては、窒化アルミニウム(AlN)などのアルミニウム(Al)及び窒素(N)を含む材料を、基板の一表面に同じ基板の第2の異なる表面に対して選択的に堆積させることが望ましい。例えば、Al及びNを含む材料の選択的堆積を利用して、キャッピング層、バリア層、又はコンタクトエッチングストップ層などのエッチングストップ層を形成することができる。例えば、Al及びNを含む材料を、基板の第1の表面に、同じ基板の誘電体表面などの第2の異なる表面よりも優先的に選択的に堆積させることができる。
一部の実施形態においては、第1の表面と第2の異なる表面とを含む基板が用意され、各サイクルが基板を気相の第1の前駆体と気相の第2の前駆体とに交互に順次接触させるステップを含む複数の堆積サイクルを含むALDタイププロセスによって、Al及びNを含む材料は、第1の表面に第2の表面に対して選択的に堆積する。一部の実施形態においては、選択的に堆積したAl及びNを含む材料はAlNである。
一部の実施形態においては、AlNなどのアルミニウム及び窒素を含む材料は、導電性表面と誘電体表面の両方を含む基板の金属表面に選択的に堆積する。一部の実施形態においては、AlNは、基板のCu、W又はTiN表面などの第1の導電性表面に、同じ基板のSiO又はlow‐k表面などの第2の誘電体表面よりも選択的に堆積する。一部の実施形態においては、AlNは、SiN表面などの導電性表面ではない第1の表面に、同じ基板のSiO又はlow‐k表面などの第2の誘電体表面よりも選択的に堆積する。一部の実施形態においては、AlNは、Cu表面に第2の異なる表面よりも選択的に堆積する。一部の実施形態においては、AlNは、W表面に第2の異なる表面よりも選択的に堆積する。一部の実施形態においては、AlNは、TiN表面に第2の異なる表面よりも選択的に堆積する。一部の実施形態においては、AlNは、SiN表面に第2の異なる表面よりも選択的に堆積する。
ALDタイププロセス
ALDタイププロセスは、前駆体化学物質の制御された自己制限表面反応に基づく。気相反応は、基板を前駆体と交互に順次接触させることによって回避される。気相反応物は、例えば、過剰な反応物及び/又は反応副生物を反応物パルスの間に反応チャンバから除去することによって、基板表面で互いに分離される。一部の実施形態においては、1つ以上の基板表面が、2種以上の気相前駆体、又は反応物と交互に順次接触する。基板表面を気相反応物と接触させることは、反応物蒸気が基板表面と限られた時間接触することを意味する。換言すれば、基板表面は各気相反応物に限られた時間さらされると理解することができる。
簡潔に述べると、少なくとも第1の表面と第2の異なる表面を含む基板は、一般に低圧で、適切な堆積温度に加熱される。堆積温度は、一般に、反応物の熱分解温度未満に維持されるが、反応物の凝縮を回避し、所望の表面反応の活性化エネルギーを供給するのに十分高いレベルに維持される。言うまでもなく、任意の所与のALD反応に対する適切な温度窓は、表面終端及び含まれる反応物種に依存する。ここで、温度は、使用する前駆体に応じて変化し、好ましくは約500℃以下、好ましくは約250℃から約500℃、より好ましくは約275℃から約450℃、より好ましくは約300℃から約425℃、最も好ましくは約325℃から約400℃である。
基板の表面は、気相の第1の反応物と接触する。一部の実施形態においては、気相の第1の反応物のパルスは、基板を含む反応空間に供給される。一部の実施形態においては、基板は、気相の第1の反応物を含む反応空間に移送される。条件は、好ましくは、第1の反応物の約1層以下の単層が自己制限で基板表面に吸着するように選択される。適切な接触時間は、個々の状況に基づいて当業者が容易に決定することができる。過剰な第1の反応物及び反応副生物は、もしあれば、不活性ガスパージによって、又は第1の反応物の存在から基板を除去することなどによって、基板表面から除去される。
パージは、チャンバを真空ポンプで排気することによって、及び/又は反応器内部のガスをアルゴン、窒素などの不活性ガスで置換することなどによって、気相前駆体及び/又は気相副生物が基板表面から除去されることを意味する。典型的なパージ時間は、約0.05から20秒、より好ましくは約1から10、更により好ましくは約1から2秒である。しかしながら、アスペクト比が極めて高い構造、又は複雑な表面モルフォロジーの他の構造に亘って高度にコンフォーマルなステップカバレッジが必要である場合など、必要に応じて、別のパージ時間を利用することができる。
基板の表面は、気相の第2のガス状反応物と接触する。一部の実施形態においては、第2のガス状反応物のパルスが、基板を含む反応空間に供給される。一部の実施形態においては、基板は、気相の第2の反応物を含む反応空間に移送される。過剰な第2の反応物及び表面反応のガス状副生物は、もしあれば、基板表面から除去される。接触及び除去のステップは、所望の厚さの薄膜が基板の第1の表面に選択的に形成されるまで繰り返され、各サイクルは、約1層以下の分子単層を残す。三元材料などのより複雑な材料を形成するために、基板の表面を他の反応物と交互に順次接触させるステップを含む追加の段階を含むことができる。
上述したように、各サイクルの各段階は、好ましくは、自己制限的である。影響を受けやすい構造表面を飽和させるために過剰な反応物前駆体が各段階で供給される。表面飽和によって、(例えば、物理的サイズや「立体障害」の制限を受けやすい)すべての利用可能な反応部位の反応物占有が確保され、したがって優れたステップカバレッジが確保される。一般に、材料の1層未満の分子層が各サイクルで堆積するが、一部の実施形態においては、1層を超える分子層がサイクル中に堆積する。
過剰な反応物を除去するステップは、反応空間の内容物の一部を排除するステップ、及び/又は反応空間をヘリウム、窒素又は別の不活性ガスでパージするステップを含むことができる。一部の実施形態においては、パージは、不活性キャリアガスを反応空間に流し続ける間、反応性ガスの流れを停止することを含むことができる。
基板は、様々なタイプの材料を含むことができる。集積回路を製造するときには、基板は、一般に、化学及び物理的性質が異なる幾つかの薄膜を含む。例えば、それだけに限定されないが、基板は、誘電体層及び金属層を含むことができる。一部の実施形態においては、基板は、金属炭化物を含むことができる。一部の実施形態においては、基板は、導電性酸化物を含むことができる。
好ましくは、基板は、金属又は金属性表面などの導電性表面を含む第1の表面を有する。一部の実施形態においては、第1の表面は金属窒化物を含む。一部の実施形態においては、第1の表面は、1つ以上の遷移金属を含む。遷移金属は、Ti、V、Cr、Mn、Nb、Mo、Ru、Rh、Pd、Ag、Au、Hf、Ta、W、Re、Os、Ir及びPtからなる群から選択することができる。別の実施形態においては、遷移金属は、Fe、Co、Niからなる群から選択される。一部の実施形態においては、第1の表面は、好ましくは銅を含む。一部の実施形態においては、第1の表面は貴金属を含む。貴金属は、Au、Pt、Ir、Pd、Os、Ag、Re、Rh及びRuからなる群から選択することができる。一部の好ましい実施形態においては、第1の表面は、Cu、W、TiN又はSiNの少なくとも1つを含む。
一部の実施形態においては、第1の表面は、1つを超える材料、例えば、TiN及びSiNを含むことができる。
一部の実施形態においては、第1の表面は、遷移金属ケイ化物などの金属ケイ化物を含む。一部の実施形態においては、第1の表面は、遷移金属炭化物、炭素含有遷移金属材料などの遷移金属を含む金属フィルムを含む。一部の実施形態においては、第1の表面はAlを含むことができる。一部の実施形態においては、第1の表面は金属又は金属材料の合金を含む。
第2の表面は、好ましくは、SiO、GeO、low‐k表面などの誘電体表面である。一部の実施形態においては、誘電体はSiOを含む。一部の実施形態においては、誘電体は多孔質材料である。一部の実施形態においては、多孔質誘電体は、連結された細孔を含み、別の実施形態においては、細孔は連結されていない。一部の実施形態においては、誘電体は、誘電値が約4.0未満の絶縁体として定義されるlow‐k材料を含む。一部の実施形態においては、low‐k材料の誘電値は、約3.5未満、約3.0未満、約2.5未満及び約2.3未満である。一部の実施形態においては、第2の表面は、Si−O結合を含む。一部の実施形態においては、第2の表面は、例えばプラズマ処理によって、不活性化される。一部の実施形態においては、第2の表面は非導電性表面である。一部の実施形態においては、第2の表面の抵抗率は約1オームmを超える。一部の実施形態においては、第2の表面は、Si−O結合を含み、抵抗率が約1オームm未満である。誘電体という用語は、他の第1の表面、すなわち金属又は金属性表面と区別するのを簡単にするために本明細書で使用される。個々の実施形態に関して別段の記載がない限り、本願の文脈における誘電体という用語は、抵抗率が極めて高いすべての表面を指すと理解することができる。
ALDタイププロセスに使用される前駆体は、標準条件下(室温及び大気圧)で固体、液体又はガス状の材料とすることができる。ただし、前駆体は、基板表面と接触する前には気相である。基板表面を気化前駆体と接触させることは、前駆体蒸気が基板表面と限られた時間接触することを意味する。一般に、接触時間は約0.05から10秒である。しかしながら、基板タイプ及びその表面積に応じて、接触時間は、10秒よりさらに長くすることができる。接触時間は、ある場合には、数分間とすることができる。最適接触時間は、個々の状況に基づいて当業者が決定することができる。
前駆体の質量流量も当業者が決定することができる。一部の実施形態においては、金属前駆体の流量は、好ましくは、それだけに限定されないが約1から1000sccm、より好ましくは約100から500sccmである。
反応チャンバ内の圧力は、一般に、約0.01から約20mbar、より好ましくは約1から約10mbarである。しかしながら、ある場合には、圧力はこの範囲よりも高く又は低く、個々の状況を考慮して当業者が決定することができる。
成膜開始前に、基板は、一般に、適切な成長温度に加熱される。成長温度は、形成する薄膜のタイプ、前駆体の物性などに応じて変わる。成長温度については、形成する薄膜の各タイプに関連して以下でより詳細に考察する。成長温度は、アモルファス薄膜が形成されるような堆積材料の結晶化温度未満とすることができ、又は結晶性薄膜が形成されるように結晶化温度を超えることができる。好ましい堆積温度は、堆積する材料の性質を含めて、反応物前駆体、圧力、流量、反応器の配置、堆積薄膜の結晶化温度、基板の組成など、ただしそれだけに限定されない幾つかの因子に応じて変わり得る。具体的成長温度は、当業者が選択することができる。
薄膜の成長に使用することができる反応器を堆積に使用することができる。かかる反応器としては、ALD反応器、並びに前駆体を供給する適切な装置及び手段を備えるCVD反応器が挙げられる。一部の実施形態によれば、シャワーヘッド型反応器を使用することができる。
使用することができる適切な反応器の例としては、アリゾナ州フェニックスのASM America,Inc.及びオランダ、アルメア(Almere)のASM Europe B.V.から入手可能なF−120(登録商標)反応器、F−450(登録商標)反応器、Pulsar(登録商標)2000、Pulsar(登録商標)3000などのPulsar(登録商標)反応器、EmerALD(登録商標)反応器、Advance(登録商標)400シリーズ反応器などの市販装置が挙げられる。他の市販反応器としては、商品名Eagle(登録商標)XP及びXP8のASM Japan K.K(東京、日本)製反応器が挙げられる。
一部の実施形態においては、バッチ反応器を使用することができる。適切なバッチ反応器としては、商品名ALDA400(商標)及びA412(商標)でASM Europe B.V(アルメア、オランダ)から市販されている反応器が挙げられるが、それだけに限定されない。一部の実施形態においては、A412(商標)など、処理中にボートが回転する縦型バッチ反応器を利用する。したがって、一部の実施形態においては、ウェーハが処理中に回転する。バッチ反応器が使用される一部の実施形態においては、ウェーハ間の均一性は、3%未満(1σ)、2%未満、1%未満、更には0.5%未満である。
成長プロセスは、場合によっては、クラスターツールに接続された反応器又は反応空間において実施することができる。クラスターツールにおいては、各反応空間は1タイプのプロセス専用であるので、各モジュールにおける反応空間の温度を一定に維持することができ、基板を各運転前にプロセス温度に加熱する反応器に比べて、処理量が改善される。
スタンド‐アローン型の反応器は、ロードロックを備えることができる。その場合、各運転間で反応空間を冷却する必要がない。
好ましくは、Al及びNを含む材料を形成する場合、各ALDサイクルは、少なくとも2つの異なる段階を含む。基板を第1の前駆体と接触させ、その後、過剰な第1の前駆体及び反応副生物を基板表面から除去するステップは、1つの段階とみなすことができ、第1段階、第1の前駆体段階、Al段階、Al前駆体段階、第1のAl段階、及び/又は第1のAl前駆体段階と称することができる。堆積サイクルの場合、第1段階においては、Alを含む第1の前駆体と基板が接触して、約1層以下の単層が基板表面に形成される。第2段階においては、基板は、窒素を含む第2の前駆体と接触し、吸着した第1の前駆体をAl及びNを含む材料に転化することができる。基板を第2の前駆体と接触させ、その後、過剰な第2の前駆体及び反応副生物を基板表面から除去するステップは、1つの段階とみなすことができ、第2段階、第2の前駆体段階、N段階、N前駆体段階、第1のN段階、及び/又は第1のN前駆体段階と称することができる。N、Ar、Heなどのキャリアガスを利用して1以上の前駆体を供給することができる。更なる段階を追加することができ、段階を所望のとおりに除去して、最終的な膜の組成を調節することができる。
図1を参照し、好ましい実施形態によれば、Al及びNを含む材料は、
ステップ120において、基板をAlを含む第1の気相前駆体と接触させるステップと、
ステップ130において、過剰な第1の前駆体及び反応副生物がもしあればそれを基板から除去するステップと、
ステップ140において、基板を、窒素を含む第2の気相前駆体と接触させるステップと、
ステップ150において、基板から過剰な第2の前駆体及びガス状副生物を除去するステップと、
場合によっては、ステップ160において、所望の厚さのAl及びNを含む材料が形成されるまで接触及び除去のステップを繰り返すステップと、
を含む少なくとも1回のサイクルを含むALDタイプ堆積プロセス100によって、第1の表面と第2の異なる表面とを含む基板の第1の表面に選択的に堆積する。
一部の実施形態においては、堆積プロセス100を開始する前に、基板の1つ以上の表面を前処理プロセスに供することができる。一部の実施形態においては、前処理プロセスは、選択的堆積プロセス100の選択性を高めることができる。一部の実施形態においては、前処理プロセスは、堆積プロセス100を開始する前に、一表面のAl及びNを含む材料の堆積を1つ以上の異なる表面よりも増大させることができる。一部の実施形態においては、前処理プロセスは、堆積プロセス100を開始する前に、一表面のAl及びNを含む材料の堆積を1つ以上の異なる表面よりも阻害することができる。図1においては、これは、ステップ110によって示され、Al及びNを含む材料の堆積前に、基板を前処理反応物、例えばプラズマにさらすことができる。
一部の実施形態においては、前処理プロセスは、基板を前処理反応物にさらすステップを含むことができる。一部の実施形態においては、前処理反応物は酸素を含むことができる。一部の実施形態においては、前処理反応物は、酸素ラジカル、原子状酸素、酸素プラズマ又はそれらの組合せを含む。一部の実施形態においては、前処理反応物は窒素を含むことができる。一部の実施形態においては、前処理反応物は、窒素ラジカル、原子状窒素、窒素プラズマ又はそれらの組合せを含む。一部の実施形態においては、前処理反応物は水素を含むことができる。一部の実施形態においては、前処理反応物は、水素ラジカル、原子状水素、水素プラズマ又はそれらの組合せを含む。
酸素プラズマを含む前処理反応物に基板がさらされる前処理プロセスを利用する一部の実施形態においては、Oを、例えば、約1から約2000sccm、より好ましくは約5から約1000sccm、最も好ましくは約50から約500sccmで供給することができる。一部の実施形態においては、Oを約300sccmで供給することができる。窒素プラズマを含む前処理反応物に基板がさらされる前処理プロセスを利用する一部の実施形態においては、Nを、例えば、約1から約5000sccm、より好ましくは約5から約2000sccm、最も好ましくは約50から約500sccmで供給することができる。一部の実施形態においては、Nを約300sccmで供給することができる。水素プラズマを含む前処理反応物に基板がさらされる前処理プロセスを利用する一部の実施形態においては、Hを、例えば、約1から約2000sccm、より好ましくは約5から約1000sccm、最も好ましくは約10から約100sccmで供給することができる。一部の実施形態においては、Hを約50sccmで供給することができる。同様の条件を別のタイプのプラズマに使用することができる。
一部の実施形態においては、前処理プロセスは、基板を前処理温度で前処理反応物にさらすステップを含むことができる。一部の実施形態においては、前処理温度を約20℃よりも高くすることができる。一部の実施形態においては、前処理温度は、約20℃から約500℃、好ましくは約50℃から約450℃、より好ましくは約150℃から約400℃とすることができる。一部の実施形態においては、前処理温度は、堆積温度とほぼ同じにすることができる。一部の実施形態においては、前処理温度は堆積温度と異なってもよい。一部の実施形態においては、プラズマは、約2500W未満、例えば、約1から約1000W、約1から約500W、又は約1から約200W以下の動力で発生させることができる。一部の実施形態においては、プラズマは50Wの動力で発生させることができる。一部の実施形態においては、プラズマは100Wの動力で発生させることができる。
一部の実施形態においては、プラズマは、約200秒未満、例えば、約180秒以下、約60秒以下、約30秒以下、約10秒以下、又は約3秒以下供給される。
一部の実施形態においては、プラズマは、反応器中で形成される。一部の実施形態においては、プラズマは、基板上又は基板近くでin situで形成することができる。別の実施形態においては、プラズマは、リモートプラズマ発生装置において反応チャンバの上流で形成され、プラズマ生成物は、反応チャンバに導かれて基板と接触する。当業者には理解されるように、リモートプラズマの場合、基板への経路は、電気的中性種を最大にし、基板に達する前にイオンの残存を最小にするように最適化することができる。
一部の実施形態においては、処理された基板は、前処理プロセス後及び選択的堆積プロセス開始前に、周囲環境にさらされない。一部の実施形態においては、処理された基板は、前処理プロセス後及び選択的堆積プロセス開始前に、空気にさらされない。
一部の実施形態においては、前処理プロセスを使用して、後続の選択的堆積プロセスの選択性を高めることができる。一部の実施形態においては、前処理プロセスは、第1の表面のAl及びNを含む材料の選択的堆積を第2の異なる表面よりも増大させることができる。一部の実施形態においては、前処理プロセスは、後続の選択的堆積プロセスの選択性を約2倍を超えて、約5倍を超えて、又は約10倍を超えて高めることができる。
一部の実施形態においては、前処理プロセスは、後続の堆積プロセス100と同じ反応チャンバ又は反応器中で実施することができる。一部の実施形態においては、前処理プロセスは、後続の堆積プロセス100とは異なる反応チャンバ又は反応器中で実施することができる。
再度図1を参照すると、基板は、ステップ120において、Alを含む第1の前駆体と接触する。一部の実施形態においては、第1の前駆体は、反応チャンバ中に気相パルスの形で導かれ、基板の表面と接触する。条件は、好ましくは、前駆体の約1層以下の単層が自己制限的な態様で基板表面に吸着するように選択される。しかしながら、一部の実施形態においては、条件は、前駆体の1層を超える単層が形成されるように選択することができる。
第1の前駆体パルスは、好ましくは、ガス状の形態で供給される。第1の前駆体ガスは、プロセス条件下で露出表面を飽和させるのに十分な濃度で被処理体に種を移送するのに十分な蒸気圧を示す場合、本明細書では「揮発性」とみなす。
一部の実施形態においては、第1の前駆体は、基板と約0.01秒から約60秒、約0.02秒から約30秒、約0.025秒から約20秒、約0.05秒から約5.0秒、約0.05秒から約2.0秒、又は約0.1秒から約1.0秒接触する。
ALDタイププロセスに使用される第1の前駆体は、標準条件下(室温及び大気圧)で固体、液体又はガス状の材料とすることができる。ただし、第1の前駆体は、反応チャンバ中に導かれ、基板表面と接触する前には気相である。
ステップ130においては、過剰な第1の前駆体及び反応副生物は、もしあれば、例えば、窒素、アルゴンなどの不活性ガスのパルスでパージすることによって、基板表面から除去される。反応チャンバのパージは、チャンバを真空ポンプで排気することによって、及び/又は反応器内部のガスをアルゴン、窒素などの不活性ガスで置換することなどによって、気相前駆体及び/又は気相副生物が反応チャンバから除去されることを意味する。典型的なパージ時間は、約0.05から20秒、より好ましくは約1から10秒、更により好ましくは約1から2秒である。しかしながら、アスペクト比が極めて高い構造、又は複雑な表面モルフォロジーを有する他の構造の上に堆積層が必要であるときなど、必要に応じて、別のパージ時間を利用することができる。適切なパージ時間は、個々の状況に基づいて当業者が容易に決定することができる。
しかしながら、別の実施形態においては、過剰な第1の前駆体及び反応副生物がもしあればそれを除去するステップは、第1の前駆体が基板にもはや接触しないように基板を移送するステップを含むことができる。一部の実施形態においては、前駆体をチャンバの種々の部分から除去しなくてもよい。一部の実施形態においては、基板は、第1の前駆体を含むチャンバの一部から、第2の前駆体を含む、又は前駆体を全く含まないチャンバの別の一部に移送される。一部の実施形態においては、基板は、第1の反応チャンバから第2の異なる反応チャンバに移送される。
ステップ140においては、基板は、Nを含む第2の気相前駆体と接触する。一部の実施形態においては、第2の前駆体がチャンバ中にパルスされ、そこで基板の第1の表面に結合した第1の前駆体と反応する。反応は、一般に、Al及びNを含む材料の約1層以下の単層を基板上に形成する。しかしながら、一部の実施形態においては、Al及びNを含む材料の1層を超える分子層が基板上に形成される。
一部の実施形態においては、第2の前駆体は、窒素プラズマ又は窒素ラジカルを含むことができる。かかる実施形態においては、窒素を反応チャンバ内又は反応チャンバの上流で活性化させることができる。プラズマが要求される場合、活性化されていない第2の前駆体の流れは、あるタイプのパージガスを含むことができ、基板が窒素プラズマに所望の時間さらされた後に、プラズマ発生装置を停止することができ、窒素前駆体自体の流れを使用して、反応チャンバから過剰な窒素プラズマ及び未反応副生物を除去する。
当業者は任意の数の適切な第2の前駆体を使用できることを認識しているが、適切な第2の前駆体としては、その前又は後に堆積した第1の前駆体のリガンドと都合良く反応する窒素含有化合物が挙げられる。したがって、適切な第2の前駆体の選択は、使用する具体的な第1の前駆体、及び第1の前駆体中のリガンドの性質に依存し得る。
一部の実施形態においては、第2の前駆体は、基板と約0.01秒から約60秒、約0.02秒から約30秒、約0.025秒から約20秒、約0.05秒から約5.0秒、約0.05秒から約2.0秒、又は約0.1秒から約1.0秒接触する。しかしながら、反応器タイプ、基板タイプ及びその表面積に応じて、第2の前駆体接触時間は、10秒よりさらに長くすることができる。一部の実施形態においては、接触時間を数分間とすることができる。最適接触時間は、個々の状況に基づいて当業者が容易に決定することができる。
反応チャンバにおける第2の前駆体の濃度は、約0.01体積%から約99.0体積%とすることができる。そして、第2の前駆体は、反応チャンバを約1 standard cm/minから約4000 standard cm/minの速度で流れることができる。
ステップ150においては、過剰な第2の前駆体及び表面反応のガス状副生物は、もしあれば、ステップ130で上述したように、基板から除去される。一部の実施形態においては、過剰な前駆体及び反応副生物は、好ましくは、不活性ガスを利用して除去される。
接触及び除去のステップは、場合によっては、所望の厚さのAl及びNを含む材料が基板の第1の表面に形成されるまでステップ160において繰り返すことができ、各サイクルは、約1層以下の分子単層を残す。ある場合には、種々の前駆体の少なくとも1つの少なくとも部分的分解を実現することが望ましいこともある。したがって、一部の実施形態においては、条件は、Al及びNを含む材料の1層を超える分子層が各堆積サイクルにおいて基板上に形成されるように選択することができる。
本開示のAl及びNを含む材料のALDプロセスは、1回以上のサイクルを含むことができる。一部の実施形態は、少なくとも約5サイクル、少なくとも約10サイクル、又は少なくとも約50サイクルの繰り返しを含む。一部の実施形態においては、100サイクル以下を実施して、望ましい厚さの薄膜を形成する。
一部の実施形態においては、基板表面及び/又はAl及びNを含む材料を、場合によっては、プラズマ処理プロセスに供することができる。図1においては、これはステップ170によって示される。一部の実施形態においては、1回を超える堆積サイクルを実施した後にプラズマ処理プロセスを実施することができる。一部の実施形態においては、堆積したAl及びNを含む材料フィルムが連続又は終了する前にプラズマ処理プロセスを実施することができる。一部の実施形態においては、約10回の堆積サイクルごとに、約20回の堆積サイクルごとに、又は約50回の堆積サイクルごとにプラズマ処理プロセスを実施することができる。一部の実施形態においては、少なくとも2回の連続堆積サイクルをプラズマ処理プロセスなしに実施する。一部の実施形態においては、5又は10回の堆積サイクルをプラズマ処理プロセスなしに実施する。一部の実施形態においては、堆積を実施する前に、すなわち、堆積サイクルを実施する前に、プラズマ処理プロセスを実施することができる。
一部の実施形態においては、プラズマ処理プロセスは、堆積プロセス100と同じ反応チャンバ又は反応器中で実施することができる。一部の実施形態においては、プラズマ処理プロセスは、堆積プロセス100とは異なる反応チャンバ又は反応器中で実施することができる。
一部の実施形態においては、プラズマは、反応器中で形成される。一部の実施形態においては、プラズマは、基板上又は基板近くでin situで形成することができる。別の実施形態においては、プラズマは、リモートプラズマ発生装置において反応チャンバの上流で形成され、プラズマ生成物は、反応チャンバに導かれて基板と接触する。当業者には理解されるように、リモートプラズマの場合、基板への経路は、電気的に中性な種を最大にし、基板に達する前にイオンの残存を最小にするように最適化することができる。
一部の実施形態においては、Al及びNを含む材料は、複数の堆積サイクルを用いて堆積させることができ、プラズマ処理は、1回以上、例えば、堆積前に、堆積サイクルごとに、堆積中に所定の間隔で、又は所望の厚さのAl及びNを含む材料が堆積した後に、適用することができる。
一部の実施形態においては、プラズマ処理プロセスは、基板を直接(ダイレクト)プラズマにさらすステップを含む。一部の実施形態においては、プラズマ処理プロセスは、基板をリモートプラズマにさらすステップを含む。一部の実施形態においては、プラズマ処理プロセスは、プラズマ放電において生成される励起種又は原子種に基板をさらすステップを含むが、たとえあるにしても、多量のイオンを含まない。一部の実施形態においては、プラズマは、酸素を含むことができる。一部の実施形態においては、プラズマは、窒素を含むことができる。プラズマ処理プロセスと称されるものの、一部の実施形態においては、プラズマを含まない活性酸素種、例えば、オゾンを使用することができる。一部の実施形態においては、プラズマは、水素を含むことができる。
一部の実施形態においては、前処理プロセス又はプラズマ処理プロセスを利用して、酸素プラズマを含む反応物に基板をさらす。Oを原料ガスとして、例えば、約1から約2000sccm、より好ましくは約5から約1000sccm、最も好ましくは約50から約500sccmで供給することができる。一部の実施形態においては、Oを約300sccmで供給することができる。
一部の実施形態においては、前処理プロセス又はプラズマ処理プロセスを利用して、窒素プラズマを含む反応物に基板をさらす。Nを原料ガスとして、例えば、約1から約5000sccm、より好ましくは約5から約2000sccm、最も好ましくは約50から約500sccmで供給することができる。一部の実施形態においては、Nを約300sccmで供給することができる。
一部の実施形態においては、前処理プロセス又はプラズマ処理プロセスを利用して、水素プラズマを含む反応物に基板をさらす。一部の実施形態においては、Hを原料ガスとして、例えば、約1から約2000sccm、より好ましくは約5から約1000sccm、最も好ましくは約10から約100sccmで供給することができる。一部の実施形態においては、Hを約50sccmで供給することができる。同様の条件を別のタイプのプラズマに使用することができる。
一部の実施形態においては、プラズマ処理プロセスは、基板を処理温度で反応物にさらすステップを含むことができる。一部の実施形態においては、処理温度を約20℃よりも高くすることができる。一部の実施形態においては、処理温度は、約20℃から約500℃、好ましくは約50℃から約450℃、より好ましくは約150℃から約400℃とすることができる。一部の実施形態においては、処理温度は、堆積温度及び/又は前処理温度とほぼ同じにすることができる。一部の実施形態においては、処理温度は、堆積温度及び/又は前処理温度と異なってもよい。
一部の実施形態においては、プラズマは、約2500ワット未満、例えば、約1から約1000ワット、約1から約500W、又は約1から約200W以下の動力で発生させることができる。一部の実施形態においては、プラズマは50Wの動力で発生させることができる。一部の実施形態においては、プラズマは100Wの動力で発生させることができる。
一部の実施形態においては、プラズマは、約200秒未満、例えば、約180秒以下、約60秒以下、約30秒以下、約10秒以下、又は約3秒以下供給される。
一部の実施形態においては、プラズマ処理プロセス170は、前処理プロセス110と実質的に同一とすることができる。
示したAl及びNを含む材料の堆積サイクルは、基板の表面をAlを含む第1の気相前駆体と接触させるステップから始まるが、別の実施形態においては、堆積サイクルは、基板の表面を窒素を含む第2の気相前駆体と接触させるステップから始まる。基板表面をAlを含む第1の気相前駆体及び窒素を含む第2の気相前駆体と接触させるステップは、堆積サイクルにおいて交換可能であることを当業者は理解されたい。
一部の実施形態においては、基板は、異なる反応物が基板の表面に所望の順序で所望の時間交互に順次接触するように移送される。一部の実施形態においては、除去ステップ130及び150を実施しない。一部の実施形態においては、反応物をチャンバの種々の部分から除去しなくてもよい。一部の実施形態においては、基板は、第1の前駆体を含むチャンバの一部から、第2の反応物を含むチャンバの別の一部に移送される。一部の実施形態においては、基板は、第1の反応チャンバから第2の異なる反応チャンバに移送される。
当業者は、選択された前駆体の性質に基づいて、最適な反応物蒸発温度を決定することができる。当業者は、選択された前駆体の性質、並びに堆積したAl及びNを含む材料の所望の性質に基づいて、通常の実験法によって、最適な反応物接触時間を決定することができる。
Al及びNを含む材料の成長速度は、反応条件に応じて変化する。以下に示すように、初期の実験においては、成長速度は約0.01から約2.0Å/サイクルの間で変化する。一部の実施形態においては、成長速度は、約0.01Å/サイクルから約3.0Å/サイクル、好ましくは約0.1Å/サイクルから約2.5Å/サイクル、より好ましくは0.3Å/サイクルから約2.0Å/サイクルとすることができる。
一部の実施形態においては、堆積したAl及びNを含む材料は、薄膜を含む。一部の実施形態においては、堆積したAl及びNを含む材料はAlNを含み、一部の実施形態においては、堆積したAl及びNを含む材料はAlNである。一部の実施形態においては、本質的にAl及びNからなるAl及びNを含む材料が形成される。一部の実施形態においては、追加の反応物、例えば、アルミニウム酸窒化物を形成する酸素を使用して、膜に取り込む、又は別の材料を膜に与えることができる。窒素に加えて追加の非金属元素が要求される一部の実施形態においては、Al及びNを含む材料を形成するALDプロセスは、初期のAl及びN段階に加えた段階を含むことができる。例えば、それらは、金属アルミニウム酸窒化物が要求される酸化段階を含むことができる。酸化段階においては、酸素又は酸素含有前駆体が反応チャンバにおいて供給され、基板表面と接触することができる。酸化段階は、1回以上の堆積サイクルの一部とすることができる。一部の実施形態においては、第2の金属段階を1回以上の堆積サイクルにおいて設けることができる。酸化段階又は他の望ましい段階が、Al段階又はN段階に続くことができるが、いずれの状況においても、一部の実施形態においては、次の段階に進む前に、過剰な酸素(又は他の反応物)及びあらゆる反応副生物を反応空間から除去することが望ましい。一部の実施形態においては、酸素などの追加の段階、又は追加の金属段階を、最終堆積サイクル後に、又は堆積プロセスにおいて断続的に、設けることができる。
一部の実施形態においては、基板の第1の表面のAl及びNを含む材料の堆積は、基板の第2の表面に比べて、少なくとも約90%選択的、少なくとも約95%選択的、少なくとも約96%、97%、98%又は99%以上選択的である。一部の実施形態においては、Al及びNを含む材料の堆積は第1の表面のみで起こり、第2の表面では起こらない。一部の実施形態においては、基板の第1の表面の堆積は、基板の第2の表面に比べて少なくとも約80%選択的であり、これは、幾つかの特別な用途には十分選択的であり得る。一部の実施形態においては、基板の第1の表面の堆積は、基板の第2の表面に比べて少なくとも約50%選択的であり、これは、ある特別な用途には十分選択的であり得る。
一部の実施形態においては、基板の第2の表面に対する基板の第1の表面に堆積したAl及びNを含む材料の比が、約10:1以上、約20:1以上又は約40:1以上であり得る。一部の実施形態においては、第1の表面に堆積したAl及びNを含む材料の厚さが約5nmを超えるときに、基板の第2の表面に対する基板の第1の表面に堆積したAl及びNを含む材料の比が、約10:1以上、約20:1以上又は約40:1以上であり得る。一部の実施形態においては、第1の表面に堆積したAl及びNを含む材料の厚さが約2.5nmを超えるときに、基板の第2の表面に対する基板の第1の表面に堆積したAl及びNを含む材料の比が、約10:1以上、約20:1以上又は約40:1以上であり得る。一部の実施形態においては、第1の表面に堆積したAl及びNを含む材料の厚さが約1nmを超えるときに、基板の第2の表面に対する基板の第1の表面に堆積したAl及びNを含む材料の比が、約10:1以上、約20:1以上又は約40:1以上であり得る。
一部の実施形態においては、Al及びNを含む材料の堆積プロセスが、約0から約25回の堆積サイクル、約0から約50回の堆積サイクル、約0から約100回の堆積サイクル、又は約0から約150回の堆積サイクルを含むときに、基板の第2の表面に対する基板の第1の表面に堆積したAl及びNを含む材料の比が、約10:1以上、約20:1以上又は約40:1以上であり得る。一部の実施形態においては、Al及びNを含む材料の堆積プロセスが、約0から約25回の堆積サイクル、約0から約50回の堆積サイクル、約0から約100回の堆積サイクル、又は約0から約150回の堆積サイクルを含むときに、約0.1nm未満のAl及びNを含む材料が基板の第2の表面に堆積する。
一部の実施形態においては、Al及びNを含む材料は、SiOに比べてエッチング選択性を有する。すなわち、Al及びNを含む材料は、エッチング速度が、例えば希薄HF中の、SiOのエッチング速度よりも小さい。一部の実施形態においては、Al及びNを含む材料は、希釈HF(diluted HF)(0.5%)を用いたウェットエッチング速度(WER:wet etch rate)が約2〜3nm/分の熱酸化物除去速度の1/5未満である。一部の実施形態においては、熱酸化ケイ素(SiO、TOX)のウェットエッチング速度に対するAl及びNを含む材料のウェットエッチング速度は、0.5%dHF中で約0.2未満である。一部の実施形態においては、TOXのウェットエッチング速度に対するAl及びNを含む材料のウェットエッチング速度は、0.5%dHF中で約0.1未満である。一部の実施形態においては、TOXのウェットエッチング速度に対するAl及びNを含む材料のウェットエッチング速度は、0.5%dHF中で約0.05未満である。
ここで、図2を参照すると、一部の実施形態においては、第1の表面と第2の誘電体表面とを含む基板が用意され、
ステップ220において、基板を気相トリメチルアルミニウム(TMA)と接触させるステップと、
ステップ230において、過剰なTMA及び反応副生物がもしあればそれを表面から除去するステップと、
ステップ240において、基板を気相NHと接触させるステップと、
ステップ250において、表面から過剰なNH及び副生物を除去するステップと、
場合によっては、ステップ260において、所望の厚さのAlN薄膜が形成されるまで接触及び除去のステップを繰り返すステップと、
を含む少なくとも1回のサイクルを含む周期的堆積プロセス200によって、AlNが基板の第1の表面に選択的に堆積する。
示したAlN堆積サイクルは、基板をTMAと接触させるステップから始まるが、別の実施形態においては、堆積サイクルは、基板をNHと接触させるステップから始まる。基板表面をTMA及びNHと接触させるステップは、堆積サイクルにおいて交換可能であることを当業者は理解されたい。
一部の実施形態においては、堆積プロセスを開始する前に、基板の1つ以上の表面を前処理プロセスに供することができる。一部の実施形態においては、前処理プロセスは、選択的堆積プロセス200の選択性を高めることができる。一部の実施形態においては、前処理プロセスは、堆積プロセスを開始する前に、一表面のAlNの堆積を1つ以上の異なる表面よりも増大させることができる。一部の実施形態においては、前処理プロセスは、堆積プロセスを開始する前に、一表面のAlNの堆積を1つ以上の異なる表面よりも阻害することができる。図2においては、これは、ステップ210によって示され、Al及びNを含む材料の堆積前に、基板を前処理反応物、例えばプラズマにさらすことができる。
一部の実施形態においては、基板表面及び/又はAlN薄膜を、場合によっては、プラズマ処理プロセスに供することができる。図2においては、これはステップ270によって示される。一部の実施形態においては、このプラズマ処理プロセスは、図1に関して上記したプラズマ処理プロセス170と実質的に同じにすることができる。一部の実施形態においては、プラズマ処理プロセス270は、前処理ステップ210と実質的に同一とすることができる。一部の実施形態においては、1回を超える堆積サイクルを実施した後にプラズマ処理プロセスを実施することができる。一部の実施形態においては、堆積したAlNフィルムが連続又は終了する前にプラズマ処理プロセスを実施することができる。一部の実施形態においては、プラズマ処理プロセスは、約10回を超える堆積サイクル後に、約20回を超える堆積サイクル後に、又は約50回を超える堆積サイクル後に、実施することができる。一部の実施形態においては、プラズマ処理プロセスは、堆積プロセス200と同じ反応チャンバ又は反応器中で実施することができる。一部の実施形態においては、プラズマ処理プロセスは、堆積プロセス200とは異なる反応チャンバ又は反応器中で実施することができる。
一部の実施形態においては、第1の表面と第2の誘電体表面とを含む基板が用意され、基板を気相の第1の前駆体と気相の第2の前駆体とに交互に順次接触させるステップを含む少なくとも1回のサイクルを含む周期的堆積プロセスによって、Al及びNを含む材料が基板の第1の表面に選択的に堆積する。一部の実施形態においては、第1の前駆体はAlを含むことができ、第2の前駆体はNを含むことができる。一部の実施形態においては、第1の前駆体はトリ‐tert‐ブチルアルミニウムを含むことができ、第2の前駆体はNHを含むことができる。
一部の実施形態においては、第1の表面と第2の誘電体表面とを含む基板が用意され、基板を気相の第1の前駆体と気相の第2の前駆体に交互に順次接触させるステップを含む少なくとも1回のサイクルを含む周期的堆積プロセスによって、AlNが基板の第1の表面に選択的に堆積する。一部の実施形態においては、第1の前駆体はAlを含むことができ、第2の前駆体はNを含むことができる。一部の実施形態においては、第1の前駆体はトリ‐tert‐ブチルアルミニウムを含むことができ、第2の前駆体はNHを含むことができる。
一部の実施形態においては、基板が用意され、基板を気相の第1の前駆体と気相の第2の前駆体とに交互に順次接触させるステップを含む少なくとも1回のサイクルを含む周期的堆積プロセスによって、AlNが基板の少なくとも一部に堆積し、第1の前駆体はトリ‐tert‐ブチルアルミニウムを含むことができ、第2の前駆体はNHを含むことができる。
一部の実施形態においては、堆積前に基板を前処理プロセスに供することができる。一部の実施形態においては、前処理プロセスは、選択的堆積プロセスの選択性を高めることができる。一部の実施形態においては、前処理プロセスは、堆積プロセスを開始する前に、一表面のAlNの堆積を1つ以上の異なる表面よりも増大させることができる。一部の実施形態においては、前処理プロセスは、堆積プロセスを開始する前に、一表面のAlNの堆積を1つ以上の異なる表面よりも阻害することができる。一部の実施形態においては、前処理プロセスは、AlNの堆積前に、基板を前処理反応物、例えばプラズマにさらすステップを含むことができる。
一部の実施形態においては、基板表面及び/又はAlN薄膜を、場合によっては、プラズマ処理プロセスに供することができる。一部の実施形態においては、このプラズマ処理プロセスは、図1及び図2に関して上記したプラズマ処理プロセス170及び270と実質的に同じにすることができる。一部の実施形態においては、プラズマ処理プロセスは、前処理プロセスと実質的に同一とすることができる。一部の実施形態においては、1回を超える堆積サイクルを実施した後にプラズマ処理プロセスを実施することができる。一部の実施形態においては、堆積したAlNフィルムが連続又は終了する前にプラズマ処理プロセスを実施することができる。一部の実施形態においては、プラズマ処理プロセスは、約10回未満の堆積サイクル後に、約20回未満の堆積サイクル後に、又は約50回未満の堆積サイクル後に、実施することができる。一部の実施形態においては、プラズマ処理プロセスは、堆積プロセスと同じ反応チャンバ又は反応器中で実施することができる。一部の実施形態においては、プラズマ処理プロセスは、堆積プロセスとは異なる反応チャンバ又は反応器中で実施することができる。
第1の前駆体
幾つかの異なる第1の前駆体を、本明細書に記載の選択的堆積プロセスに使用することができる。一部の実施形態においては、第1の前駆体は、アルミニウムを含む有機金属化合物である。一部の実施形態においては、第1の前駆体は、アルキルアルミニウム化合物である。一部の実施形態においては、第1の前駆体は、アルミニウム以外の金属を含まない。
一部の実施形態においては、第1の前駆体は、式RAlの化合物であり、式中、Rはアルキル基である。各Rは、メチル、エチル、プロピル、イソプロピル、n−ブチル、イソブチル及びtertブチル基のリストから独立に選択することができる。好ましくは、各Rをメチル、エチル及びtertブチル基から独立に選択することができる。一部の実施形態においては、各RをC‐Cアルキル基から独立に選択することができる。
一部の実施形態においては、第1の前駆体は、MeAl、EtAl又はBuAlを含む。一部の実施形態においては、第1の前駆体は、トリ‐tert‐ブチルアルミニウム(TTBA)である。上述したように、一部の実施形態においては、第1の前駆体は、トリメチルアルミニウム(TMA)である。
一部の実施形態においては、第1の前駆体はハロゲン化物ではない。一部の実施形態においては、第1の前駆体は、少なくとも1種のリガンド中にハロゲンを含むことができるが、すべてのリガンド中にハロゲンを含まなくてもよい。一部の実施形態においては、第1の前駆体は、1つの塩素リガンド及び2つのアルキルリガンドを含む。一部の実施形態においては、第1の前駆体はAlClである。
一部の実施形態においては、第1の前駆体は、少なくとも1つのリガンドとして水素を含むことができるが、すべてのリガンドでなくてもよい。一部の実施形態においては、第1の前駆体は、少なくとも1つの水素リガンド及び少なくとも1つのアルキルリガンドを含むことができる。
一部の実施形態においては、第1の前駆体は窒素を含まない。一部の実施形態においては、第1の前駆体はケイ素を含まない。一部の実施形態においては、第1の前駆体は酸素を含まない。一部の実施形態においては、第1の前駆体は、窒素もケイ素も酸素も含まない。
第2の前駆体
一部の実施形態においては、第2の前駆体は、窒素−水素結合を含む。一部の実施形態においては、第2の前駆体はアンモニア(NH)である。一部の実施形態においては、第2の前駆体は分子窒素である。一部の実施形態においては、第2の前駆体は、窒素を含むプラズマである。一部の実施形態においては、第2の前駆体は、窒素及び水素を含むプラズマなどの窒素を含むプラズマである。一部の実施形態においては、第2の前駆体は、活性又は励起窒素種を含む。一部の実施形態においては、第2の前駆体は、窒素反応物とアルゴンなどの不活性ガスの混合物であり得る窒素含有ガスパルスとして供給することができる。
集積化
本開示のAl及びNを含む材料は、種々の半導体用途に使用することができる。例えば、Al及びNを含む材料は、自己整合コンタクト形成プロセスにおいて、エッチングストップ層、例えば、コンタクトエッチングストップ層として特に有用であり得る。自己整合ソース/ドレインコンタクトを使用して、コンタクトリソグラフィに整合不良のマージンを付与することができる。しかしながら、標準的な自己整合コンタクトプロセスは、複数の金属凹部ステップ、SiN充填ステップ、化学機械平坦化を利用したSiN研磨ステップなどの追加の加工ステップを必要とする。
さらに、デバイスの小型化の進行に起因した標準的な自己整合コンタクトプロセスにおけるSiN側壁スペーサー及びエッチングストップ層の将来的な縮小が必要になると、スペーサー又はエッチングストップ層のオーバエッチングに起因してコンタクトと金属ゲートの短絡のリスクが生じ得る。
一部の実施形態においては、本開示のAl及びNを含む材料は、自己整合コンタクトプロセスにおいて金属凹部を含まないエッチングストップ層として使用することができる。一部の実施形態においては、本開示のAl及びNを含む材料は、エッチング抵抗性である。図3は、一部の実施形態による、Al及びNを含む材料の保護層、キャッピング層又はエッチングストップ層を含む自己整合コンタクトプロセスのプロセスフローを示す。一部の実施形態においては、自己整合コンタクト300の形成プロセスは、次のように進行する。
ステップ301において、第1の表面とソース/ドレイン領域の上にある第2の異なる表面とを含む半導体基板を用意し、
ステップ302において、Al及びNを含む保護層又はエッチングストップ層、例えば、AlNが、基板の第1の表面に第2の表面よりも選択的に堆積し、
ステップ303において、第2の表面を、例えばウェットエッチングプロセスを使用して、除去し、
ステップ304において、除去した第2の表面の代わりにコンタクトを基板のソース/ドレイン領域上に形成する。
一部の実施形態によれば、ステップ301において、半導体を含む基板を用意する。半導体基板は、第1の表面及び第2の異なる表面を含む。一部の実施形態においては、第1の表面は導電性表面を含む。一部の実施形態においては、第1の表面は、1以上の金属窒化物を含む。一部の実施形態においては、第1の表面は、導電性ゲートの表面及び/又はスペーサーの表面を含むことができる。例えば、一部の実施形態においては、第1の表面は、TiNゲート及びSiNスペーサーを含むことができる。第2の表面は、好ましくは、誘電体表面である。一部の実施形態においては、誘電体はSiOを含む。一部の実施形態においては、第2の表面は、ソース/ドレイン領域の上にあるダミーコンタクトである。一部の実施形態においては、SiOダミーコンタクトは、ソース/ドレイン領域のすぐ上にある。
一部の実施形態においては、半導体基板をゲート研磨を経て標準プロセスに供する。すなわち、当該技術分野で周知のように、半導体基板を標準的な置換金属ゲートプロセスフローに供して、ソース、ゲート及びドレインを形成することができる。一部の実施形態においては、半導体基板を化学機械平坦化プロセスに供することができる。
ステップ302において、Al及びNを含む保護層又はエッチングストップ層は、基板の第1の表面に第2の表面よりも選択的に堆積する。一部の実施形態においては、Al及びNを含む保護材料がTiNゲート及びSiNスペーサーの上に形成される。一部の実施形態においては、Al及びNを含む保護材料がTiNゲート及びSiNスペーサーのすぐ上に形成される。
一部の実施形態においては、Al及びNを含む保護層は、本明細書に記載のように、ALDプロセスによって堆積する。一部の実施形態においては、基板は、Alを含む第1の前駆体とNを含む第2の前駆体に交互に順次接触する。一部の実施形態においては、Al及びNを含む保護層はAlNを含む。一部の実施形態においては、Al及びNを含む保護層はAlN薄膜を含む。
一部の実施形態においては、基板の第1の表面のAl及びNを含む保護層の堆積は、基板の第2の表面に比べて、少なくとも約90%選択的、少なくとも約95%選択的、少なくとも約96%、97%、98%又は99%以上選択的である。一部の実施形態においては、Al及びNを含む材料の堆積は第1の表面のみで起こり、第2の表面では起こらない。一部の実施形態においては、基板の第1の表面の堆積は、基板の第2の表面に比べて、少なくとも約80%選択的、又は少なくとも約50%選択的である。
一部の実施形態においては、Al及びNを含む保護層又はエッチングストップ層を基板の第1の表面に堆積して、特定の厚さにする。適切な厚さは、約0.1nm以上約10nm以下とすることができる。一部の実施形態においては、厚さは、約0.1nmから約5nmである。一部の実施形態においては、厚さは、約1nmから約5nmである。一部の実施形態においては、厚さは、約1nmから約3nmである。一部の実施形態においては、厚さは、約2nmから約3nmである。適切な厚さは、約0.1nm以上約10nm以下とすることができる。一部の実施形態においては、適切な厚さは、基板表面に完全な層を実現するもの(すなわち、間隙を残さないもの)である。したがって、完全な層を実現する実際の厚さは、Al及びNを含む材料を得るのに用いられる前駆体のタイプに依存し得る。
ステップ303においては、基板の第2の表面を、例えばウェットエッチングプロセスを使用して、除去する。一部の実施形態においては、基板の第2の表面をdHFを用いたエッチングによって除去する。例えば、一部の実施形態においては、基板の第1及び第2の表面がdHFにさらされ、Al及びNを含む保護層が、下にあるゲート及びスペーサーをエッチングから保護しながら、基板の第2の表面が除去される。Al及びNを含む保護層は、本明細書に記載のように、ウェットエッチング速度が第2の誘電体表面よりも遅いので、エッチングストップ層として機能することができる。
引き続き図3を参照すると、ステップ304において、今除去された第2の表面の代わりにコンタクトをソース/ドレイン領域の上に形成することができる。一部の実施形態においては、コンタクトをソース/ドレイン領域のすぐ上に形成することができる。一部の実施形態においては、コンタクトは、ケイ化物材料又はチタン含有材料、例えば、Ti又はTiNを含む。一部の実施形態によれば、コンタクトは、当該技術分野で公知の又は将来開発される任意の方法に従って形成することができる。例えば、Tiコンタクトを物理気相堆積(PVD:physical vapor deposition)によって形成することができ、又はTiNコンタクトを原子層堆積(ALD)によって形成することができる。
一部の実施形態においては、コンタクト(単数又は複数)の形成後、基板を、次いで、場合によっては、更なる加工又は処理ステップに供することができる。
一部の実施形態においては、本開示のAl及びNを含む材料は、自己整合コンタクトプロセスにおいて金属凹部を含まないエッチングストップ層として使用することができる。図4は、一部の実施形態による、Al及びNを含む材料の保護層又はエッチングストップ層を含む自己整合コンタクトプロセスのプロセスフローを示す。一部の実施形態においては、自己整合コンタクト400の形成プロセスは、次のように進行する。
ステップ401において、第1の表面とソース/ドレイン領域の上にある第2の異なる表面とを含む半導体基板を用意し、
ステップ402において、第1の表面の一部を除去して、その中に凹部を形成し、
ステップ403において、Al及びNを含む保護層又はエッチングストップ層、例えば、AlNが、基板の第1の表面に第2の表面よりも選択的に堆積し、
ステップ404において、第2の表面を、例えば、ウェットエッチングプロセスを使用して除去し、基板のソース/ドレイン領域上の除去された第2の表面の代わりにコンタクトを形成する。
一部の実施形態によれば、ステップ401において、半導体を含む基板を用意する。半導体基板は、第1の表面及び第2の異なる表面を含む。一部の実施形態においては、第1の表面は導電性表面を含む。一部の実施形態においては、第1の表面は、1以上の金属窒化物を含む。一部の実施形態においては、第1の表面は、導電性ゲートの表面及び/又はスペーサーの表面を含むことができる。例えば、一部の実施形態においては、第1の表面は、TiNゲート及びSiNスペーサーを含むことができる。第2の表面は、好ましくは、誘電体表面である。一部の実施形態においては、誘電体はSiOを含む。一部の実施形態においては、第2の表面は、ソース/ドレイン領域の上にあるダミーコンタクトである。一部の実施形態においては、SiOダミーコンタクトは、ソース/ドレイン領域のすぐ上にある。
一部の実施形態においては、半導体基板をゲート研磨を経て標準プロセスに供する。すなわち、当該技術分野で周知のように、半導体基板を標準的な置換金属ゲートプロセスフローに供して、ソース、ゲート及びドレインを形成することができる。一部の実施形態においては、半導体基板を化学機械平坦化プロセスに供することができる。
一部の実施形態によれば、ステップ402において、第1の表面の一部を除去して、その中に凹部を形成する。一部の実施形態においては、除去される第1の表面の一部は、金属窒化物である。一部の実施形態においては、除去される第1の表面の一部は、SiNである。一部の実施形態においては、除去される第1の表面の一部は、スペーサー、例えば、SiNスペーサーを含むことができる。一部の実施形態においては、第1の表面の一部の約0.1nmから約30nmを除去して、深さ約0.1nmから約30nmの凹部を形成する。一部の実施形態においては、第1の表面の一部の約0.1nmから約20nmを除去して、深さ約0.1nmから約20nmの凹部を形成する。一部の実施形態においては、第1の表面の一部の約1nmから約10nmを除去して、深さ約1nmから約10nmの凹部を形成する。
次いで、ステップ403において、Al及びNを含む保護層又はエッチングストップ層が、基板の第1の表面に第2の表面よりも選択的に堆積する。一部の実施形態においては、Al及びNを含む保護材料がTiNゲート及びSiNスペーサーの上に形成される。一部の実施形態においては、Al及びNを含む保護材料がTiNゲート及びSiNスペーサーのすぐ上に形成される。
一部の実施形態においては、Al及びNを含む保護層が、本明細書に記載のように、ALDプロセスによって堆積する。一部の実施形態においては、基板は、Alを含む第1の前駆体とNを含む第2の前駆体に交互に順次接触する。一部の実施形態においては、Al及びNを含む保護層はAlNを含む。一部の実施形態においては、Al及びNを含む保護層はAlN薄膜を含む。
一部の実施形態においては、基板の第1の表面のAl及びNを含む保護層の堆積は、基板の第2の表面に対して、少なくとも約90%選択的、少なくとも約95%選択的、少なくとも約96%、97%、98%又は99%以上選択的である。一部の実施形態においては、Al及びNを含む材料の堆積は第1の表面のみで起こり、第2の表面では起こらない。一部の実施形態においては、基板の第1の表面の堆積は、基板の第2の表面に対して、少なくとも約80%選択的、又は少なくとも約50%選択的である。
一部の実施形態においては、Al及びNを含む保護層又はエッチングストップ層を基板の第1の表面に堆積して、特定の厚さにする。適切な厚さは、0.1nm以上約10nm以下とすることができる。一部の実施形態においては、厚さは、約0.1nmから約5nmである。一部の実施形態においては、厚さは、約1nmから約5nmである。一部の実施形態においては、厚さは、約1nmから約3nmである。一部の実施形態においては、厚さは、約2nmから約3nmである。適切な厚さは、約0.1nm以上約10nm以下とすることができる。一部の実施形態においては、適切な厚さは、基板表面に完全な層を実現するもの(すなわち、間隙を残さないもの)である。したがって、完全な層を実現する実際の厚さは、Al及びNを含む材料を得るのに用いられる前駆体のタイプに依存し得る。
ステップ404においては、基板の第2の表面を、例えばウェットエッチングプロセスを使用して、除去する。一部の実施形態においては、基板の第2の表面をdHFを用いたエッチングによって除去する。例えば、一部の実施形態においては、基板の第1及び第2の表面がdHFにさらされ、Al及びNを含む保護層が、下にあるゲート及びスペーサーをエッチングから保護しながら、基板の第2の表面が除去される。Al及びNを含む保護層は、本明細書に記載のように、ウェットエッチング速度が第2の誘電体表面よりも遅いので、エッチングストップ層として機能することができる。次いで、今除去された第2の表面の代わりにコンタクトがソース/ドレイン領域の上に形成される。一部の実施形態においては、コンタクトをソース/ドレイン領域のすぐ上に形成することができる。一部の実施形態においては、コンタクトは、ケイ化物材料又はチタン含有材料、例えば、Ti又はTiNを含む。一部の実施形態によれば、コンタクトは、当該技術分野で公知の又は将来開発される任意の方法に従って形成することができる。例えば、Tiコンタクトを物理気相堆積(PVD)によって形成することができ、又はTiNコンタクトを原子層堆積(ALD)によって形成することができる。
一部の実施形態においては、コンタクト(単数又は複数)の形成後、基板を、次いで、場合によっては、更なる加工又は処理ステップに供することができる。
実施例1
この実施例においては、AlNを、基板の第1の表面に、基板の第2の異なる表面よりも選択的に堆積した。この実施例においては、基板の第1の表面は、ALDによって堆積したTiNを含み、基板の第2の表面は、プラズマエンハンスト気相堆積(PEALD)によって堆積したSiOを含んでいた。トリメチルアルミニウム(TMA)を第1の前駆体とし、NHを第2の前駆体として用いたALDプロセスによって、試料AlNフィルムを選択的に堆積した。各堆積サイクルを温度375℃及び反応チャンバ圧力2Torrで実施した。各堆積サイクルは、0.5秒の第1の前駆体パルス及び2秒の第2の前駆体パルスを含んでいた。各TMAパルス後に反応チャンバを3秒間パージし、各NHパルス後に反応チャンバを2秒間パージした。
30から70回の堆積サイクルからなるALDプロセスによって試料を堆積した。図5に示したように、第1のTiN表面に堆積した材料の厚さを測定し、第2のSiO表面に堆積した材料の厚さと比較した。第1のTiN表面と第2のSiO表面に堆積した材料厚さの比は、堆積プロセスの選択性を示す。図5は、70回の堆積サイクルからなるALDプロセスの場合、第1の表面と第2の表面に堆積したAlNの比が約8.5:1であることを示しており、これは、約89%の選択性である。
実施例2
この実施例においては、AlNを、基板の第1の表面に、基板の第2の異なる表面よりも選択的に堆積した。この実施例においては、基板の第1の表面は、ALDによって堆積したTiNを含み、基板の第2の表面は、PEALDによって堆積したSiOを含んだ。さらに、AlNが、ALDによって堆積したTiNを含む第1の表面に、天然酸化ケイ素を含む第2の表面よりも選択的に堆積した試料を調製した。トリメチルアルミニウム(TMA)を第1の前駆体とし、NHを第2の前駆体として用いたALDプロセスによって、試料AlNフィルムを選択的に堆積した。各堆積サイクルを温度375℃及び反応チャンバ圧力2Torrで実施した。各堆積サイクルは、0.5秒の第1の前駆体パルス及び1秒の第2の前駆体パルスを含んでいた。各TMAパルス後に反応チャンバを3秒間パージし、各NHパルス後に反応チャンバを2秒間パージした。
70から150回の堆積サイクルからなるALDプロセスによって試料を堆積した。図6に示したように、第1のTiN表面に堆積した材料の厚さを測定し、第2のSiO及び自然酸化物表面に堆積した材料の厚さと比較した。図6は、130回の堆積サイクルからなるALDプロセスの場合、第1の表面と第2のPEALD SiO表面に堆積したAlNの比が約43:1であることを示しており、これは、約98%の選択性である。110回の堆積サイクルからなるALDプロセスの場合、第1の表面と第2の自然酸化物表面に堆積したAlNの比は約3:1であり、これは、約75%の選択性である。
実施例3
この実施例においては、AlNを、基板の第1の表面に、基板の第2の異なる表面よりも選択的に堆積した。基板の第1の表面は、ALDによって堆積したTiNを含み、基板の第2の表面は、自然酸化ケイ素を含んだ。AlN堆積前に、基板をプラズマ処理プロセスに供した。基板を50Wの動力で発生させた直接(ダイレクト)プラズマに10秒間さらした。プラズマは、Oから発生した。
トリメチルアルミニウム(TMA)を第1の前駆体とし、NHを第2の前駆体として用いたALDプロセスによって、試料AlNフィルムを選択的に堆積した。各堆積サイクルを温度375℃及び反応チャンバ圧力2Torrで実施した。各堆積サイクルは、0.5秒の第1の前駆体パルス及び1秒の第2の前駆体パルスを含んだ。各TMAパルス後に反応チャンバを3秒間パージし、各NHパルス後に反応チャンバを2秒間パージした。110回の堆積サイクルからなるALDプロセスによって試料を堆積した。
ここで、図7を参照すると、第1のプラズマ処理TiN表面に堆積した材料の厚さを測定し、第2のプラズマ処理自然酸化物表面に堆積した材料の厚さと比較した。図7は、実施例2における試料から得られたデータも示す。110回の堆積サイクル後に第1のTiN表面に堆積した材料の厚さを110回の堆積サイクル後に第1のプラズマ処理TiN表面に堆積した材料の厚さと比較すると、AlNの厚さの大きな変化は認められない。しかし、110回の堆積サイクル後に第2の自然酸化物表面に堆積した材料の厚さを第2のプラズマ処理自然酸化物表面に堆積した材料の厚さと比較すると、AlNの厚さのかなりの減少が認められる。プラズマ処理後、第1の表面に比べて第2の表面の堆積は本質的に認められない。110回の堆積サイクルからなるALDプロセスの場合、第1のプラズマ処理TiN表面と第2のプラズマ処理自然酸化物表面に堆積したAlNの比は、約33:1であり、これは、プラズマ処理のない同じ選択的堆積プロセスに比べると10倍を超える改善である。
さらに、基板の第1の表面がALDによって堆積したTiNを含み、基板の第2の表面が天然酸化ケイ素を含んだ試料を調製した。AlN堆積前に、基板をプラズマ処理プロセスに供した。基板を50Wから300Wの動力で発生させた直接プラズマに3秒から10秒間さらした。プラズマは、Oから発生した。各基板の第1の表面に堆積したAlNの厚さを、第2の表面に堆積した材料の厚さと比較し、各試料の選択性を計算した。結果を以下の表1に示す。
これらの結果から、AlN堆積の選択性は、直接プラズマ前処理の動力が増加すると低下することが認められ、一方、選択性は、直接プラズマ曝露時間が延びると高くなることが認められた。
実施例4
この実施例においては、AlNを、基板の第1の表面に、基板の第2の異なる表面に対して選択的に堆積した。この実施例においては、基板の第1の表面は、ALDによって堆積したTiNを含み、基板の第2の表面は、PEALDによって堆積したSiOを含んでいた。さらに、AlNが、ALDによって堆積したTiNを含む第1の表面に、PEALDによって堆積したSiOを含む第2の表面に対して選択的に堆積した試料を調製した。トリメチルアルミニウム(TMA)を第1の前駆体とし、NHを第2の前駆体として用いたALDプロセスによって、試料AlNフィルムを選択的に堆積した。各堆積サイクルを温度390℃及び反応チャンバ圧力2Torrで実施した。各堆積サイクルは、0.5秒の第1の前駆体パルス及び1秒の第2の前駆体パルスを含んでいた。各TMAパルス後に反応チャンバを5秒間パージし、各NHパルス後にも反応チャンバを5秒間パージした。
70から100回の堆積サイクルからなるALDプロセスによって試料を堆積した。図8に示したように、第1のTiN及びW表面に堆積した材料の厚さを測定し、第2のSiO表面に堆積した材料の厚さと比較した。図8は、W又はTiNを含む第1の表面のAlN堆積が、SiO表面よりも極めて高い選択性を有することを示している。

Claims (27)

  1. アルミニウム及び窒素を含む材料を、基板の第1の表面に、同じ基板のSi−O結合を含む第2の表面に比べて選択的に堆積させるプロセスであって、前記プロセスは、
    前記基板をアルミニウムを含む第1の気相前駆体と接触させるステップと、
    前記基板を窒素を含む第2の気相前駆体と接触させるステップと、
    前記アルミニウム及び窒素を含む材料は、前記基板の前記第1の表面に、同じ基板の前記Si−O結合を含む第2の表面に比べて約50%を超える選択性で堆積する、および
    前記アルミニウムと窒素を含む材料を少なくとも1回の堆積サイクルの後で酸素含有プラズマと接触させるステップと、
    を含む1回以上の堆積サイクルを含む
    プロセス。
  2. 前記第1の表面は、銅、窒化チタン、タングステン及び窒化ケイ素の少なくとも1つを含む、請求項1に記載のプロセス。
  3. 前記アルミニウム及び窒素を含む材料は、窒化アルミニウム薄膜である、請求項1に記載のプロセス。
  4. 前記窒化アルミニウム薄膜は、酸素を含む、請求項3に記載のプロセス。
  5. 前記アルミニウムを含む第1の気相前駆体は、有機金属アルミニウム化合物である、請求項1に記載のプロセス。
  6. 前記アルミニウムを含む第1の気相前駆体は、アルミニウム以外の金属を含まない、請求項1に記載のプロセス。
  7. 前記アルミニウムを含む第1の気相前駆体は、式RAlを有し、各RをC〜Cアルキル基から独立に選択することができる、請求項1に記載のプロセス。
  8. 前記アルミニウムを含む第1の気相前駆体は、ハロゲン化物を含まない、請求項1に記載のプロセス。
  9. 前記アルミニウムを含む第1の気相前駆体は、1つの塩素リガンド及びすくなくとも2つのアルキルリガンドを含む、請求項1に記載のプロセス。
  10. 前記アルミニウムを含む第1の気相前駆体は、少なくとも1つの水素リガンド及び少なくとも1つのアルキルリガンドを含む、請求項1に記載のプロセス。
  11. 前記アルミニウムを含む第1の気相前駆体は、窒素、ケイ素又は酸素を含まない、請求項1に記載のプロセス。
  12. 前記アルミニウムを含む第1の気相前駆体は、トリ‐tert‐ブチルアルミニウム(TTBA)、トリメチルアルミニウム(TMA)又はトリエチルアルミニウム(TEA)を含み、前記窒素を含む第2の気相前駆体はNHを含む、請求項1に記載のプロセス。
  13. 前記Si−O結合を含む第2の表面は、誘電体である、請求項1に記載のプロセス。
  14. 前記Si−O結合を含む第2の表面の抵抗率は、約1オームmを超える、請求項1に記載のプロセス。
  15. 前記プロセスは、熱原子層堆積(ALD)プロセスを含む、請求項1に記載のプロセス。
  16. 前記プロセスは、少なくとも2回の連続堆積サイクルにおいてプラズマを含まない、請求項1に記載のプロセス。
  17. 第1の堆積サイクルの前に前記基板を前処理反応物にさらすステップを更に含む、請求項1に記載のプロセス。
  18. 前記前処理反応物は、プラズマを含む、請求項17に記載のプロセス。
  19. 前記アルミニウム及び窒素を含む材料は、希薄HF中のSiOに対してエッチング選択性を有する、請求項1に記載のプロセス。
  20. 前記基板の前記Si−O結合を含む第2の表面に対する同じ基板の前記第1の表面に堆積したアルミニウム及び窒素を含む材料の比は、約10:1を超え、前記基板の第1の表面に堆積したアルミニウム及び窒素を含む材料の厚さは、約5nmを超える、請求項1に記載のプロセス。
  21. 前記基板の前記Si−O結合を含む第2の表面に対する同じ基板の前記第1の表面に堆積したアルミニウム及び窒素を含む材料の比は、約10:1を超え、前記基板の第1の表面に堆積したアルミニウム及び窒素を含む材料の厚さは、約1nmを超える、請求項1に記載のプロセス。
  22. 前記基板の前記Si−O結合を含む第2の表面に対する同じ基板の前記第1の表面に堆積したアルミニウム及び窒素を含む材料の比は、約10:1を超え、前記プロセスは、約1から25回の堆積サイクルを含む、請求項1に記載のプロセス。
  23. 前記基板の前記Si−O結合を含む第2の表面に対する同じ基板の前記第1の表面に堆積したアルミニウム及び窒素を含む材料の比は、約10:1を超え、前記プロセスは、約1から150回の堆積サイクルを含む、請求項1に記載のプロセス。
  24. 約0.1nm未満のアルミニウム及び窒素を含む材料は、約1から25回の堆積サイクル後に前記基板の前記Si−O結合を含む第2の表面に堆積する、請求項1に記載のプロセス。
  25. 前記堆積したアルミニウム及び窒素を含む材料のウェットエッチング速度とSiOのウェットエッチング速度の比は、約1:5未満である、請求項1に記載のプロセス。
  26. 前記基板の前記Si−O結合を含む第2の表面は、ソース/ドレイン領域の上にあり、さらに、
    前記基板の前記Si−O結合を含む第2の表面を除去し、それによって前記基板の前記ソース/ドレイン領域を露出させるステップと、
    前記基板の前記露出したソース/ドレイン領域の上にコンタクトを形成するステップと、を含む、請求項1に記載のプロセス。
  27. アルミニウム及び窒素を含む材料を、基板の第1の表面に、同じ基板の第2の誘電体表面に対して選択的に堆積させるプロセスであって、前記プロセスは、
    前記基板をアルミニウムを含む第1の気相前駆体と接触させるステップと、
    前記基板を窒素を含む第2の気相前駆体と接触させるステップと、
    前記アルミニウム及び窒素を含む材料は、前記基板の前記第1の表面に、同じ基板の前記第2の誘電体表面に対して約50%を超える選択性で堆積する、および
    前記アルミニウムと窒素を含む材料を少なくとも1回の堆積サイクルの後で酸素含有プラズマと接触させるステップと、
    を含む1回以上の堆積サイクルを含む
    プロセス。
JP2016154091A 2015-08-05 2016-08-04 アルミニウム及び窒素を含む材料の選択的堆積 Active JP6813983B2 (ja)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US14/819,274 US10566185B2 (en) 2015-08-05 2015-08-05 Selective deposition of aluminum and nitrogen containing material
US14/819,274 2015-08-05

Publications (2)

Publication Number Publication Date
JP2017041632A JP2017041632A (ja) 2017-02-23
JP6813983B2 true JP6813983B2 (ja) 2021-01-13

Family

ID=58053516

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2016154091A Active JP6813983B2 (ja) 2015-08-05 2016-08-04 アルミニウム及び窒素を含む材料の選択的堆積

Country Status (4)

Country Link
US (2) US10566185B2 (ja)
JP (1) JP6813983B2 (ja)
KR (1) KR20170017779A (ja)
TW (1) TWI708858B (ja)

Families Citing this family (358)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10378106B2 (en) 2008-11-14 2019-08-13 Asm Ip Holding B.V. Method of forming insulation film by modified PEALD
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
US8802201B2 (en) 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
TWI529808B (zh) 2010-06-10 2016-04-11 Asm國際股份有限公司 使膜選擇性沈積於基板上的方法
US9312155B2 (en) 2011-06-06 2016-04-12 Asm Japan K.K. High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules
US10364496B2 (en) 2011-06-27 2019-07-30 Asm Ip Holding B.V. Dual section module having shared and unshared mass flow controllers
US10854498B2 (en) 2011-07-15 2020-12-01 Asm Ip Holding B.V. Wafer-supporting device and method for producing same
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
US9112003B2 (en) 2011-12-09 2015-08-18 Asm International N.V. Selective formation of metallic films on metallic surfaces
US9659799B2 (en) 2012-08-28 2017-05-23 Asm Ip Holding B.V. Systems and methods for dynamic semiconductor process scheduling
US9021985B2 (en) 2012-09-12 2015-05-05 Asm Ip Holdings B.V. Process gas management for an inductively-coupled plasma deposition reactor
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
US9484191B2 (en) 2013-03-08 2016-11-01 Asm Ip Holding B.V. Pulsed remote plasma method and system
US9589770B2 (en) 2013-03-08 2017-03-07 Asm Ip Holding B.V. Method and systems for in-situ formation of intermediate reactive species
US9240412B2 (en) 2013-09-27 2016-01-19 Asm Ip Holding B.V. Semiconductor structure and device and methods of forming same using selective epitaxial process
TWI661072B (zh) 2014-02-04 2019-06-01 荷蘭商Asm Ip控股公司 金屬、金屬氧化物與介電質的選擇性沈積
US10683571B2 (en) 2014-02-25 2020-06-16 Asm Ip Holding B.V. Gas supply manifold and method of supplying gases to chamber using same
US10167557B2 (en) 2014-03-18 2019-01-01 Asm Ip Holding B.V. Gas distribution system, reactor including the system, and methods of using the same
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US10047435B2 (en) 2014-04-16 2018-08-14 Asm Ip Holding B.V. Dual selective deposition
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US9657845B2 (en) 2014-10-07 2017-05-23 Asm Ip Holding B.V. Variable conductance gas distribution apparatus and method
KR102263121B1 (ko) 2014-12-22 2021-06-09 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 및 그 제조 방법
US9816180B2 (en) 2015-02-03 2017-11-14 Asm Ip Holding B.V. Selective deposition
US9490145B2 (en) 2015-02-23 2016-11-08 Asm Ip Holding B.V. Removal of surface passivation
US10529542B2 (en) 2015-03-11 2020-01-07 Asm Ip Holdings B.V. Cross-flow reactor and method
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10600673B2 (en) 2015-07-07 2020-03-24 Asm Ip Holding B.V. Magnetic susceptor to baseplate seal
US10083836B2 (en) 2015-07-24 2018-09-25 Asm Ip Holding B.V. Formation of boron-doped titanium metal films with high work function
US10428421B2 (en) 2015-08-03 2019-10-01 Asm Ip Holding B.V. Selective deposition on metal or metallic surfaces relative to dielectric surfaces
US10566185B2 (en) 2015-08-05 2020-02-18 Asm Ip Holding B.V. Selective deposition of aluminum and nitrogen containing material
US10121699B2 (en) 2015-08-05 2018-11-06 Asm Ip Holding B.V. Selective deposition of aluminum and nitrogen containing material
US9960072B2 (en) 2015-09-29 2018-05-01 Asm Ip Holding B.V. Variable adjustment for precise matching of multiple chamber cavity housings
US10118828B2 (en) * 2015-10-02 2018-11-06 Asm Ip Holding B.V. Tritertbutyl aluminum reactants for vapor deposition
US10814349B2 (en) 2015-10-09 2020-10-27 Asm Ip Holding B.V. Vapor phase deposition of organic films
US10695794B2 (en) 2015-10-09 2020-06-30 Asm Ip Holding B.V. Vapor phase deposition of organic films
US10343186B2 (en) 2015-10-09 2019-07-09 Asm Ip Holding B.V. Vapor phase deposition of organic films
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US10322384B2 (en) 2015-11-09 2019-06-18 Asm Ip Holding B.V. Counter flow mixer for process chamber
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10468251B2 (en) 2016-02-19 2019-11-05 Asm Ip Holding B.V. Method for forming spacers using silicon nitride film for spacer-defined multiple patterning
CN105609603A (zh) * 2016-03-02 2016-05-25 厦门乾照光电股份有限公司 一种具有复合结构的氮化物缓冲层
US9981286B2 (en) 2016-03-08 2018-05-29 Asm Ip Holding B.V. Selective formation of metal silicides
US10501866B2 (en) 2016-03-09 2019-12-10 Asm Ip Holding B.V. Gas distribution apparatus for improved film uniformity in an epitaxial system
US10343920B2 (en) 2016-03-18 2019-07-09 Asm Ip Holding B.V. Aligned carbon nanotubes
US9892913B2 (en) 2016-03-24 2018-02-13 Asm Ip Holding B.V. Radial and thickness control via biased multi-port injection settings
US10204782B2 (en) 2016-04-18 2019-02-12 Imec Vzw Combined anneal and selective deposition process
KR102182550B1 (ko) 2016-04-18 2020-11-25 에이에스엠 아이피 홀딩 비.브이. 유도된 자기-조립층을 기판 상에 형성하는 방법
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
US10032628B2 (en) 2016-05-02 2018-07-24 Asm Ip Holding B.V. Source/drain performance through conformal solid state doping
US11081342B2 (en) 2016-05-05 2021-08-03 Asm Ip Holding B.V. Selective deposition using hydrophobic precursors
KR102592471B1 (ko) * 2016-05-17 2023-10-20 에이에스엠 아이피 홀딩 비.브이. 금속 배선 형성 방법 및 이를 이용한 반도체 장치의 제조 방법
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US10453701B2 (en) 2016-06-01 2019-10-22 Asm Ip Holding B.V. Deposition of organic films
US10373820B2 (en) 2016-06-01 2019-08-06 Asm Ip Holding B.V. Deposition of organic films
US10014212B2 (en) 2016-06-08 2018-07-03 Asm Ip Holding B.V. Selective deposition of metallic films
US9803277B1 (en) 2016-06-08 2017-10-31 Asm Ip Holding B.V. Reaction chamber passivation and selective deposition of metallic films
US10388509B2 (en) 2016-06-28 2019-08-20 Asm Ip Holding B.V. Formation of epitaxial layers via dislocation filtering
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
KR102354490B1 (ko) 2016-07-27 2022-01-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10395919B2 (en) 2016-07-28 2019-08-27 Asm Ip Holding B.V. Method and apparatus for filling a gap
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10410943B2 (en) 2016-10-13 2019-09-10 Asm Ip Holding B.V. Method for passivating a surface of a semiconductor and related systems
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10643904B2 (en) 2016-11-01 2020-05-05 Asm Ip Holdings B.V. Methods for forming a semiconductor device and related semiconductor device structures
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10435790B2 (en) 2016-11-01 2019-10-08 Asm Ip Holding B.V. Method of subatmospheric plasma-enhanced ALD using capacitively coupled electrodes with narrow gap
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10134757B2 (en) 2016-11-07 2018-11-20 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by using the method
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
US10340135B2 (en) 2016-11-28 2019-07-02 Asm Ip Holding B.V. Method of topologically restricted plasma-enhanced cyclic deposition of silicon or metal nitride
US11430656B2 (en) 2016-11-29 2022-08-30 Asm Ip Holding B.V. Deposition of oxide thin films
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
TWI671792B (zh) 2016-12-19 2019-09-11 荷蘭商Asm知識產權私人控股有限公司 基板處理設備
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10655221B2 (en) 2017-02-09 2020-05-19 Asm Ip Holding B.V. Method for depositing oxide film by thermal ALD and PEALD
KR102287788B1 (ko) * 2017-02-14 2021-08-10 에이에스엠 아이피 홀딩 비.브이. 알루미늄 및 질소 함유 재료의 선택적 증착
JP7169072B2 (ja) 2017-02-14 2022-11-10 エーエスエム アイピー ホールディング ビー.ブイ. 選択的パッシベーションおよび選択的堆積
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10283353B2 (en) 2017-03-29 2019-05-07 Asm Ip Holding B.V. Method of reforming insulating film deposited on substrate with recess pattern
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
US10559461B2 (en) * 2017-04-19 2020-02-11 Lam Research Corporation Selective deposition with atomic layer etch reset
US10373906B2 (en) * 2017-04-20 2019-08-06 Taiwan Semiconductor Manufacturing Co., Ltd. Structure and formation method of interconnection structure of semiconductor device
KR102457289B1 (ko) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US11501965B2 (en) 2017-05-05 2022-11-15 Asm Ip Holding B.V. Plasma enhanced deposition processes for controlled formation of metal oxide thin films
WO2018204709A1 (en) 2017-05-05 2018-11-08 Asm Ip Holding B.V. Plasma enhanced deposition processes for controlled formation of oxygen containing thin films
US10446393B2 (en) 2017-05-08 2019-10-15 Asm Ip Holding B.V. Methods for forming silicon-containing epitaxial layers and related semiconductor device structures
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
TWI801385B (zh) * 2017-05-15 2023-05-11 日商東京威力科創股份有限公司 用於進階圖案化應用之原位選擇性沉積及蝕刻
KR20240112368A (ko) 2017-05-16 2024-07-18 에이에스엠 아이피 홀딩 비.브이. 유전체 상에 옥사이드의 선택적 peald
US10504742B2 (en) 2017-05-31 2019-12-10 Asm Ip Holding B.V. Method of atomic layer etching using hydrogen plasma
US10886123B2 (en) 2017-06-02 2021-01-05 Asm Ip Holding B.V. Methods for forming low temperature semiconductor layers and related semiconductor device structures
US12040200B2 (en) 2017-06-20 2024-07-16 Asm Ip Holding B.V. Semiconductor processing apparatus and methods for calibrating a semiconductor processing apparatus
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US10685834B2 (en) 2017-07-05 2020-06-16 Asm Ip Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
US10900120B2 (en) 2017-07-14 2021-01-26 Asm Ip Holding B.V. Passivation against vapor deposition
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10312055B2 (en) 2017-07-26 2019-06-04 Asm Ip Holding B.V. Method of depositing film by PEALD using negative bias
US10605530B2 (en) 2017-07-26 2020-03-31 Asm Ip Holding B.V. Assembly of a liner and a flange for a vertical furnace as well as the liner and the vertical furnace
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US10249524B2 (en) 2017-08-09 2019-04-02 Asm Ip Holding B.V. Cassette holder assembly for a substrate cassette and holding member for use in such assembly
US10236177B1 (en) 2017-08-22 2019-03-19 ASM IP Holding B.V.. Methods for depositing a doped germanium tin semiconductor and related semiconductor device structures
USD900036S1 (en) 2017-08-24 2020-10-27 Asm Ip Holding B.V. Heater electrical connector and adapter
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
KR102401446B1 (ko) 2017-08-31 2022-05-24 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10607895B2 (en) 2017-09-18 2020-03-31 Asm Ip Holdings B.V. Method for forming a semiconductor device structure comprising a gate fill metal
KR102630301B1 (ko) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10319588B2 (en) 2017-10-10 2019-06-11 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
KR102443047B1 (ko) 2017-11-16 2022-09-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 방법 및 그에 의해 제조된 장치
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
WO2019103613A1 (en) 2017-11-27 2019-05-31 Asm Ip Holding B.V. A storage device for storing wafer cassettes for use with a batch furnace
JP7206265B2 (ja) 2017-11-27 2023-01-17 エーエスエム アイピー ホールディング ビー.ブイ. クリーン・ミニエンバイロメントを備える装置
US10290508B1 (en) 2017-12-05 2019-05-14 Asm Ip Holding B.V. Method for forming vertical spacers for spacer-defined patterning
US11276572B2 (en) * 2017-12-08 2022-03-15 Tokyo Electron Limited Technique for multi-patterning substrates
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
US11482412B2 (en) 2018-01-19 2022-10-25 Asm Ip Holding B.V. Method for depositing a gap-fill layer by plasma-assisted deposition
TWI799494B (zh) 2018-01-19 2023-04-21 荷蘭商Asm 智慧財產控股公司 沈積方法
USD903477S1 (en) 2018-01-24 2020-12-01 Asm Ip Holdings B.V. Metal clamp
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
US10535516B2 (en) 2018-02-01 2020-01-14 Asm Ip Holdings B.V. Method for depositing a semiconductor structure on a surface of a substrate and related semiconductor structures
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
KR102657269B1 (ko) 2018-02-14 2024-04-16 에이에스엠 아이피 홀딩 비.브이. 주기적 증착 공정에 의해 기판 상에 루테늄-함유 막을 증착하는 방법
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
US10658181B2 (en) 2018-02-20 2020-05-19 Asm Ip Holding B.V. Method of spacer-defined direct patterning in semiconductor fabrication
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
US10510536B2 (en) 2018-03-29 2019-12-17 Asm Ip Holding B.V. Method of depositing a co-doped polysilicon film on a surface of a substrate within a reaction chamber
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
KR102501472B1 (ko) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
WO2019200234A1 (en) * 2018-04-13 2019-10-17 Applied Materials, Inc. Methods of selective atomic layer deposition
JP7146690B2 (ja) 2018-05-02 2022-10-04 エーエスエム アイピー ホールディング ビー.ブイ. 堆積および除去を使用した選択的層形成
US12025484B2 (en) 2018-05-08 2024-07-02 Asm Ip Holding B.V. Thin film forming method
KR20190128558A (ko) 2018-05-08 2019-11-18 에이에스엠 아이피 홀딩 비.브이. 기판 상에 산화물 막을 주기적 증착 공정에 의해 증착하기 위한 방법 및 관련 소자 구조
KR20190129718A (ko) 2018-05-11 2019-11-20 에이에스엠 아이피 홀딩 비.브이. 기판 상에 피도핑 금속 탄화물 막을 형성하는 방법 및 관련 반도체 소자 구조
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
TWI840362B (zh) 2018-06-04 2024-05-01 荷蘭商Asm Ip私人控股有限公司 水氣降低的晶圓處置腔室
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
KR20210024462A (ko) 2018-06-27 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 금속 함유 재료를 형성하기 위한 주기적 증착 방법 및 금속 함유 재료를 포함하는 필름 및 구조체
CN112292477A (zh) 2018-06-27 2021-01-29 Asm Ip私人控股有限公司 用于形成含金属的材料的循环沉积方法及包含含金属的材料的膜和结构
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
KR102686758B1 (ko) 2018-06-29 2024-07-18 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US10483099B1 (en) 2018-07-26 2019-11-19 Asm Ip Holding B.V. Method for forming thermally stable organosilicon polymer film
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
US11450525B2 (en) * 2018-09-14 2022-09-20 Applied Materials, Inc. Selective aluminum oxide film deposition
US10978337B2 (en) * 2018-09-18 2021-04-13 Taiwan Semiconductor Manufacturing Co., Ltd. Aluminum-containing layers and methods of forming the same
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
JP2020056104A (ja) 2018-10-02 2020-04-09 エーエスエム アイピー ホールディング ビー.ブイ. 選択的パッシベーションおよび選択的堆積
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
US10847365B2 (en) 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
US10811256B2 (en) 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US10381219B1 (en) 2018-10-25 2019-08-13 Asm Ip Holding B.V. Methods for forming a silicon nitride film
US11769692B2 (en) 2018-10-31 2023-09-26 Taiwan Semiconductor Manufacturing Co., Ltd. High breakdown voltage inter-metal dielectric layer
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10559458B1 (en) 2018-11-26 2020-02-11 Asm Ip Holding B.V. Method of forming oxynitride film
US12040199B2 (en) 2018-11-28 2024-07-16 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
JP7504584B2 (ja) 2018-12-14 2024-06-24 エーエスエム・アイピー・ホールディング・ベー・フェー 窒化ガリウムの選択的堆積を用いてデバイス構造体を形成する方法及びそのためのシステム
TWI819180B (zh) 2019-01-17 2023-10-21 荷蘭商Asm 智慧財產控股公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
TWI838458B (zh) 2019-02-20 2024-04-11 荷蘭商Asm Ip私人控股有限公司 用於3d nand應用中之插塞填充沉積之設備及方法
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
JP2020136678A (ja) 2019-02-20 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための方法および装置
JP7509548B2 (ja) 2019-02-20 2024-07-02 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための周期的堆積方法および装置
TWI842826B (zh) 2019-02-22 2024-05-21 荷蘭商Asm Ip私人控股有限公司 基材處理設備及處理基材之方法
KR20200108248A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOCN 층을 포함한 구조체 및 이의 형성 방법
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
JP2020167398A (ja) 2019-03-28 2020-10-08 エーエスエム・アイピー・ホールディング・ベー・フェー ドアオープナーおよびドアオープナーが提供される基材処理装置
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
US11965238B2 (en) 2019-04-12 2024-04-23 Asm Ip Holding B.V. Selective deposition of metal oxides on metal surfaces
US11447864B2 (en) 2019-04-19 2022-09-20 Asm Ip Holding B.V. Layer forming method and apparatus
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188254A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141003A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 가스 감지기를 포함하는 기상 반응기 시스템
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP7499079B2 (ja) 2019-07-09 2024-06-13 エーエスエム・アイピー・ホールディング・ベー・フェー 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
TWI839544B (zh) 2019-07-19 2024-04-21 荷蘭商Asm Ip私人控股有限公司 形成形貌受控的非晶碳聚合物膜之方法
CN112309843A (zh) 2019-07-29 2021-02-02 Asm Ip私人控股有限公司 实现高掺杂剂掺入的选择性沉积方法
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
CN112323048B (zh) 2019-08-05 2024-02-09 Asm Ip私人控股有限公司 用于化学源容器的液位传感器
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
CN112635282A (zh) 2019-10-08 2021-04-09 Asm Ip私人控股有限公司 具有连接板的基板处理装置、基板处理方法
KR20210042810A (ko) 2019-10-08 2021-04-20 에이에스엠 아이피 홀딩 비.브이. 활성 종을 이용하기 위한 가스 분배 어셈블리를 포함한 반응기 시스템 및 이를 사용하는 방법
KR20210043460A (ko) 2019-10-10 2021-04-21 에이에스엠 아이피 홀딩 비.브이. 포토레지스트 하부층을 형성하기 위한 방법 및 이를 포함한 구조체
US12009241B2 (en) 2019-10-14 2024-06-11 Asm Ip Holding B.V. Vertical batch furnace assembly with detector to detect cassette
TWI834919B (zh) 2019-10-16 2024-03-11 荷蘭商Asm Ip私人控股有限公司 氧化矽之拓撲選擇性膜形成之方法
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
KR20210050453A (ko) 2019-10-25 2021-05-07 에이에스엠 아이피 홀딩 비.브이. 기판 표면 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
US11139163B2 (en) 2019-10-31 2021-10-05 Asm Ip Holding B.V. Selective deposition of SiOC thin films
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
US11450529B2 (en) 2019-11-26 2022-09-20 Asm Ip Holding B.V. Methods for selectively forming a target film on a substrate comprising a first dielectric surface and a second metallic surface
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
CN112951760B (zh) * 2019-11-26 2022-06-24 长鑫存储技术有限公司 存储器及其形成方法
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP7527928B2 (ja) 2019-12-02 2024-08-05 エーエスエム・アイピー・ホールディング・ベー・フェー 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11885013B2 (en) 2019-12-17 2024-01-30 Asm Ip Holding B.V. Method of forming vanadium nitride layer and structure including the vanadium nitride layer
KR20210080214A (ko) 2019-12-19 2021-06-30 에이에스엠 아이피 홀딩 비.브이. 기판 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
TW202142733A (zh) 2020-01-06 2021-11-16 荷蘭商Asm Ip私人控股有限公司 反應器系統、抬升銷、及處理方法
KR20210089077A (ko) 2020-01-06 2021-07-15 에이에스엠 아이피 홀딩 비.브이. 가스 공급 어셈블리, 이의 구성 요소, 및 이를 포함하는 반응기 시스템
US11993847B2 (en) 2020-01-08 2024-05-28 Asm Ip Holding B.V. Injector
KR102675856B1 (ko) 2020-01-20 2024-06-17 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법 및 박막 표면 개질 방법
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
US11532517B2 (en) 2020-02-04 2022-12-20 Tokyo Electron Limited Localized etch stop layer
KR20210100010A (ko) 2020-02-04 2021-08-13 에이에스엠 아이피 홀딩 비.브이. 대형 물품의 투과율 측정을 위한 방법 및 장치
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
TW202146715A (zh) 2020-02-17 2021-12-16 荷蘭商Asm Ip私人控股有限公司 用於生長磷摻雜矽層之方法及其系統
TW202203344A (zh) 2020-02-28 2022-01-16 荷蘭商Asm Ip控股公司 專用於零件清潔的系統
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
US11876356B2 (en) 2020-03-11 2024-01-16 Asm Ip Holding B.V. Lockout tagout assembly and system and method of using same
KR20210117157A (ko) 2020-03-12 2021-09-28 에이에스엠 아이피 홀딩 비.브이. 타겟 토폴로지 프로파일을 갖는 층 구조를 제조하기 위한 방법
TW202204658A (zh) 2020-03-30 2022-02-01 荷蘭商Asm Ip私人控股有限公司 在兩不同表面上同時選擇性沉積兩不同材料
TW202140833A (zh) 2020-03-30 2021-11-01 荷蘭商Asm Ip私人控股有限公司 相對於金屬表面在介電表面上之氧化矽的選擇性沉積
TW202140832A (zh) 2020-03-30 2021-11-01 荷蘭商Asm Ip私人控股有限公司 氧化矽在金屬表面上之選擇性沉積
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
US11996289B2 (en) 2020-04-16 2024-05-28 Asm Ip Holding B.V. Methods of forming structures including silicon germanium and silicon layers, devices formed using the methods, and systems for performing the methods
CN111364017B (zh) * 2020-04-20 2022-04-22 国家纳米科学中心 一种氮化铝薄膜及其制备方法和用途
TW202140831A (zh) 2020-04-24 2021-11-01 荷蘭商Asm Ip私人控股有限公司 形成含氮化釩層及包含該層的結構之方法
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
KR20210132605A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 냉각 가스 공급부를 포함한 수직형 배치 퍼니스 어셈블리
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
TW202147543A (zh) 2020-05-04 2021-12-16 荷蘭商Asm Ip私人控股有限公司 半導體處理系統
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
TW202146699A (zh) 2020-05-15 2021-12-16 荷蘭商Asm Ip私人控股有限公司 形成矽鍺層之方法、半導體結構、半導體裝置、形成沉積層之方法、及沉積系統
KR20210143653A (ko) 2020-05-19 2021-11-29 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
TW202200837A (zh) 2020-05-22 2022-01-01 荷蘭商Asm Ip私人控股有限公司 用於在基材上形成薄膜之反應系統
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202202649A (zh) 2020-07-08 2022-01-16 荷蘭商Asm Ip私人控股有限公司 基板處理方法
KR20220010438A (ko) 2020-07-17 2022-01-25 에이에스엠 아이피 홀딩 비.브이. 포토리소그래피에 사용하기 위한 구조체 및 방법
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
US12040177B2 (en) 2020-08-18 2024-07-16 Asm Ip Holding B.V. Methods for forming a laminate film by cyclical plasma-enhanced deposition processes
TW202212623A (zh) 2020-08-26 2022-04-01 荷蘭商Asm Ip私人控股有限公司 形成金屬氧化矽層及金屬氮氧化矽層的方法、半導體結構、及系統
TW202229601A (zh) 2020-08-27 2022-08-01 荷蘭商Asm Ip私人控股有限公司 形成圖案化結構的方法、操控機械特性的方法、裝置結構、及基板處理系統
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
US12009224B2 (en) 2020-09-29 2024-06-11 Asm Ip Holding B.V. Apparatus and method for etching metal nitrides
CN114293174A (zh) 2020-10-07 2022-04-08 Asm Ip私人控股有限公司 气体供应单元和包括气体供应单元的衬底处理设备
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
KR20220053482A (ko) 2020-10-22 2022-04-29 에이에스엠 아이피 홀딩 비.브이. 바나듐 금속을 증착하는 방법, 구조체, 소자 및 증착 어셈블리
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
TW202235649A (zh) 2020-11-24 2022-09-16 荷蘭商Asm Ip私人控股有限公司 填充間隙之方法與相關之系統及裝置
KR20220076343A (ko) 2020-11-30 2022-06-08 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치의 반응 챔버 내에 배열되도록 구성된 인젝터
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate

Family Cites Families (231)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4804640A (en) * 1985-08-27 1989-02-14 General Electric Company Method of forming silicon and aluminum containing dielectric film and semiconductor device including said film
US4948755A (en) 1987-10-08 1990-08-14 Standard Microsystems Corporation Method of manufacturing self-aligned conformal metallization of semiconductor wafer by selective metal deposition
US4863879A (en) 1987-12-16 1989-09-05 Ford Microelectronics, Inc. Method of manufacturing self-aligned GaAs MESFET
JPH0485024A (ja) 1990-07-30 1992-03-18 Mitsubishi Gas Chem Co Inc 銅張積層板の製造法
DE4115872A1 (de) 1991-05-15 1992-11-19 Basf Ag Verfahren zur herstellung duenner polyimidschutzschichten auf keramischen supraleitern oder hochtemperatursupraleitern
JP3048749B2 (ja) 1992-04-28 2000-06-05 キヤノン株式会社 薄膜形成方法
US5447887A (en) 1994-04-01 1995-09-05 Motorola, Inc. Method for capping copper in semiconductor devices
US6251758B1 (en) 1994-11-14 2001-06-26 Applied Materials, Inc. Construction of a film on a semiconductor wafer
US5633036A (en) 1995-04-21 1997-05-27 The Board Of Trustees Of The University Of Illinois Selective low temperature chemical vapor deposition of titanium disilicide onto silicon regions
US5925494A (en) 1996-02-16 1999-07-20 Massachusetts Institute Of Technology Vapor deposition of polymer films for photolithography
US6342277B1 (en) 1996-08-16 2002-01-29 Licensee For Microelectronics: Asm America, Inc. Sequential chemical vapor deposition
US5939334A (en) 1997-05-22 1999-08-17 Sharp Laboratories Of America, Inc. System and method of selectively cleaning copper substrate surfaces, in-situ, to remove copper oxides
US5869135A (en) 1997-10-03 1999-02-09 Massachusetts Institute Of Technology Selective chemical vapor deposition of polymers
FI104383B (fi) 1997-12-09 2000-01-14 Fortum Oil & Gas Oy Menetelmä laitteistojen sisäpintojen päällystämiseksi
US20060219157A1 (en) 2001-06-28 2006-10-05 Antti Rahtu Oxide films containing titanium
US6958174B1 (en) 1999-03-15 2005-10-25 Regents Of The University Of Colorado Solid material comprising a thin metal film on its surface and methods for producing the same
KR20010001072A (ko) 1999-06-01 2001-01-05 부원영 네트웍을 이용한 온라인 축구 게임 및 그 방법
US6046108A (en) 1999-06-25 2000-04-04 Taiwan Semiconductor Manufacturing Company Method for selective growth of Cu3 Ge or Cu5 Si for passivation of damascene copper structures and device manufactured thereby
AU6785900A (en) 1999-08-19 2001-03-13 Ppg Industries Ohio, Inc. Hydrophobic particulate inorganic oxides and polymeric compositions containing same
US7015271B2 (en) 1999-08-19 2006-03-21 Ppg Industries Ohio, Inc. Hydrophobic particulate inorganic oxides and polymeric compositions containing same
US6319635B1 (en) 1999-12-06 2001-11-20 The Regents Of The University Of California Mitigation of substrate defects in reticles using multilayer buffer layers
US6503330B1 (en) 1999-12-22 2003-01-07 Genus, Inc. Apparatus and method to achieve continuous interface and ultrathin film during atomic layer deposition
US6455425B1 (en) 2000-01-18 2002-09-24 Advanced Micro Devices, Inc. Selective deposition process for passivating top interface of damascene-type Cu interconnect lines
FI117979B (fi) 2000-04-14 2007-05-15 Asm Int Menetelmä oksidiohutkalvojen valmistamiseksi
US6679951B2 (en) 2000-05-15 2004-01-20 Asm Intenational N.V. Metal anneal with oxidation prevention
US6878628B2 (en) 2000-05-15 2005-04-12 Asm International Nv In situ reduction of copper oxide prior to silicon carbide deposition
US7494927B2 (en) 2000-05-15 2009-02-24 Asm International N.V. Method of growing electrical conductors
KR100775159B1 (ko) 2000-05-15 2007-11-12 에이에스엠 인터내셔널 엔.붸. 집적회로의 생산 공정
US6759325B2 (en) 2000-05-15 2004-07-06 Asm Microchemistry Oy Sealing porous structures
US7964505B2 (en) 2005-01-19 2011-06-21 Applied Materials, Inc. Atomic layer deposition of tungsten materials
US7294563B2 (en) 2000-08-10 2007-11-13 Applied Materials, Inc. Semiconductor on insulator vertical transistor fabrication and doping process
US7030551B2 (en) 2000-08-10 2006-04-18 Semiconductor Energy Laboratory Co., Ltd. Area sensor and display apparatus provided with an area sensor
JP4095763B2 (ja) 2000-09-06 2008-06-04 株式会社ルネサステクノロジ 半導体装置及びその製造方法
US6455414B1 (en) 2000-11-28 2002-09-24 Tokyo Electron Limited Method for improving the adhesion of sputtered copper films to CVD transition metal based underlayers
JP4333900B2 (ja) 2000-11-30 2009-09-16 エーエスエム インターナショナル エヌ.ヴェー. 磁気メモリセル、磁気構造体及び磁気素子の製造方法、並びに磁気構造体用金属層の成長方法
US6949450B2 (en) 2000-12-06 2005-09-27 Novellus Systems, Inc. Method for integrated in-situ cleaning and subsequent atomic layer deposition within a single processing chamber
US7192827B2 (en) * 2001-01-05 2007-03-20 Micron Technology, Inc. Methods of forming capacitor structures
US6613656B2 (en) 2001-02-13 2003-09-02 Micron Technology, Inc. Sequential pulse deposition
US8110489B2 (en) 2001-07-25 2012-02-07 Applied Materials, Inc. Process for forming cobalt-containing materials
JP4921652B2 (ja) 2001-08-03 2012-04-25 エイエスエム インターナショナル エヌ.ヴェー. イットリウム酸化物およびランタン酸化物薄膜を堆積する方法
KR20030027392A (ko) 2001-09-28 2003-04-07 삼성전자주식회사 티타늄 실리사이드 박막 형성방법
JP2003109941A (ja) * 2001-09-28 2003-04-11 Canon Inc プラズマ処理装置および表面処理方法
TW508648B (en) 2001-12-11 2002-11-01 United Microelectronics Corp Method of reducing the chamber particle level
US6809026B2 (en) 2001-12-21 2004-10-26 Applied Materials, Inc. Selective deposition of a barrier layer on a metal film
US20030192090P1 (en) 2002-04-03 2003-10-09 Meilland Alain A. Hybrid tea rose plant named 'Meibderos'
US6586330B1 (en) 2002-05-07 2003-07-01 Tokyo Electron Limited Method for depositing conformal nitrified tantalum silicide films by thermal CVD
KR100459724B1 (ko) 2002-09-11 2004-12-03 삼성전자주식회사 저온 원자층증착에 의한 질화막을 식각저지층으로이용하는 반도체 소자 및 그 제조방법
US6982230B2 (en) 2002-11-08 2006-01-03 International Business Machines Corporation Deposition of hafnium oxide and/or zirconium oxide and fabrication of passivated electronic structures
AU2003290956A1 (en) 2002-11-15 2004-06-15 President And Fellows Of Harvard College Atomic layer deposition using metal amidinates
US7553686B2 (en) 2002-12-17 2009-06-30 The Regents Of The University Of Colorado, A Body Corporate Al2O3 atomic layer deposition to enhance the deposition of hydrophobic or hydrophilic coatings on micro-electromechanical devices
KR20040056026A (ko) 2002-12-23 2004-06-30 주식회사 하이닉스반도체 구리 배선의 캐핑층 형성 방법
US7115528B2 (en) 2003-04-29 2006-10-03 Micron Technology, Inc. Systems and method for forming silicon oxide layers
US6844258B1 (en) 2003-05-09 2005-01-18 Novellus Systems, Inc. Selective refractory metal and nitride capping
US7914847B2 (en) 2003-05-09 2011-03-29 Asm America, Inc. Reactor surface passivation through chemical deactivation
JP4959333B2 (ja) 2003-05-09 2012-06-20 エーエスエム アメリカ インコーポレイテッド 化学的不活性化を通じたリアクタ表面のパシベーション
US6811448B1 (en) 2003-07-15 2004-11-02 Advanced Micro Devices, Inc. Pre-cleaning for silicidation in an SMOS process
US7067407B2 (en) 2003-08-04 2006-06-27 Asm International, N.V. Method of growing electrical conductors
US7323411B1 (en) 2003-09-26 2008-01-29 Cypress Semiconductor Corporation Method of selective tungsten deposition on a silicon surface
US7375033B2 (en) 2003-11-14 2008-05-20 Micron Technology, Inc. Multi-layer interconnect with isolation layer
US7207096B2 (en) 2004-01-22 2007-04-24 International Business Machines Corporation Method of manufacturing high performance copper inductors with bond pads
US7405143B2 (en) 2004-03-25 2008-07-29 Asm International N.V. Method for fabricating a seed layer
US7309395B2 (en) 2004-03-31 2007-12-18 Dielectric Systems, Inc. System for forming composite polymer dielectric film
TW200539321A (en) 2004-05-28 2005-12-01 Applied Materials Inc Method for improving high density plasmachemical vapor deposition process
US20060019493A1 (en) 2004-07-15 2006-01-26 Li Wei M Methods of metallization for microelectronic devices utilizing metal oxide
TW200619222A (en) * 2004-09-02 2006-06-16 Rohm & Haas Elect Mat Method for making organometallic compounds
US8882914B2 (en) 2004-09-17 2014-11-11 Intermolecular, Inc. Processing substrates using site-isolated processing
US7476618B2 (en) 2004-10-26 2009-01-13 Asm Japan K.K. Selective formation of metal layers in an integrated circuit
US7160583B2 (en) 2004-12-03 2007-01-09 3M Innovative Properties Company Microfabrication using patterned topography and self-assembled monolayers
US7429402B2 (en) 2004-12-10 2008-09-30 Applied Materials, Inc. Ruthenium as an underlayer for tungsten film deposition
JP4258515B2 (ja) 2005-02-04 2009-04-30 パナソニック株式会社 回折素子、回折素子の製造方法、光ピックアップ装置および光ディスク装置
US20060199399A1 (en) 2005-02-22 2006-09-07 Muscat Anthony J Surface manipulation and selective deposition processes using adsorbed halogen atoms
US7666773B2 (en) 2005-03-15 2010-02-23 Asm International N.V. Selective deposition of noble metal thin films
US7488967B2 (en) 2005-04-06 2009-02-10 International Business Machines Corporation Structure for confining the switching current in phase memory (PCM) cells
US7425350B2 (en) 2005-04-29 2008-09-16 Asm Japan K.K. Apparatus, precursors and deposition methods for silicon-containing materials
US7084060B1 (en) 2005-05-04 2006-08-01 International Business Machines Corporation Forming capping layer over metal wire structure using selective atomic layer deposition
US7402519B2 (en) 2005-06-03 2008-07-22 Intel Corporation Interconnects having sealing structures to enable selective metal capping layers
KR100695876B1 (ko) 2005-06-24 2007-03-19 삼성전자주식회사 오버레이 키 및 그 형성 방법, 오버레이 키를 이용하여형성된 반도체 장치 및 그 제조 방법.
US20070014919A1 (en) 2005-07-15 2007-01-18 Jani Hamalainen Atomic layer deposition of noble metal oxides
US8771804B2 (en) 2005-08-31 2014-07-08 Lam Research Corporation Processes and systems for engineering a copper surface for selective metal deposition
US20070099422A1 (en) 2005-10-28 2007-05-03 Kapila Wijekoon Process for electroless copper deposition
GB2432363B (en) 2005-11-16 2010-06-23 Epichem Ltd Hafnocene and zirconocene precursors, and use thereof in atomic layer deposition
US7595271B2 (en) 2005-12-01 2009-09-29 Asm America, Inc. Polymer coating for vapor deposition tool
US7601651B2 (en) 2006-03-31 2009-10-13 Applied Materials, Inc. Method to improve the step coverage and pattern loading for dielectric films
TW200746268A (en) 2006-04-11 2007-12-16 Applied Materials Inc Process for forming cobalt-containing materials
JP5032145B2 (ja) 2006-04-14 2012-09-26 株式会社東芝 半導体装置
FR2900276B1 (fr) 2006-04-25 2008-09-12 St Microelectronics Sa Depot peald d'un materiau a base de silicium
CN101460657A (zh) 2006-06-02 2009-06-17 乔治洛德方法研究和开发液化空气有限公司 基于新型钛、锆和铪前体的高k介电膜的形成方法及其用于半导体制造的用途
US9245739B2 (en) 2006-11-01 2016-01-26 Lam Research Corporation Low-K oxide deposition by hydrolysis and condensation
US7790631B2 (en) 2006-11-21 2010-09-07 Intel Corporation Selective deposition of a dielectric on a self-assembled monolayer-adsorbed metal
US8205625B2 (en) 2006-11-28 2012-06-26 Ebara Corporation Apparatus and method for surface treatment of substrate, and substrate processing apparatus and method
DE102007004867B4 (de) * 2007-01-31 2009-07-30 Advanced Micro Devices, Inc., Sunnyvale Verfahren zum Erhöhen der Zuverlässigkeit von kupferbasierten Metallisierungsstrukturen in einem Mikrostrukturbauelement durch Anwenden von Aluminiumnitrid
US20080241575A1 (en) 2007-03-28 2008-10-02 Lavoie Adrein R Selective aluminum doping of copper interconnects and structures formed thereby
EP2191034B1 (en) 2007-09-14 2013-03-13 Sigma-Aldrich Co. LLC Methods of preparing thin films by atomic layer deposition using monocyclopentadienyl triamino zirconium precursors
JP2009076590A (ja) 2007-09-19 2009-04-09 Hitachi Kokusai Electric Inc クリーニング方法
EP2222889A4 (en) 2007-11-06 2010-12-29 Hcf Partners L P ATOM LOCATION SEPARATION PROCESS
WO2009102363A2 (en) 2007-11-15 2009-08-20 Stc.Unm Ultra-thin microporous/hybrid materials
KR100920033B1 (ko) 2007-12-10 2009-10-07 (주)피앤테크 에스아이오씨 박막 제조용 프리커서를 이용한 박막 형성방법
US9217200B2 (en) 2007-12-21 2015-12-22 Asm International N.V. Modification of nanoimprint lithography templates by atomic layer deposition
JP5198106B2 (ja) 2008-03-25 2013-05-15 東京エレクトロン株式会社 成膜装置、及び成膜方法
US20090269507A1 (en) 2008-04-29 2009-10-29 Sang-Ho Yu Selective cobalt deposition on copper surfaces
US8114301B2 (en) 2008-05-02 2012-02-14 Micron Technology, Inc. Graphoepitaxial self-assembly of arrays of downward facing half-cylinders
TW201013961A (en) 2008-07-16 2010-04-01 Applied Materials Inc Hybrid heterojunction solar cell fabrication using a metal layer mask
JP2012501550A (ja) 2008-08-27 2012-01-19 アプライド マテリアルズ インコーポレイテッド 印刷誘電体障壁を使用するバックコンタクト太陽電池
US8425739B1 (en) 2008-09-30 2013-04-23 Stion Corporation In chamber sodium doping process and system for large scale cigs based thin film photovoltaic materials
WO2010062582A2 (en) 2008-10-27 2010-06-03 Applied Materials, Inc. Vapor deposition method for ternary compounds
KR20110103988A (ko) 2008-12-01 2011-09-21 이 아이 듀폰 디 네모아 앤드 캄파니 유기 전자 소자용 애노드
US20100147396A1 (en) 2008-12-15 2010-06-17 Asm Japan K.K. Multiple-Substrate Transfer Apparatus and Multiple-Substrate Processing Apparatus
US7927942B2 (en) 2008-12-19 2011-04-19 Asm International N.V. Selective silicide process
US9379011B2 (en) 2008-12-19 2016-06-28 Asm International N.V. Methods for depositing nickel films and for making nickel silicide and nickel germanide
US8242019B2 (en) 2009-03-31 2012-08-14 Tokyo Electron Limited Selective deposition of metal-containing cap layers for semiconductor devices
US8071452B2 (en) 2009-04-27 2011-12-06 Asm America, Inc. Atomic layer deposition of hafnium lanthanum oxides
US20100314765A1 (en) 2009-06-16 2010-12-16 Liang Wen-Ping Interconnection structure of semiconductor integrated circuit and method for making the same
JP5359642B2 (ja) 2009-07-22 2013-12-04 東京エレクトロン株式会社 成膜方法
MX2012001115A (es) 2009-07-31 2012-03-21 Akzo Nobel Chemicals Int Bv Proceso para la preparacion de un sustrato recubierto, el sustrato recubierto, y uso del mismo.
KR101129090B1 (ko) 2009-09-01 2012-04-13 성균관대학교산학협력단 패턴화된 세포 배양용 기판의 제조방법, 패턴화된 세포 배양용 기판, 세포의 패턴화된 배양 방법, 및 패턴화된 세포칩
US8318249B2 (en) 2009-11-20 2012-11-27 Eastman Kodak Company Method for selective deposition and devices
US8481355B2 (en) 2009-12-15 2013-07-09 Primestar Solar, Inc. Modular system and process for continuous deposition of a thin film layer on a substrate
US8562750B2 (en) 2009-12-17 2013-10-22 Lam Research Corporation Method and apparatus for processing bevel edge
JP5222864B2 (ja) 2010-02-17 2013-06-26 株式会社ジャパンディスプレイイースト 液晶表示装置の製造方法
US8293658B2 (en) 2010-02-17 2012-10-23 Asm America, Inc. Reactive site deactivation against vapor deposition
JP5373669B2 (ja) 2010-03-05 2013-12-18 東京エレクトロン株式会社 半導体装置の製造方法
US8178439B2 (en) 2010-03-30 2012-05-15 Tokyo Electron Limited Surface cleaning and selective deposition of metal-containing cap layers for semiconductor devices
TWI529808B (zh) 2010-06-10 2016-04-11 Asm國際股份有限公司 使膜選擇性沈積於基板上的方法
WO2011160004A1 (en) 2010-06-18 2011-12-22 Cambridge Nanotech Inc. Method and apparatus for precursor delivery
US8716130B2 (en) 2010-07-01 2014-05-06 Tokyo Electron Limited Method of manufacturing semiconductor device
US8357608B2 (en) 2010-08-09 2013-01-22 International Business Machines Corporation Multi component dielectric layer
US9487600B2 (en) 2010-08-17 2016-11-08 Uchicago Argonne, Llc Ordered nanoscale domains by infiltration of block copolymers
US8945305B2 (en) 2010-08-31 2015-02-03 Micron Technology, Inc. Methods of selectively forming a material using parylene coating
TW201224190A (en) 2010-10-06 2012-06-16 Applied Materials Inc Atomic layer deposition of photoresist materials and hard mask precursors
WO2012066977A1 (ja) 2010-11-19 2012-05-24 株式会社日立国際電気 半導体装置の製造方法、基板処理方法および基板処理装置
US20120219824A1 (en) 2011-02-28 2012-08-30 Uchicago Argonne Llc Atomic layer deposition of super-conducting niobium silicide
US8980418B2 (en) 2011-03-24 2015-03-17 Uchicago Argonne, Llc Sequential infiltration synthesis for advanced lithography
JP2012209393A (ja) 2011-03-29 2012-10-25 Tokyo Electron Ltd クリーニング方法及び成膜方法
US8871617B2 (en) 2011-04-22 2014-10-28 Asm Ip Holding B.V. Deposition and reduction of mixed metal oxide thin films
US8771807B2 (en) 2011-05-24 2014-07-08 Air Products And Chemicals, Inc. Organoaminosilane precursors and methods for making and using same
TWI541938B (zh) 2011-06-03 2016-07-11 諾菲勒斯系統公司 用於互連的含金屬及矽覆蓋層
KR20130007059A (ko) 2011-06-28 2013-01-18 삼성전자주식회사 반도체 장치의 제조 방법
EP2557132B1 (en) 2011-08-10 2018-03-14 3M Innovative Properties Company Multilayer adhesive film, in particular for bonding optical sensors
CN102332395B (zh) 2011-09-23 2014-03-05 复旦大学 一种选择性淀积栅氧和栅电极的方法
JP6202798B2 (ja) 2011-10-12 2017-09-27 エーエスエム インターナショナル エヌ.ヴェー.Asm International N.V. 酸化アンチモン膜の原子層堆積
TWI627303B (zh) 2011-11-04 2018-06-21 Asm國際股份有限公司 將摻雜氧化矽沉積在反應室內的基底上的方法
KR20130056608A (ko) * 2011-11-22 2013-05-30 에스케이하이닉스 주식회사 상변화 메모리 장치 및 그의 제조방법
US9112003B2 (en) 2011-12-09 2015-08-18 Asm International N.V. Selective formation of metallic films on metallic surfaces
US20130157409A1 (en) 2011-12-16 2013-06-20 Kaushik Vaidya Selective atomic layer deposition of passivation layers for silicon-based photovoltaic devices
US8623468B2 (en) 2012-01-05 2014-01-07 Taiwan Semiconductor Manufacturing Company, Ltd. Methods of fabricating metal hard masks
US9238865B2 (en) 2012-02-06 2016-01-19 Asm Ip Holding B.V. Multiple vapor sources for vapor deposition
JP6020239B2 (ja) 2012-04-27 2016-11-02 東京エレクトロン株式会社 成膜方法及び成膜装置
JP5862459B2 (ja) 2012-05-28 2016-02-16 東京エレクトロン株式会社 成膜方法
JP5966618B2 (ja) 2012-05-28 2016-08-10 東京エレクトロン株式会社 成膜方法
US20130323930A1 (en) 2012-05-29 2013-12-05 Kaushik Chattopadhyay Selective Capping of Metal Interconnect Lines during Air Gap Formation
US11037923B2 (en) 2012-06-29 2021-06-15 Intel Corporation Through gate fin isolation
JP6040609B2 (ja) 2012-07-20 2016-12-07 東京エレクトロン株式会社 成膜装置及び成膜方法
US8890264B2 (en) 2012-09-26 2014-11-18 Intel Corporation Non-planar III-V field effect transistors with conformal metal gate electrode and nitrogen doping of gate dielectric interface
US9099490B2 (en) 2012-09-28 2015-08-04 Intel Corporation Self-aligned structures and methods for asymmetric GaN transistors and enhancement mode operation
JP2014093331A (ja) 2012-10-31 2014-05-19 Tokyo Electron Ltd 重合膜の成膜方法、成膜装置の環境維持方法、成膜装置、並びに電子製品の製造方法
US9330899B2 (en) 2012-11-01 2016-05-03 Asm Ip Holding B.V. Method of depositing thin film
US8963135B2 (en) 2012-11-30 2015-02-24 Intel Corporation Integrated circuits and systems and methods for producing the same
US8993404B2 (en) 2013-01-23 2015-03-31 Intel Corporation Metal-insulator-metal capacitor formation techniques
US9566609B2 (en) 2013-01-24 2017-02-14 Corning Incorporated Surface nanoreplication using polymer nanomasks
JP5949586B2 (ja) 2013-01-31 2016-07-06 東京エレクトロン株式会社 原料ガス供給装置、成膜装置、原料の供給方法及び記憶媒体
US20140227461A1 (en) 2013-02-14 2014-08-14 Dillard University Multiple Beam Pulsed Laser Deposition Of Composite Films
US10573511B2 (en) 2013-03-13 2020-02-25 Asm Ip Holding B.V. Methods for forming silicon nitride thin films
US9147574B2 (en) 2013-03-14 2015-09-29 Tokyo Electron Limited Topography minimization of neutral layer overcoats in directed self-assembly applications
US9564309B2 (en) 2013-03-14 2017-02-07 Asm Ip Holding B.V. Si precursors for deposition of SiN at low temperatures
US9159558B2 (en) 2013-03-15 2015-10-13 International Business Machines Corporation Methods of reducing defects in directed self-assembled structures
US9136110B2 (en) 2013-03-15 2015-09-15 Tokyo Electron Limited Multi-step bake apparatus and method for directed self-assembly lithography control
US20140273290A1 (en) 2013-03-15 2014-09-18 Tokyo Electron Limited Solvent anneal processing for directed-self assembly applications
JP2014188656A (ja) 2013-03-28 2014-10-06 Tokyo Electron Ltd 中空構造体の製造方法
US9552979B2 (en) 2013-05-31 2017-01-24 Asm Ip Holding B.V. Cyclic aluminum nitride deposition in a batch reactor
KR102099841B1 (ko) 2013-06-28 2020-04-13 인텔 코포레이션 선택적 에피택셜 성장된 iii-v족 재료 기반 디바이스
US9017526B2 (en) 2013-07-08 2015-04-28 Lam Research Corporation Ion beam etching system
US9362163B2 (en) 2013-07-30 2016-06-07 Lam Research Corporation Methods and apparatuses for atomic layer cleaning of contacts and vias
JP6111171B2 (ja) 2013-09-02 2017-04-05 東京エレクトロン株式会社 成膜方法及び成膜装置
CA3009048A1 (en) 2013-09-20 2015-03-26 Baker Hughes, A Ge Company, Llc Composites for use in stimulation and sand control operations
KR102138719B1 (ko) 2013-09-27 2020-07-28 인텔 코포레이션 인접한 영역들 위로의 층들의 침범을 제한하는 것을 포함한 선택적 화학 반응에 의한 작은 영역들 위에서의 재료 층들의 형성
US9385033B2 (en) 2013-09-27 2016-07-05 Intel Corporation Method of forming a metal from a cobalt metal precursor
US9067958B2 (en) 2013-10-14 2015-06-30 Intel Corporation Scalable and high yield synthesis of transition metal bis-diazabutadienes
US20150118863A1 (en) 2013-10-25 2015-04-30 Lam Research Corporation Methods and apparatus for forming flowable dielectric films having low porosity
JP2015111563A (ja) 2013-11-06 2015-06-18 Dowaエレクトロニクス株式会社 銅粒子分散液およびそれを用いた導電膜の製造方法
TW201525173A (zh) 2013-12-09 2015-07-01 Applied Materials Inc 選擇性層沉積之方法
US9236292B2 (en) 2013-12-18 2016-01-12 Intel Corporation Selective area deposition of metal films by atomic layer deposition (ALD) and chemical vapor deposition (CVD)
MY182653A (en) 2013-12-19 2021-01-27 Intel Corp Self-aligned gate edge and local interconnect and method to fabricate same
US9455150B2 (en) 2013-12-24 2016-09-27 Intel Corporation Conformal thin film deposition of electropositive metal alloy films
TWI661072B (zh) 2014-02-04 2019-06-01 荷蘭商Asm Ip控股公司 金屬、金屬氧化物與介電質的選擇性沈積
TWI624515B (zh) 2014-02-10 2018-05-21 國立清華大學 無機-有機複合氧化物聚合體及其製備方法
JP6254459B2 (ja) 2014-02-27 2017-12-27 東京エレクトロン株式会社 重合膜の耐薬品性改善方法、重合膜の成膜方法、成膜装置、および電子製品の製造方法
US20150275355A1 (en) 2014-03-26 2015-10-01 Air Products And Chemicals, Inc. Compositions and methods for the deposition of silicon oxide films
CN106164332B (zh) 2014-03-27 2019-03-19 英特尔公司 用于光辅助金属原子层沉积(ald)和化学气相沉积(cvd)的前体和工艺设计
EP3123497A4 (en) 2014-03-28 2017-11-01 Intel Corporation Selective epitaxially grown iii-v materials based devices
US10047435B2 (en) 2014-04-16 2018-08-14 Asm Ip Holding B.V. Dual selective deposition
US9406523B2 (en) 2014-06-19 2016-08-02 Applied Materials, Inc. Highly selective doped oxide removal method
KR20160031903A (ko) * 2014-09-15 2016-03-23 에스케이하이닉스 주식회사 전자 장치 및 그 제조 방법
US10062564B2 (en) 2014-12-15 2018-08-28 Tokyo Electron Limited Method of selective gas phase film deposition on a substrate by modifying the surface using hydrogen plasma
US11021630B2 (en) 2014-12-30 2021-06-01 Rohm And Haas Electronic Materials Llc Copolymer formulation for directed self assembly, methods of manufacture thereof and articles comprising the same
US9816180B2 (en) 2015-02-03 2017-11-14 Asm Ip Holding B.V. Selective deposition
US9490145B2 (en) 2015-02-23 2016-11-08 Asm Ip Holding B.V. Removal of surface passivation
US9613831B2 (en) 2015-03-25 2017-04-04 Qorvo Us, Inc. Encapsulated dies with enhanced thermal performance
US9777025B2 (en) 2015-03-30 2017-10-03 L'Air Liquide, Société pour l'Etude et l'Exploitation des Procédés Georges Claude Si-containing film forming precursors and methods of using the same
US9502238B2 (en) 2015-04-03 2016-11-22 Lam Research Corporation Deposition of conformal films by atomic layer deposition and atomic layer etch
US9646883B2 (en) 2015-06-12 2017-05-09 International Business Machines Corporation Chemoepitaxy etch trim using a self aligned hard mask for metal line to via
KR102475024B1 (ko) 2015-06-18 2022-12-07 타호 리서치 리미티드 제2 또는 제3 행 전이 금속 박막들의 퇴적을 위한 선천적으로 선택적인 전구체들
US10428421B2 (en) 2015-08-03 2019-10-01 Asm Ip Holding B.V. Selective deposition on metal or metallic surfaces relative to dielectric surfaces
US10121699B2 (en) 2015-08-05 2018-11-06 Asm Ip Holding B.V. Selective deposition of aluminum and nitrogen containing material
US10566185B2 (en) 2015-08-05 2020-02-18 Asm Ip Holding B.V. Selective deposition of aluminum and nitrogen containing material
US10343186B2 (en) 2015-10-09 2019-07-09 Asm Ip Holding B.V. Vapor phase deposition of organic films
US10695794B2 (en) 2015-10-09 2020-06-30 Asm Ip Holding B.V. Vapor phase deposition of organic films
US20170107413A1 (en) 2015-10-19 2017-04-20 Liang Wang Anti-icing composition driven by catalytic hydrogen generation under subzero temperatures
US9455138B1 (en) 2015-11-10 2016-09-27 Asm Ip Holding B.V. Method for forming dielectric film in trenches by PEALD using H-containing gas
US9786491B2 (en) 2015-11-12 2017-10-10 Asm Ip Holding B.V. Formation of SiOCN thin films
US9786492B2 (en) 2015-11-12 2017-10-10 Asm Ip Holding B.V. Formation of SiOCN thin films
US9349687B1 (en) 2015-12-19 2016-05-24 International Business Machines Corporation Advanced manganese/manganese nitride cap/etch mask for air gap formation scheme in nanocopper low-K interconnect
US20170298503A1 (en) 2016-04-18 2017-10-19 Asm Ip Holding B.V. Combined anneal and selective deposition systems
KR102182550B1 (ko) 2016-04-18 2020-11-25 에이에스엠 아이피 홀딩 비.브이. 유도된 자기-조립층을 기판 상에 형성하는 방법
US10204782B2 (en) 2016-04-18 2019-02-12 Imec Vzw Combined anneal and selective deposition process
US11081342B2 (en) 2016-05-05 2021-08-03 Asm Ip Holding B.V. Selective deposition using hydrophobic precursors
US10453701B2 (en) 2016-06-01 2019-10-22 Asm Ip Holding B.V. Deposition of organic films
US10373820B2 (en) 2016-06-01 2019-08-06 Asm Ip Holding B.V. Deposition of organic films
US10014212B2 (en) 2016-06-08 2018-07-03 Asm Ip Holding B.V. Selective deposition of metallic films
US9803277B1 (en) 2016-06-08 2017-10-31 Asm Ip Holding B.V. Reaction chamber passivation and selective deposition of metallic films
US9805974B1 (en) 2016-06-08 2017-10-31 Asm Ip Holding B.V. Selective deposition of metallic films
US9972695B2 (en) 2016-08-04 2018-05-15 International Business Machines Corporation Binary metal oxide based interlayer for high mobility channels
US10186420B2 (en) 2016-11-29 2019-01-22 Asm Ip Holding B.V. Formation of silicon-containing thin films
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US9911595B1 (en) 2017-03-17 2018-03-06 Lam Research Corporation Selective growth of silicon nitride
JP6832776B2 (ja) 2017-03-30 2021-02-24 東京エレクトロン株式会社 選択成長方法
WO2018204709A1 (en) 2017-05-05 2018-11-08 Asm Ip Holding B.V. Plasma enhanced deposition processes for controlled formation of oxygen containing thin films
KR20240112368A (ko) 2017-05-16 2024-07-18 에이에스엠 아이피 홀딩 비.브이. 유전체 상에 옥사이드의 선택적 peald
US10900120B2 (en) 2017-07-14 2021-01-26 Asm Ip Holding B.V. Passivation against vapor deposition
US10763108B2 (en) 2017-08-18 2020-09-01 Lam Research Corporation Geometrically selective deposition of a dielectric film
US10283710B2 (en) 2017-09-05 2019-05-07 Sandisk Technologies Llc Resistive random access memory device containing replacement word lines and method of making thereof
JP7146690B2 (ja) 2018-05-02 2022-10-04 エーエスエム アイピー ホールディング ビー.ブイ. 堆積および除去を使用した選択的層形成

Also Published As

Publication number Publication date
US20200343089A1 (en) 2020-10-29
US10847361B2 (en) 2020-11-24
US20170040164A1 (en) 2017-02-09
JP2017041632A (ja) 2017-02-23
KR20170017779A (ko) 2017-02-15
US10566185B2 (en) 2020-02-18
TW201718923A (zh) 2017-06-01
TWI708858B (zh) 2020-11-01

Similar Documents

Publication Publication Date Title
JP6813983B2 (ja) アルミニウム及び窒素を含む材料の選択的堆積
US10903113B2 (en) Selective deposition of aluminum and nitrogen containing material
US10991573B2 (en) Uniform deposition of SiOC on dielectric and metal surfaces
KR102434954B1 (ko) 금속 표면들 상에 블로킹 층들을 증착시키기 위한 방법들
JP5551681B2 (ja) アルミニウム炭化水素化合物を使用する金属炭化物膜の原子層堆積
US11139383B2 (en) Titanium aluminum and tantalum aluminum thin films
KR102033391B1 (ko) 금속 규화물들의 선택적 형성
JP2008184688A (ja) 窒化タンタル膜のプラズマald
KR20220053635A (ko) 저-k 막들
TW202021046A (zh) 形成具有嵌入式阻障層的穿孔之方法
US9236467B2 (en) Atomic layer deposition of hafnium or zirconium alloy films
US20210388499A1 (en) Low-k films
TWI515803B (zh) 矽化鉭內的摻雜鋁
KR102597990B1 (ko) 알루미늄 및 질소를 포함하는 물질의 선택적 증착 방법
US10366879B2 (en) Dry and wet etch resistance for atomic layer deposited TiO2 for SIT spacer application

Legal Events

Date Code Title Description
A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20190711

A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20200820

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20200901

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20201127

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20201208

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20201218

R150 Certificate of patent or registration of utility model

Ref document number: 6813983

Country of ref document: JP

Free format text: JAPANESE INTERMEDIATE CODE: R150

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250