JP4666912B2 - プラズマで補強した原子層蒸着装置及びこれを利用した薄膜形成方法 - Google Patents

プラズマで補強した原子層蒸着装置及びこれを利用した薄膜形成方法 Download PDF

Info

Publication number
JP4666912B2
JP4666912B2 JP2003527783A JP2003527783A JP4666912B2 JP 4666912 B2 JP4666912 B2 JP 4666912B2 JP 2003527783 A JP2003527783 A JP 2003527783A JP 2003527783 A JP2003527783 A JP 2003527783A JP 4666912 B2 JP4666912 B2 JP 4666912B2
Authority
JP
Japan
Prior art keywords
gas
wall
plasma
reactor
shower head
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Expired - Lifetime
Application number
JP2003527783A
Other languages
English (en)
Other versions
JP2005502784A5 (ja
JP2005502784A (ja
Inventor
チュン ス イ
ミン サプ オ
ヒュン サン パク
Original Assignee
エー・エス・エムジニテックコリア株式会社
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by エー・エス・エムジニテックコリア株式会社 filed Critical エー・エス・エムジニテックコリア株式会社
Publication of JP2005502784A publication Critical patent/JP2005502784A/ja
Publication of JP2005502784A5 publication Critical patent/JP2005502784A5/ja
Application granted granted Critical
Publication of JP4666912B2 publication Critical patent/JP4666912B2/ja
Anticipated expiration legal-status Critical
Expired - Lifetime legal-status Critical Current

Links

Images

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45527Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations
    • C23C16/45536Use of plasma, radiation or electromagnetic fields
    • C23C16/4554Plasma being used non-continuously in between ALD reactions
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/4412Details relating to the exhausts, e.g. pumps, filters, scrubbers, particle traps
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45502Flow conditions in reaction chamber
    • C23C16/45508Radial flow
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45519Inert gas curtains
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45544Atomic layer deposition [ALD] characterized by the apparatus
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45563Gas nozzles
    • C23C16/45565Shower nozzles
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45587Mechanical means for changing the gas flow
    • C23C16/45591Fixed means, e.g. wings, baffles
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/50Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/50Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges
    • C23C16/505Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges using radio frequency discharges
    • C23C16/509Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges using radio frequency discharges using internal electrodes
    • C23C16/5096Flat-bed apparatus
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/3244Gas supply means
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/3244Gas supply means
    • H01J37/32449Gas control, e.g. control of the gas flow
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/0217Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon nitride not containing oxygen, e.g. SixNy or SixByNz
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/0228Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition deposition by cyclic CVD, e.g. ALD, ALE, pulsed CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/283Deposition of conductive or insulating materials for electrodes conducting electric current
    • H01L21/285Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation
    • H01L21/28506Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers
    • H01L21/28512Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic Table
    • H01L21/28556Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic Table by chemical means, e.g. CVD, LPCVD, PECVD, laser CVD
    • H01L21/28562Selective deposition
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/314Inorganic layers
    • H01L21/3141Deposition using atomic layer deposition techniques [ALD]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/314Inorganic layers
    • H01L21/318Inorganic layers composed of nitrides

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Physics & Mathematics (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • Materials Engineering (AREA)
  • Plasma & Fusion (AREA)
  • Manufacturing & Machinery (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Analytical Chemistry (AREA)
  • Electromagnetism (AREA)
  • Chemical Vapour Deposition (AREA)

Description

本発明はプラズマで補強した原子層蒸着(Atomic Layer Deposition;ALD)装置及びこれを利用した薄膜形成方法に関する。特に、工程気体の時分割組合わせを周期的に反復して反応器に供給し、この時に前記工程気体の供給周期と時間とを適切に合せて工程反応を促す目的でプラズマを前記基板上部で発生させ、反応を効果的に起こすことによって原子層厚さの薄い薄膜を作製できる、プラズマで補強したALD装置及びこれを利用して薄膜を蒸着する方法に関する。
従来の半導体集積技術の発達につれて均一かつ均質の薄膜を蒸着させる工程は、半導体製造工程のうち重要な部分の一つとなっている。ここで薄膜は絶縁体であっても良く、導体であっても良い。薄膜形成の代表的な方法は、化学気相蒸着(Chemical Vapor Deposition;CVD)法と物理気相蒸着(Physical Vapor Deposition;PVD)法とに大別される。ここで、CVD法は、通常的に100℃ないし1000℃の温度に加熱された基板の表面で気体状態の物質を反応させ、その反応の結果によって生成された化合物を基板の表面に蒸着させる方法である。一方、PVD法は、その代表的な例としてスパッタリング蒸着またはスパッタリングと呼ばれる方法であるが、この方法も真空状態の反応器内で行われるが、反応器内に流入された、例えば、アルゴン(Ar)気体がプラズマにより陽極にイオン化されれば反応器内に位置したターゲットに向かって引張られるが、イオン化されたAr原子がターゲットに近づくほどさらに加速されてターゲットと衝突するようになれば、ターゲットの材料を打ってターゲットの材料が分散されて基板に蒸着されるが、この時にターゲットが化学的または構造的変化なく基板上に蒸着される。このPVD法の長所は、合金属や絶縁体の蒸着が可能であるということである。しかし、CVD法は、PVD法に比べて薄膜が蒸着される基板への損傷が少なく、薄膜の蒸着コストが低く、かつ薄膜工程の大量進行が可能であるという長所があって広く使われている。
しかし、最近、半導体素子の集積度がマイクロメーターからナノメーター単位に下がる水準まで向上するにつれて、従来方式のCVD法では基板にナノメーター単位の均一な厚さの薄膜を形成したり、良好な段差被覆性を得るのに難点があり、特に基板にマイクロメーターより小さなコンタクトホール、ビアまたは溝のような縦横比が高い段差が存在する場合、位置に関係なく均一な材質組成を有する薄膜を作るにも難点がある。
したがって、従来の技術であらゆる工程気体を同時に流入(供給)、流出(排気)させるCVD法とは違って工程気体が気相で合わないように経時的に順次短時間に取り替える過程を通じて原子層を段階別に反復して形成するALD法が新しい薄膜形成方法として開発されており、また使われつつある。前記のALD方式を利用すれば、基板表面に吸着される物質、すなわち薄膜の構成元素を含む化学分子のみによって蒸着が発生し、これらの吸着量は一般的に基板上で一分子厚さの非常に薄い単層レベル以下に自体制限されるために、気体状態に供給される工程気体の量に関係なく基板全体にわたって均一に形成される。したがって、縦横比が高くて段差が激しい場合にも段差の位置に関係なく一定の厚さの膜を形成でき、数ナノメーター厚さの非常に薄い膜を形成でき、工程過程の時分割組合わせを調整することによってその厚さの調節が可能である。また、工程気体の供給周期当り蒸着される薄膜の厚さがほとんど一定なために供給周期回数にしたがって正確な膜厚さの調節が可能である。
従来のALD法においては、時分割で反応器に供給される気体原料間の気体状態での混合を回避するために、既に反応器に供給された蒸着気体または反応気体の、基板の表面に吸着された部分を除いた残りの気体状態の蒸着気体または反応気体分子が全部除去されねばならないため、この気体を数秒間真空排気させたり、Arのような不活性気体でパージさせる過程が前記気体原料供給及び排気周期に含まれねばならない。
したがって、数秒単位の速い速度で工程気体の供給/排気交替が不可能な従来のCVD装置を使用すれば、工程気体の交替に必要な時間が長くなって所定厚さの薄膜を得るのに蒸着時間が相対的に長くなる短所がある。蒸着時間が長くなれば一つの装備が単位時間に処理できるウェーハの数が減るために工程コストが高まる。したがって、ALD法を半導体生産に適用するために蒸着時間を縮める必要がある。
図1A及び図1Bは、従来CVD装置の概略的な構造を示す図面である。
第1のALD装置の先行技術の例として図1Aを参照すれば、反応器100の上部に蒸着気体、反応気体、パージ気体を含む工程気体を供給する流入管120があり、側面には反応器100内の工程気体を排気するための流出管122がある。そして、反応器100の内部には基板支持台116があり、その上部に基板110が装着される。反応器100内にはシャワーヘッド112が装着されるが、その上部は工程気体流入管120に連結される。そして、反応器100下には基板110を基板支持台116に着脱するために基板支持台116を上下に動かす基板支持台駆動部118が設置されており、基板支持台116は駆動部118に連結されている。
反応室114内の工程気体は反応器100の壁と基板支持台116間の空間を経て流出管122に排気される。この先行技術では流出管122と流入管120とが基板110を中心に対称をなせずに一方に偏っているために、工程気体の流れが流出管122側に偏重されるようになる。
このように、基板110に薄膜を蒸着する時に反応室114内で工程気体の流れが一方に偏重されるようになれば、工程気体が基板110の全面にわたって均一に供給されないので工程気体の供給が足りない部位に薄膜が薄く蒸着される恐れがある。したがって、反応室114内で工程気体の偏重現象をなくすためには、流出管122を薄膜が蒸着される基板110から遠く離隔させたり、工程気体が基板110上で均等に流れるように作用する装置を備えたり、または流出管をこのような均等流れ条件を満たす場所に位置させねばならない。しかし、流出管122を薄膜が蒸着される基板110から遠く離隔させれば反応室114の体積が大きくなる。それにより、同一工程にかかる工程気体の量が増加して工程コストが高まり、さらに、多様な工程気体を反応室114内に順次供給する工程では工程気体を流入/流出(交替)するのに時間がたくさんかかるという難点がある。したがって、ALDを行うに当って工程時間が長くなる。
しかも、この先行技術の例では流出管122を通じて排気される工程気体に基板支持台116及び基板支持台駆動部118が露出される。この部位に不要に薄膜が蒸着される。このように不要に蒸着された膜は後の工程に有害な微粒子の発生原因として作用するだけでなく、反応器100内に装着された部品の誤作動の原因となることもある。
図1Bに示した第2の先行技術は、図1Aに示した第1先行技術で発生する問題点を解決するために提案されたものであって、流入管220と流出管222とが対称になるように流出管222を反応器200の下部中央に配置したものである。
具体的に図1Bに示した第2の先行技術概念図を参照すれば、ここでは図1Aの場合とは違って、反応室214内での工程気体の流動が基板210に対称になるように構成されているが、基板支持台216及び基板駆動部218が工程気体に露出される問題はやはり存在し、また基板支持台216の駆動に必要な基板支持台駆動部218が最小限の空間を必要とするために反応室214の体積を狭め難く、ALD工程の工程時間を短縮し難い。
図2は、従来のALD法を説明するために示した図面である。縦軸は工程気体の流量を、横軸は工程時間を表示する。
図2を参照すれば、ALDのための工程周期は第1の原料気体供給310→パージ312→第2の原料気体供給314→パージ312で構成される。パージ段階では反応室を真空に排気したり、不活性のパージ気体を反応室に流してその前に供給した原料気体を反応室から除去する。従来のALD法では、原料気体間の反応性が非常に高ければ気相に残留する若干の原料気体も粒子発生の原因となる恐れがあるのでパージ時間を延ばす必要がある。原料気体間の反応性が低いか、反応に時間が長くかかれば原料供給時間を十分に長くしなければならないので蒸着時間が延びる問題がある。
したがって、このような問題点を解決するために、最近に本発明の発明者らにより反応性を高め、かつパージ時間を短縮して蒸着速度が向上して蒸着装置の生産性が高まる、プラズマで補強したALD方式が大韓民国特許0273473号公報に公開された。
プラズマで補強したALD方式が前記ALD方式と異なる点は、まず互いに反応性が低い原料気体を使用しても高い蒸着速度を得られるという点である。従来には前記のように反応性が低い気体原料を使用する場合、基板上で反応が容易になされずに薄膜が蒸着されない問題点があったが、プラズマで補強したALD方式では、工程気体のプラズマにより反応性が高いラジカル及びイオンが形成され、これらが反応に参加することによって反応速度を高めうる。
ALD法、特にプラズマで補強したALD方式を実施できる装備の例として、大韓民国特許出願第99−23078号(化学蒸着反応器)が公開された。この発明は反応器内の体積を減らし、工程気体が基板の上部を流れる時にその流入/流出を均一にし、またプラズマを発生させうる構造及び装置を提示した。しかし、この装置で導電性薄膜を形成する場合には、RF電力を加えるための両電極が導電性薄膜により電気的に短絡されて反応器にプラズマを形成できない問題が生じるため、この装置では導電性薄膜をプラズマで補強したALD方式で形成できない。
本発明が解決しようとする技術的課題は、ALDを行うために工程気体の交替が工程中に短時間に反応器内でなされるように反応器を構成し、かつプラズマが反応器内の基板表面部位で発生するように反応器を設計することによって、複数の基板に導電性薄膜を蒸着しても電気的な短絡現象が反応器内で起きないように防止しながらも、ALDを容易に行って良質の薄膜が形成されるプラズマで補強されたALD装置を提供するところにある。
本発明の他の技術的課題は、前記技術的課題によるプラズマで補強されたALD装置を利用して、原料気体が互いに反応しないか、または反応性が非常に弱い場合にも効果的に良質の薄膜を形成できる薄膜形成方法を提供するところにある。
前記技術的課題を達成するための本発明のプラズマで補強したALD装置は、基板を支持する基板支持台と、基板支持台が装着されている反応器とがあり、この反応器内には工程気体を均一に基板上に供給するシャワーヘッド、工程気体を流入/流出する気体流入管及び気体流出管、そして基板上での蒸着工程の進行を促すためのプラズマ発生装置が装着されている。また、工程気体を時分割の組合わせで流入(供給)/流出(排気)する工程気体供給/排気システムと、システム全体を制御する制御器とが設置されている。
本発明によるプラズマで補強したALD装備をより具体的に説明すれば、工程気体供給/排気システムは、工程気体の流入(供給)/流出(排気)を反応器内で短い時間間隔で時分割組合わせ形式で起こし、また制御器は反応器自体、反応器内のプラズマ発生装置そして気体排気ポンプを含む工程気体供給と排気システム、そして反応器システムを制御する。
本発明によれば、工程気体が反応器内の基板上に均一に供給されるように気体流入管及び気体流出管を基板を中心に対称になるように装着する。このようにするためには基板の中心部及び周辺部に気体流入管及び気体流出管を位置させる。次に説明する実施例では、気体流入管及び流出管を反応器の上部中央に位置させ、二重開口管を使用した。しかし、例えば、気体流入管を基板の周辺部位に位置させることもある。反応器の中央に工程気体を均一に分散させるシャワーヘッドが装着されているが、このシャワーヘッドは本発明によれば、先端部に行くにつれて広がる典型的なラッパ状である。その広がる程度は、中へS状に曲がるラッパ状になることもあり、その形態は多様である。しかし、共通点は、流入管に工程気体が流入されれば気体がシャワーヘッドの内部表面に沿って均一に分散されるようにシャワーヘッドの内部表面の形態を流線型にすることである。このシャワーヘッドの下部には基板と平行に孔がいくつかあけられた気体分散グリッドを設置し、工程気体を均一に分散させて基板表面部位に広げる。シャワーヘッドをラッパ状にした理由は、工程気体が占める体積を減らし、シャワーヘッド内部に角がある場合には工程気体が留まってその角でうず巻き現象が起きて工程気体が均一に分布されることを妨害することがなく、ラッパ状自体が工程気体の流れを均一にする役割をするからである。
本発明によれば、シャワーヘッドをなす体積調節ラッパ及び気体分散グリッドだけがプラズマの(+)電極であり、残りの金属でなっている部品は全て(−)電極である。したがって、プラズマは反応器内の反応室でだけ発生する。言い換えれば、プラズマはシャワーヘッドと基板支持台間で発生し、主に基板表面部位で発生する。
電気が通じない材料で形成されたシャワーヘッド絶縁壁がシャワーヘッドの上面及び側面を取り囲み、シャワーヘッドを反応器に固定させる。シャワーヘッド絶縁壁の表面に導電性膜が形成されることを防止するためにシャワーヘッド絶縁壁と反応器壁間にプラズマ発生遮断膜を配置する。プラズマ発生遮断膜とシャワーヘッド絶縁壁間の通路に不活性気体を流しつづけて反応室に供給された気体がプラズマ発生遮断膜とシャワーヘッド絶縁壁間の通路に入ることを防止して、前記シャワーヘッド絶縁壁表面に伝導性膜が形成されることを防止する。
シャワーヘッドにはRF電力を加えるための高周波接続端子が連結される。この高周波接続端子を管状の金属で作ってこの管を通じてRF電力を供給し、シャワーヘッド絶縁壁とプラズマ発生遮断膜間に流す不活性気体を供給する。
本発明によれば、気体流入管とシャワーヘッドとは絶縁体よりなる微細穿孔管で連結されているが、この微細穿孔管には中間に複数の微細な管があり、これによって工程気体はシャワーヘッドに流入されるが、蒸着気体や反応気体が存在したり流入される時にもプラズマはこの管を通じて逆流したり漏れたりはしない。この微細穿孔管の長さ及びその内部の微細管の直径はプラズマが流出しない程度に設定すればよい。
本発明によれば、反応器の下部に基板支持台があり、基板支持台と反応器壁間には気体密閉リングがあって工程気体が反応器の外部に流出または漏れることを防ぐだけでなく、工程気体が基板支持台と接触することを回避することによって基板支持台に不要な薄膜形成がおきることを防止する役割をする。不要な薄膜は、工程気体が基板支持台と接触しないためにプラズマ発生時にも形成されない。また、本発明によれば、前記気体密閉リングは、工程気体がArのような不活性気体で満たされている基板支持台の外部作業空間に漏れて基板支持台及び駆動装置に不要な金属膜が形成されて駆動障害となることを防止する役割をする。
本発明によれば、基板支持台は中央支持ピン、中央軸、複数の駆動軸によって支持され、また駆動軸に連結された空圧シリンダによって上下移動するために、基板を基板支持台に着脱可能になっている。そして、これら装備は反応器本体に取り囲まれて密閉されているが、この基板支持台の作業空間は不要な金属膜形成を防止するためにArのような不活性気体で満たされている。
前記のように、本発明によれば反応器は反応器本体で取り囲まれており、その間に不活性気体が反応器本体に装着されている不活性気体流入口/不活性気体流出口を通じて流入/流出される。空圧シリンダは反応器本体外に位置している。
本発明によれば、反応器壁及び基板支持台に加熱器が装着されていて基板及び反応器自体を必要であれば適当な温度に加熱できる。
この反応器本体は接地されているが、ここには基板支持台が駆動軸を通じて接地されており、また反応器壁も反応器本体と連結されているために反応器壁もやはり電気的に接地されている。
前記他の技術的課題を達成するために本発明は、金属元素を含む原料気体と、原料気体と単純に混合しては反応しないが、プラズマで活性化されれば原料気体と反応して膜を形成できるパージ気体を含む工程気体を準備する。前記薄膜形成のための反応がおきる前記反応室内に前記基板を装入する。気体流入管を通じて前記反応室に前記原料気体を供給する。前記蒸着気体の供給を中断し、前記気体流入管を通じて前記反応室に前記パージ気体を供給する。前記パージ気体を供給する期間のうち一部の期間に前記パージ気体を活性化させるためのプラズマを発生させるものの、前記原料気体を供給する段階、前記パージ気体を供給する段階及び前記プラズマ発生段階を所定の回数反復して導電性薄膜の形成方法を提供する。
前記気体流入管の下部には狭い配管が並列に連結された微細穿孔管が備えられており、前記工程気体は前記気体流入管から前記微細穿孔管を経て供給される。
発明を実施するための最良の態様
前記の発明に関する詳細な説明は次の実施例を通じて発明自体及びその意味が確実になる。
図3は、本発明の実施例によるプラズマで補強したALD装置を概略的に示した断面図である。
図3を参照すれば、基板556が装着される基板支持台560には基板556を加熱する加熱器608が装着されており、基板556や反応室554の温度を必要な時に高めうる。また、反応器壁522に装着されている加熱器604を使用して反応器内の温度を必要に応じて高めうる。
金属合金で作られた反応器壁522の上部には二重開口管516があり、下部には基板支持台560がある。基板支持台560及び反応器壁522は反応器内部を区画する。基板支持台560と反応器壁522との連結部分には気体密閉リング558が位置しているが、これは、工程気体が反応器壁522と基板支持台560間の連結部分を通じて外部に漏れることを防止するためのものである。気体流入管510は反応器壁522の上部に設置された二重開口部516内に装着されており、工程気体は矢印512に表示された方向に微細穿孔管536を通じてシャワーヘッドの内部552に流入される。二重開口管516の外管514は工程気体が流出される通路であり、内管510、すなわち気体流入管510は工程気体流入口である。工程気体は矢印512で表示した方向に流れる。
シャワーヘッド540、542は、体積調節ラッパ540と気体分散グリッド542とで構成されているが、体積調節ラッパ540の形態は、工程気体を均一かつ円滑に基板556上に分散させると同時にシャワーヘッド内部552の体積を最小化するためのものである。シャワーヘッド542、540をラッパ状にした理由を詳細に説明すれば、工程気体が占める体積を縮め、シャワーヘッド内部552に角がある場合には工程気体が留まってその角でうず巻き現象が起きて工程気体が均一に分布されることを妨害することがなく、ラッパ状自体が工程気体の流れを均一にする役割をするからである。体積調節ラッパ540の下部には気体分散グリッド542が装着されており、工程気体の流れをさらに均一にすることによって基板556上に工程気体を均一に分布させる役割をする。シャワーヘッド540、542と気体流入管510の下端とは微細穿孔管536で連結されており、工程気体が流入管510を通じて矢印512方向に流入され、引続き微細穿孔管536を通じてシャワーヘッド内部552に流入される。前記のように体積調節ラッパ540の形態は、シャワーヘッド内部552の体積を最小化し、また工程気体を円滑かつ均一に基板556上に流すことによって、工程気体の交替が容易かつ速く行われるように設計された。この場合、順次の工程気体の供給過程で先に流入された供給気体が不要にシャワーヘッド内部552に累積されて今後供給される気体と気体状態反応を起こすことを最小化した。二重開口部516は反応器壁522の開口部と連結されており、二重開口部516の外管は気体流出管518を通じて真空ポンプ598と連結されている。したがって、気体分散グリッド542を通じて反応室554に流入された後に分散される工程気体は、反応器壁522とプラズマ発生遮断壁528間の空間(矢印で表示した通路526)を通じて気体流出管518に流出されて真空ポンプ598に排出される。
図3で矢印は工程気体の流れ方向を表示するものである。また、反応器壁522を必要に応じて加熱器604で加熱することもある。外部から高周波電力を印加される金属管で作られた高周波接続端子566は絶縁体で作られた絶縁管568で取り囲まれており、体積調節ラッパ540及び気体分散グリッド542と電気的に(+)極で連結されているが、この絶縁管568で取り囲まれている高周波接続端子566は、反応器本体600、反応器壁522、プラズマ発生遮断壁528、シャワーヘッド絶縁壁538を貫通して体積調節ラッパ540と連結されている。ここで、絶縁管568ゆえに高周波接続端子566は反応器本体600、反応器壁522、プラズマ発生遮断壁528と電気的に絶縁されており、導体である高周波接続端子566は体積調節ラッパ540のみと電気的に連結されている。一方、シャワーヘッド絶縁壁538は絶縁体で作られたため、電気的に高周波接続端子566と絶縁されている。
一方、気体流入管510は導体であり、微細穿孔管536は絶縁体であるために、シャワーヘッド542、540は反応器壁522及び反応器本体600と電気的に遮断されている。そして、基板556及び基板支持台560は電気的に駆動軸580及び反応器本体600を通じて接地594と連結されている。したがって、高周波電力をRF発生器(図示せず)を通じて印加すればシャワーヘッド542、540(+極)と基板556(−極)間にプラズマが発生する。したがって、このプラズマにより活性化された原料気体により基板556に薄膜が蒸着される。ここで、プラズマは気体分散グリッド542と基板556間の反応室552でのみ発生する。本発明によれば、シャワーヘッド542、540を反応器に固定したシャワーヘッド絶縁壁538の表面に導電性膜が形成され、シャワーヘッド542、540と反応器の他の金属部分とが電気的に短絡されることを防止するためにプラズマ発生遮断壁528がシャワーヘッド絶縁壁538と反応器壁522間に設置されている。ここで、プラズマ発生遮断壁528を設置する理由は2種類に大別できる。第1には、プラズマ発生遮断壁528は電気的に気体流入管510及び反応器本体600を通じて接地594されている。したがって、プラズマ発生遮断壁528と反応器壁522間の通路526にはプラズマが発生せずに導電性膜が生成されないために、この通路526を工程気体流出通路として使用するためであり、第2には、シャワーヘッド絶縁壁538とプラズマ発生遮断壁528間に狭い通路544を形成することによって、シャワーヘッド絶縁壁538のうち基板支持台560と近い部分に導電性膜が形成された時にも反応器内にプラズマを発生させるためであり、また同時に通路544を通じて不活性気体を供給し続けることによって、この通路544の入口部分548にプラズマを発生させないことによってこの部位に導電性膜が形成されることを防止して、シャワーヘッド542、540と接地594間に短絡現象を起こせないためである。
前記のように、シャワーヘッド絶縁壁538とプラズマ発生遮断壁528間にArのような不活性気体を高周波接続端子566の内部管56を通じて流入し続けることによって、この不活性気体が矢印で表示した通りにシャワーヘッド絶縁壁538とプラズマ発生遮断壁528間に流入され、引続きプラズマ発生遮断壁528と反応器壁522間に流れて結局は気体流出管518を通じて真空ポンプ598に流入されて排出される。この不活性気体は、薄膜蒸着工程を進行する間に流しつづけることによって工程気体がシャワーヘッド絶縁壁538の上部及び側部が工程気体に露出されることを防止し、シャワーヘッド絶縁壁538の表面に伝導性膜が形成されることを防止する。
さらに一方では、微細穿孔管536の形態を細い直径の管で構成することによってこの微細穿孔管536の内部にプラズマが発生することを防止し、絶縁体の微細穿孔管の内側に伝導性膜が形成されることを防止した。
本発明によれば、4つの重要な発明が含まれているが、第1は、シャワーヘッドの体積調節ラッパ540の設計であり、第2は、微細穿孔管536の設計であり、第3は、シャワーヘッド絶縁壁538とプラズマ発生遮断壁528間にArのような不活性気体を持続的に流す設計であり、第4は、高周波接続端子として、導体よりなる管と、不導体よりなる絶縁管568とを使用することによってプラズマ発生装置を効率的に設計製作することである。
一方、工程気体が流れる気体流入管510とシャワーヘッド542、540間に電位差によりプラズマが発生して、シャワーヘッド542、540と気体流入管510とを連結するシャワーヘッド絶縁壁538の孔内側に導電性膜が形成されることがある。シャワーヘッド絶縁壁538の孔内側に形成された導電性膜はシャワーヘッド542、540と接地された気体流入管510間に電気的短絡を起こす恐れがある。したがって、目的としない前記部位でのプラズマ発生を抑制するために気体の流れは維持しながらも、プラズマの発生を抑制できるように複数の細い配管が並列に連結された微細穿孔管536をシャワーヘッド540、542と気体流入管510間に設置する。前記微細穿孔管536は絶縁素材で形成する。微細穿孔管536の配管はプラズマが発生しない程度の直径及び長さを有する。本実施例では、全体直径が約6mm、長さが約20mmである微細穿孔管に直径が約0.6mmの穿孔を約8つあけた。気体流入管510とシャワーヘッド542、540とを連結する絶縁素材で形成した管に穿孔を形成せず、その長さを気体分散グリッド542と基板556間の距離に比べて十分に長くしてこの管内部にプラズマが発生することを抑制できるが、このようにすれば、シャワーヘッド絶縁壁538の上部もその長さだけ厚くし、チャンバの他の部分も大きくする必要があるため、装備製作に必要な材料及びコストが高まる。
狭い空間では電場により加速された電子が電極に向って移動しながら気体原子または分子と衝突する回数が少ない。プラズマの状態が維持されるためには、電場内で十分に速く加速された電子が中性気体粒子と衝突しつつ原子または分子をイオン化させ、これらに拘束されていた電子を取り外し、これら電子が再び十分に加速された後に他の中性気体粒子と衝突して再び電子を取り外す過程が反復されねばならない。狭い空間では、中性気体粒子から電子を取り外すのに十分なエネルギーを得られるほど電子が加速される前に電子が固体に衝突してエネルギーを失うことによって中性気体粒子のイオン化過程が効果的に行われないため、狭い空間ではプラズマの発生が抑制される。
また、反応器壁522とシャワーヘッド絶縁壁538間に電位差が存在するためにここにプラズマが発生することがあり、反応室554を過ぎた工程気体がこの部分を通過するため、反応器壁522内側及びシャワーヘッド絶縁壁538外側にも導電性膜が形成されることがある。シャワーヘッド絶縁壁538表面に形成された導電性膜はシャワーヘッド542、540と接地された反応器壁522間に電気的短絡を起こすことがある。
導電性のプラズマ発生遮断壁528を反応器壁522とシャワーヘッド絶縁壁538間に設置して反応器壁522と電気的に連結すれば、プラズマ発生遮断壁528と反応器壁522間に電位差がないのでプラズマが発生しない。プラズマ発生遮断壁528とシャワーヘッド絶縁壁538間の間隔を狭めれば、この部分でプラズマが発生することを抑制できる。この場合、交流波形高周波電位がかかるシャワーヘッド540、542と接地された部分間の空間のうち相対的に広い気体分散グリッド542と基板556間の反応室554で主にプラズマが発生する。また、プラズマ発生遮断壁528とシャワーヘッド絶縁壁538間にアルゴンなどの不活性気体の流れ(矢印で表示)を維持して工程気体がこの空間548に入ることを防止できる。これに必要な不活性気体を管状の高周波接続端子566を通じて流すことができる。不活性気体は、高周波接続端子の孔564を出てきてシャワーヘッド絶縁壁538とプラズマ発生遮断壁528間の通路544、548を流れる。この時、シャワーヘッド絶縁壁538の上面と対向するプラズマ発生遮断壁528に気体が流れやすい通路624、626、628を形成することが望ましい。また、高周波接続端子566を通じて供給された不活性気体がプラズマ発生遮断壁528とシャワーヘッド絶縁壁538間の通路に均一に流れるようにシャワーヘッド絶縁壁538の上面またはこれと対向するプラズマ発生遮断壁528に溝624、626を掘って対称形の緩衝通路を提供することが望ましい。このようにして、高周波接続端子56がシャワーヘッド542、540の中央に位置しなくてもシャワーヘッド絶縁壁538とプラズマ発生遮断壁528間の通路544、548を流れる不活性気体の流れを均一かつ対称的にすることができる。この通路544、548の大きさが0.4mmであり、シャワーヘッド絶縁壁538の外径が210mmである場合、20sccmの気体を流せばこの通路544、548で気体の流速は25℃、5torrで19mm/sであり、温度がさらに高ければさらに速い。これについて図3を参照してより詳細に説明すれば、導体になっている高周波接続端子566の不活性気体流入口562にArのような不活性気体をMFC(mass flow controller)を使って流入させれば、この不活性気体は強制的に流入されて矢印に沿って第1貯蔵リング620、不活性気体通路622、第2貯蔵リング624、第3貯蔵リング626、第4貯蔵リング628を通った後に、シャワーヘッド絶縁壁538とプラズマ発生遮断壁528間の通路544に沿って矢印方向に下方に流れ、結局にはシャワーヘッド絶縁壁538とプラズマ発生遮断壁528間の下端部分548に流れて工程気体と合流し、プラズマ発生遮断壁528と反応器壁522間の通路に沿って矢印で表示した通路を通じて気体流出管518に流れて真空ポンプ598によって排出される。この時に、不活性気体流出口548を通じて流出される不活性気体の流れゆえに反応器554内にある工程気体がシャワーヘッド絶縁壁538とプラズマ発生遮断壁528間の通路544に逆流して流れ込むことができないために、工程気体として金属材料を使った場合に通路544、特に通路の端部548に願わない導電性膜が形成されずに電気的な短絡が起きず、反応室554の寿命が延びる長所がある。
工程気体が供給され、プラズマが発生する部分でのみ導電性薄膜が形成されるためにプラズマ発生遮断壁528と反応器壁522間にはプラズマが発生せず、プラズマ発生遮断壁528とシャワーヘッド絶縁壁538間には工程気体が供給されずに導電性薄膜が形成されない。結果的に反応室554にのみ導電性薄膜が形成され、その他の部分には導電性薄膜が形成されないために、基板556に導電性薄膜を形成する工程を反復しても電気的な短絡を防止できる。
また、反応器壁522の所定領域及び基板支持台560を取り囲む外管を形成し、開閉が可能な不活性気体流入口590及び不活性気体流出口592を有する反応器本体600をさらに具備できる。この時、高周波接続端子566は反応器本体600及び反応器壁522を貫通してシャワーヘッド542、540に連結され、反応器本体600及び反応器壁522と電気的に絶縁されるように設置する。また、本発明のプラズマで補強したALD装置が前記のシャワーヘッド絶縁壁538及びプラズマ発生遮断壁528をさらに具備する場合に、高周波接続端子566は反応器本体600、反応器壁522、プラズマ発生遮断壁528及びシャワーヘッド絶縁壁538を貫通してシャワーヘッド542、540に連結され、反応器本体600、反応器壁522及びプラズマ発生遮断壁528と電気的に絶縁されるように設置する。そして、表示されなかったが、反応器本体600は上部の蓋部と下部の本体とに二分されている。不活性気体流入口590を通じて反応器本体600に流入された不活性気体によって反応器本体600内部の圧力が基板556上部に形成された反応室554の圧力より高ければ、反応室554内の気体が外部に流出されない。
基板支持台560を駆動するための基板支持台駆動部は、反応器本体600の下端外部に固定されている空圧シリンダ584と、空圧シリンダ584と基板支持台560とを連結する駆動軸580と、駆動軸580間の平衡を調節する移動板578とより構成される。基板556の着脱時には空圧シリンダ584に連結された基板支持台560が下方に移動して反応器壁522と基板支持台560とが分離されて反応室554が開放される。この時、基板支持台560の中央に設置された中央支持ピン572は中央軸574と連結され、特定高さでそれ以上の下降を止める。基板支持台560は下降し続けるが、基板556は中央支持ピン572によって支持されるので基板支持台560とは分離される。基板556が停止する高さは、外部に設置された基板移送装置のロボットアームにより基板556移送が可能にあらかじめ合せられるが、このために中央軸574及び中央支持ピン572の長さは調節できる。
本発明の実施例によるプラズマで補強したALD装置によれば、ラッパ状の曲面を有する体積調節ラッパ540により気体の流れを円滑に分散させながらもシャワーヘッド542、540内部の体積を最小化して工程気体の転換が容易であり、したがって順次的な工程気体の供給過程において以前に供給された気体が不要にシャワーヘッド540、542内部に累積されて今後供給される気体と気相反応を起こすことを最小化する。また、複数の狭い配管が並列に連結された微細穿孔管536を具備することによってシャワーヘッド542、540と気体流入管510間にプラズマ発生を抑制できる。また、接地したプラズマ発生遮断壁528とシャワーヘッド絶縁壁538間の通路を通じて不活性気体を流すことによって気体分散グリッド542と基板556間の反応室554でのみ膜が形成され、気体が流れる他の部分では膜が蒸着されないために電気的な短絡の問題なく導電性薄膜をプラズマで補強したALD法で形成する。それだけでなく、工程気体は反応器内部でのみ流れて反応器本体600とは合わない。
図4は、本発明の望ましい実施例によるプラズマで補強したALD法を説明するために示した図面である。
図4を参照すれば、原料気体440とパージ気体442とは440→442の周期Tcycleで供給される。ここで、パージ気体442の供給途中で一定時間高周波電位446が印加されてプラズマ446が発生する。原料気体440は四塩化チタン(TiCl)のように膜をなす金属元素を含む気体であり、パージ気体442は蒸着気体440と単純に混合しては反応しないが、プラズマ446で活性化されれば反応して膜を形成する気体である。
図5は、図3のプラズマで補強したALD装置を利用した本発明の望ましい他の薄膜形成方法を説明するために示したフローチャートである。
図5を参照すれば、反応室554に基板556を装入して基板支持台560に基板556を安着させる(850)。そして、基板556をあらかじめ設定された蒸着温度に加熱する(852)。次に、反応室554に原料気体440を供給する(854)。次いで、パージ気体442を供給して残留する蒸着気体440を除去する(860)。そして、高周波接続端子を通じて反応室にRF電力を供給してパージ気体442をプラズマ446状態に変える(864)。このプラズマ446は基板556上に吸着された蒸着気体440と反応して薄膜を形成する。その後、RF電力を遮断する。RF電力を遮断した後には活性化されたパージ気体のラジカルやイオンの濃度が非常に速く減少するのでRF電力を遮断した後にパージ気体を供給する時間を最小化できる。そして、このような過程をあらかじめ設定した周期だけ反復して所望の厚さの薄膜を形成する(866)。
2種の気体だけでもALDを行えるので気体供給部の構成を簡単にすることができ、気体供給周期に必要な時間Tcycleを短縮できる。また、この方法では、原料気体440とパージ気体442とが反応器及び気体流出管51が含まれた排気部で混合されても互いに反応しないために粒子が発生しない。蒸着が完了した後には基板556を冷却した後(868)、反応室554から基板556を外部に取り出す(870)。
<実験例1>
前記実施例による導電性薄膜の形成方法によって窒化チタン(TiN)膜を形成した。この時、原料気体供給段階でAr気体160sccmとTiClバブラーを通過させたアルゴン100sccmとをシャワーヘッドを通じて流した。パージ気体供給段階で水素(H)気体100sccmと窒素(N)気体60sccmとアルゴン気体100sccmとを流した。高周波接続端子の孔を通じてはアルゴン気体20sccmを流しつづけた。基板温度は350℃に設定し、RFパワーは150Wに設定し、圧力は3torrに設定した。原料気体の供給時間は0.2秒とし、パージ気体供給時間は5.8秒とした。5.8秒のうち最初の2.0秒間はRFパワーを加えずにパージ気体だけを供給し、次の2.0秒間はパージ気体を供給してRFパワーを加えてプラズマを発生させ、最後の1.8秒間はRFパワーを加えずにパージ気体だけを供給した。このように6.0秒の工程気体供給周期を反復して均質の窒化チタン膜を形成した。
<実験例2>
前記実施例による導電性薄膜の形成方法によってチタン(Ti)膜を形成した。この時、原料気体供給段階でAr気体230sccmとTiClバブラーを通過させたアルゴン100sccmとをシャワーヘッドを通じて流した。パージ気体供給段階でH気体100sccmとアルゴン気体230sccmとを流した。高周波接続端子の孔を通じてはアルゴン気体20sccmを流しつづけた。基板温度は380℃に設定し、RFパワーは200Wに設定し、圧力は3torrに設定した。原料気体の供給時間は0.2秒とし、パージ気体供給時間は5.8秒とした。5.8秒のうち最初の2.0秒間はRFパワーを加えずにパージ気体だけを供給し、次の2.0秒間はパージ気体を供給してRFパワーを加えてプラズマを発生させ、最後の1.8秒間はRFパワーを加えずにパージ気体だけを供給した。このように6.0秒の工程気体供給周期を反復して均質のチタン膜を形成した。
以上、本発明の望ましい実施例をあげて詳細に説明したが、本発明は前記実施例に限定されることではなく、本発明の技術的思想の範囲内で当業者によっていろいろな変形が可能である。
前述したように、本発明のプラズマで補強したALD装置によれば、ラッパ状の曲面を有する体積調節ラッパにより気体の流れを円滑に分散させながらもシャワーヘッド内部の体積を最小化して工程気体の転換が容易であり、したがって順次的な工程気体の供給過程において以前の供給気体が不要にシャワーヘッド内部に累積されて今後供給される気体と気相反応を起こすことを最小化できる。また、複数の狭い配管が並列に連結された微細穿孔管を具備することによってシャワーヘッドと気体流入管間での電位差によるプラズマ発生を抑制できる。また、プラズマ発生遮断壁とシャワーヘッド絶縁壁間の通路を通じて不活性気体を流すことによって気体分散グリッドと基板間の反応室でのみ膜が形成され、気体が流れる他の部分では膜が蒸着されないために電気的な短絡の問題なく導電性薄膜をプラズマで補強したALD法で形成できる。したがって、プラズマが気体分散グリッドと基板間の反応室でのみ発生され、その他の気体流動領域では薄膜の蒸着が抑制されることによって導電性のある薄膜もプラズマで補強したALDを利用して形成できる。
また、気体流入管と気体流出管とを同じ位置に二重配管形態に具備することによって、反応室内の工程気体が気体流出管側に偏って流れることを防止でき、反応室内で工程気体の流動が基板に対して対称的に維持されて基板に均一な薄膜を蒸着できる。そして、工程気体の流動が基板に対して対称的に維持されるのでシャワーヘッド及び反応室を従来より小さく構成できる。したがって、多様な工程気体が順次に流入される工程において工程気体の転換が容易である。
さらに、工程気体を2重チャンバ形態に構成し、工程気体が反応器内部でのみ流れるように構成することによって基板支持台の下部に不要な薄膜が蒸着されることが防止される。
また、本発明によれば、ALD法において工程気体が反応性がないか、または非常に弱い場合にも効果的に薄膜を形成できる。
さらに、ALD法において工程気体供給周期のうちパージ気体の供給時間を最小化して工程時間を短縮できる。
さらに、原子層蒸着法が行われる装置の排気部で汚染粒子の発生を減らしうる。
従来CVD装置の概略的な構造を示す図面である。 従来CVD装置の概略的な構造を示す図面である。 従来ALD装置を利用した薄膜形成工程において、経時的な工程気体流入/流出順序を示す図面である。 本発明によるプラズマで補強したALD装置を概略的に示す断面図である。 本発明によるプラズマで補強したALD装置を利用した薄膜形成工程において、経時的な工程気体流入/流出順序及びここに合せてプラズマを発生させる時間を示す図面である。 図3のプラズマで補強したALD装置を利用して導電性薄膜を形成する過程を示すフローチャートである。

Claims (14)

  1. 基板上に薄膜を蒸着させるための装置において、
    前記基板を支持するための基板支持台と、
    前記基板支持台と共に反応器内部を区画し、開口部が形成された上部面を含む反応器壁と、
    前記反応器内に工程気体を流入するための気体流入管と、
    前記基板支持台と共に反応室を区画し、前記気体流入管と連結されて前記反応器壁内に設置され、前記反応室内に工程気体を供給するためのシャワーヘッドと、
    前記シャワーヘッドの上部及び側部を取り囲むシャワーヘッド絶縁壁と、
    前記シャワーヘッド絶縁壁と前記反応器壁との間に設置されていると共に前記反応器壁と同じ電位を有しており、前記シャワーヘッド絶縁壁との間に空間をもって当該シャワーヘッド絶縁壁を覆っているプラズマ発生遮断壁と、
    前記反応室の密閉を確保するために前記基板支持台と前記反応器壁との連結部分に介在される気体密閉リングと、
    前記反応室内の工程気体を排出するための気体流出管と、
    交流電位波を加えるために前記反応器壁、プラズマ発生遮断壁及びシャワーヘッド絶縁壁を貫通してシャワーヘッドに連結設置されると共に不活性気体が流入される内部管を有した高周波接続端子と、
    前記高周波接続端子を前記反応器壁及び前記プラズマ発生遮断壁に対して電気的に絶縁するように前記高周波接続端子を取り囲んでいる絶縁管と、を含み、
    前記プラズマ発生遮断壁と前記シャワーヘッド絶縁壁との間には、前記高周波接続端子の内部管からの不活性気体が流れる通路が形成されており、前記プラズマ発生遮断壁と前記反応器壁との間には、前記プラズマ発生遮断壁及び前記シャワーヘッド絶縁壁間の通路から流出する不活性気体と前記反応室内から流出する工程気体とが気体流出管に向かって流れる通路が形成されていることを特徴とするプラズマで補強した原子層蒸着装置。
  2. 前記高周波接続端子を通じて供給された不活性気体が前記プラズマ発生遮断壁と前記シャワーヘッド絶縁壁との間の通路に均一に流れるように、前記シャワーヘッド絶縁壁の上面またはこれと対向する前記プラズマ発生遮断壁に溝を掘って形成された対称形の緩衝通路をさらに具備することを特徴とする請求項1に記載のプラズマで補強した原子層蒸着装置。
  3. 前記反応器壁の所定領域と前記基板支持台とを取り囲む外壁を形成し、開閉可能な気体流出入口を有する反応器本体をさらに具備することを特徴とする請求項1に記載のプラズマで補強した原子層蒸着装置。
  4. 前記高周波接続端子は交流電位波を加えるために前記反応器本体をも貫通して前記シャワーヘッドに連結されており、前記絶縁管は、前記高周波接続端子を前記反応器本体に対しても電気的に絶縁するように設置されることを特徴とする請求項3に記載のプラズマで補強した原子層蒸着装置。
  5. 前記気体流入管は前記反応器壁上部の開口部より小さな直径を有して前記開口部内に挿入設置され、前記気体流出管は前記反応室内に供給された気体が前記反応器壁と前記プラズマ発生遮断壁との間の通路を経て前記反応器壁と前記気体流入管との間の通路を通じて排出されるように前記開口部に設置されることを特徴とする請求項1に記載のプラズマで補強した原子層蒸着装置。
  6. シャワーヘッドは、内部の気体が占める体積を最小化して気体の流れを円滑にするためのラッパ状の曲面を有することを特徴とする請求項1に記載のプラズマで補強した原子層蒸着装置。
  7. 前記気体流入管と前記シャワーヘッドとの間に設置され、前記気体流入管と前記シャワーヘッドとの間の電位差によるプラズマ発生を防止しながらも前記気体流入管からの気体流れを維持できるように狭い配管が並列に連結されている絶縁素材よりなる微細穿孔管を含むことを特徴とする請求項1に記載のプラズマで補強した原子層蒸着装置。
  8. 前記シャワーヘッドは、前記基板支持台の対向面に複数の噴射ホールが穿孔された気体分散グリッドと、前記気体分散グリッドと連結されて前記気体分散グリッド上部に設置され、前記シャワーヘッド内部の気体が占める体積を最小化して気体の流れを円滑にするためのラッパ状の曲面を有する体積調節板とで構成されることを特徴とする請求項7に記載のプラズマで補強した原子層蒸着装置。
  9. 微細穿孔管は、約20mmの長さを有することを特徴とする請求項7に記載の原子層蒸着装置。
  10. 前記微細穿孔管の配管は、プラズマが発生しない程度の約0.6mmの直径を有することを特徴とする請求項7又は9に記載のプラズマで補強した原子層蒸着装置。
  11. 微細穿孔管は、少なくとも8つの小さな穿孔を有することを特徴とする請求項10に記載の原子層蒸着装置。
  12. 前記反応器壁の側壁を取り囲むように設置される加熱器をさらに具備することを特徴とする請求項7に記載のプラズマで補強した原子層蒸着装置。
  13. 前記基板支持台に前記基板を加熱できる加熱器をさらに具備することを特徴とする請求項7に記載のプラズマで補強した原子層蒸着装置。
  14. 前記基板支持台は上下移動が可能であって前記基板を前記反応室内に着脱できるように備えられることを特徴とする請求項7に記載のプラズマで補強した原子層蒸着装置。
JP2003527783A 2001-08-06 2001-08-06 プラズマで補強した原子層蒸着装置及びこれを利用した薄膜形成方法 Expired - Lifetime JP4666912B2 (ja)

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
PCT/KR2001/001333 WO2003023835A1 (en) 2001-08-06 2001-08-06 Plasma enhanced atomic layer deposition (peald) equipment and method of forming a conducting thin film using the same thereof

Publications (3)

Publication Number Publication Date
JP2005502784A JP2005502784A (ja) 2005-01-27
JP2005502784A5 JP2005502784A5 (ja) 2005-11-17
JP4666912B2 true JP4666912B2 (ja) 2011-04-06

Family

ID=19198433

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2003527783A Expired - Lifetime JP4666912B2 (ja) 2001-08-06 2001-08-06 プラズマで補強した原子層蒸着装置及びこれを利用した薄膜形成方法

Country Status (4)

Country Link
US (2) US7138336B2 (ja)
EP (1) EP1421606A4 (ja)
JP (1) JP4666912B2 (ja)
WO (1) WO2003023835A1 (ja)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20220167015A (ko) * 2021-06-11 2022-12-20 세메스 주식회사 기판 처리 장치

Families Citing this family (387)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6878206B2 (en) 2001-07-16 2005-04-12 Applied Materials, Inc. Lid assembly for a processing system to facilitate sequential deposition techniques
US9051641B2 (en) 2001-07-25 2015-06-09 Applied Materials, Inc. Cobalt deposition on barrier surfaces
US7085616B2 (en) 2001-07-27 2006-08-01 Applied Materials, Inc. Atomic layer deposition apparatus
WO2003023835A1 (en) * 2001-08-06 2003-03-20 Genitech Co., Ltd. Plasma enhanced atomic layer deposition (peald) equipment and method of forming a conducting thin film using the same thereof
US7780785B2 (en) 2001-10-26 2010-08-24 Applied Materials, Inc. Gas delivery apparatus for atomic layer deposition
KR100782529B1 (ko) * 2001-11-08 2007-12-06 에이에스엠지니텍코리아 주식회사 증착 장치
US7160577B2 (en) 2002-05-02 2007-01-09 Micron Technology, Inc. Methods for atomic-layer deposition of aluminum oxides in integrated circuits
US20040142558A1 (en) 2002-12-05 2004-07-22 Granneman Ernst H. A. Apparatus and method for atomic layer deposition on substrates
US7601223B2 (en) 2003-04-29 2009-10-13 Asm International N.V. Showerhead assembly and ALD methods
US7537662B2 (en) 2003-04-29 2009-05-26 Asm International N.V. Method and apparatus for depositing thin films on a surface
US7682454B2 (en) * 2003-08-07 2010-03-23 Sundew Technologies, Llc Perimeter partition-valve with protected seals and associated small size process chambers and multiple chamber systems
US7186446B2 (en) 2003-10-31 2007-03-06 International Business Machines Corporation Plasma enhanced ALD of tantalum nitride and bilayer
US7273526B2 (en) * 2004-04-15 2007-09-25 Asm Japan K.K. Thin-film deposition apparatus
KR101060609B1 (ko) * 2004-06-29 2011-08-31 엘지디스플레이 주식회사 액정표시장치용 제조장치
US7588988B2 (en) 2004-08-31 2009-09-15 Micron Technology, Inc. Method of forming apparatus having oxide films formed using atomic layer deposition
KR20060076714A (ko) * 2004-12-28 2006-07-04 에이에스엠지니텍코리아 주식회사 원자층 증착기
JP4934595B2 (ja) 2005-01-18 2012-05-16 エーエスエム アメリカ インコーポレイテッド 薄膜成長用反応装置
US20060162661A1 (en) * 2005-01-22 2006-07-27 Applied Materials, Inc. Mixing energized and non-energized gases for silicon nitride deposition
US7666773B2 (en) 2005-03-15 2010-02-23 Asm International N.V. Selective deposition of noble metal thin films
US8025922B2 (en) 2005-03-15 2011-09-27 Asm International N.V. Enhanced deposition of noble metals
US7608549B2 (en) 2005-03-15 2009-10-27 Asm America, Inc. Method of forming non-conformal layers
JP4676366B2 (ja) * 2005-03-29 2011-04-27 三井造船株式会社 成膜装置
US7687409B2 (en) 2005-03-29 2010-03-30 Micron Technology, Inc. Atomic layer deposited titanium silicon oxide films
JP3984638B2 (ja) * 2005-03-30 2007-10-03 松下電器産業株式会社 伝送線路対及び伝送線路群
US7662729B2 (en) 2005-04-28 2010-02-16 Micron Technology, Inc. Atomic layer deposition of a ruthenium layer to a lanthanide oxide dielectric layer
GB0510051D0 (en) * 2005-05-17 2005-06-22 Forticrete Ltd Interlocking roof tiles
US7572695B2 (en) 2005-05-27 2009-08-11 Micron Technology, Inc. Hafnium titanium oxide films
US7396415B2 (en) * 2005-06-02 2008-07-08 Asm America, Inc. Apparatus and methods for isolating chemical vapor reactions at a substrate surface
US7927948B2 (en) 2005-07-20 2011-04-19 Micron Technology, Inc. Devices with nanocrystals and methods of formation
US7575978B2 (en) 2005-08-04 2009-08-18 Micron Technology, Inc. Method for making conductive nanoparticle charge storage element
US7338901B2 (en) * 2005-08-19 2008-03-04 Tokyo Electron Limited Method of preparing a film layer-by-layer using plasma enhanced atomic layer deposition
US8993055B2 (en) 2005-10-27 2015-03-31 Asm International N.V. Enhanced thin film deposition
TWI332532B (en) 2005-11-04 2010-11-01 Applied Materials Inc Apparatus and process for plasma-enhanced atomic layer deposition
US20070116873A1 (en) * 2005-11-18 2007-05-24 Tokyo Electron Limited Apparatus for thermal and plasma enhanced vapor deposition and method of operating
US20070116872A1 (en) * 2005-11-18 2007-05-24 Tokyo Electron Limited Apparatus for thermal and plasma enhanced vapor deposition and method of operating
US20070218702A1 (en) * 2006-03-15 2007-09-20 Asm Japan K.K. Semiconductor-processing apparatus with rotating susceptor
US20070215036A1 (en) * 2006-03-15 2007-09-20 Hyung-Sang Park Method and apparatus of time and space co-divided atomic layer deposition
US20070281106A1 (en) * 2006-05-30 2007-12-06 Applied Materials, Inc. Process chamber for dielectric gapfill
US7557047B2 (en) * 2006-06-09 2009-07-07 Micron Technology, Inc. Method of forming a layer of material using an atomic layer deposition process
KR20080027009A (ko) * 2006-09-22 2008-03-26 에이에스엠지니텍코리아 주식회사 원자층 증착 장치 및 그를 이용한 다층막 증착 방법
US8268409B2 (en) * 2006-10-25 2012-09-18 Asm America, Inc. Plasma-enhanced deposition of metal carbide films
US7611751B2 (en) 2006-11-01 2009-11-03 Asm America, Inc. Vapor deposition of metal carbide films
US7727864B2 (en) * 2006-11-01 2010-06-01 Asm America, Inc. Controlled composition using plasma-enhanced atomic layer deposition
US7763511B2 (en) * 2006-12-29 2010-07-27 Intel Corporation Dielectric barrier for nanocrystals
US7595270B2 (en) 2007-01-26 2009-09-29 Asm America, Inc. Passivated stoichiometric metal nitride films
US7598170B2 (en) 2007-01-26 2009-10-06 Asm America, Inc. Plasma-enhanced ALD of tantalum nitride films
US8821637B2 (en) * 2007-01-29 2014-09-02 Applied Materials, Inc. Temperature controlled lid assembly for tungsten nitride deposition
US20080241387A1 (en) * 2007-03-29 2008-10-02 Asm International N.V. Atomic layer deposition reactor
US20080241384A1 (en) * 2007-04-02 2008-10-02 Asm Genitech Korea Ltd. Lateral flow deposition apparatus and method of depositing film by using the apparatus
US7713874B2 (en) * 2007-05-02 2010-05-11 Asm America, Inc. Periodic plasma annealing in an ALD-type process
DE102007025341B4 (de) * 2007-05-31 2010-11-11 Advanced Micro Devices, Inc., Sunnyvale Verfahren und Abscheidesystem mit Mehrschrittabscheidesteuerung
US8367506B2 (en) 2007-06-04 2013-02-05 Micron Technology, Inc. High-k dielectrics with gold nano-particles
JP5347294B2 (ja) * 2007-09-12 2013-11-20 東京エレクトロン株式会社 成膜装置、成膜方法及び記憶媒体
US8758867B2 (en) * 2007-09-17 2014-06-24 L'Air Liquide, Société Anonyme pour l'Etude et l'Exploitation des Procédés George Claude Neutral ligand containing precursors and methods for deposition of a metal containing film
US8673080B2 (en) 2007-10-16 2014-03-18 Novellus Systems, Inc. Temperature controlled showerhead
US20090120368A1 (en) * 2007-11-08 2009-05-14 Applied Materials, Inc. Rotating temperature controlled substrate pedestal for film uniformity
JP2011503349A (ja) * 2007-11-08 2011-01-27 アプライド マテリアルズ インコーポレイテッド 可動性シールドを備えた電極構成
JP5026397B2 (ja) * 2007-11-27 2012-09-12 株式会社半導体エネルギー研究所 成膜装置及び成膜方法
JP2009130229A (ja) * 2007-11-27 2009-06-11 Semiconductor Energy Lab Co Ltd 半導体装置の作製方法
KR101376336B1 (ko) 2007-11-27 2014-03-18 한국에이에스엠지니텍 주식회사 원자층 증착 장치
US8092606B2 (en) 2007-12-18 2012-01-10 Asm Genitech Korea Ltd. Deposition apparatus
US20090178763A1 (en) * 2008-01-10 2009-07-16 Applied Materials, Inc. Showerhead insulator and etch chamber liner
US20090211707A1 (en) * 2008-02-22 2009-08-27 Hermes Systems Inc. Apparatus for gas distribution and its applications
US8273178B2 (en) 2008-02-28 2012-09-25 Asm Genitech Korea Ltd. Thin film deposition apparatus and method of maintaining the same
JP5551681B2 (ja) 2008-04-16 2014-07-16 エーエスエム アメリカ インコーポレイテッド アルミニウム炭化水素化合物を使用する金属炭化物膜の原子層堆積
US8383525B2 (en) 2008-04-25 2013-02-26 Asm America, Inc. Plasma-enhanced deposition process for forming a metal oxide thin film and related structures
US7666474B2 (en) 2008-05-07 2010-02-23 Asm America, Inc. Plasma-enhanced pulsed deposition of metal carbide films
US9379011B2 (en) 2008-12-19 2016-06-28 Asm International N.V. Methods for depositing nickel films and for making nickel silicide and nickel germanide
US8216376B1 (en) * 2009-01-15 2012-07-10 Intermolecular, Inc. Method and apparatus for variable conductance
FI123539B (fi) * 2009-02-09 2013-06-28 Beneq Oy ALD-reaktori, menetelmä ALD-reaktorin lataamiseksi ja tuotantolinja
US20100266765A1 (en) * 2009-04-21 2010-10-21 White Carl L Method and apparatus for growing a thin film onto a substrate
US9111729B2 (en) 2009-12-03 2015-08-18 Lam Research Corporation Small plasma chamber systems and methods
JP2011144412A (ja) * 2010-01-13 2011-07-28 Honda Motor Co Ltd プラズマ成膜装置
US9190289B2 (en) 2010-02-26 2015-11-17 Lam Research Corporation System, method and apparatus for plasma etch having independent control of ion generation and dissociation of process gas
US9892917B2 (en) 2010-04-15 2018-02-13 Lam Research Corporation Plasma assisted atomic layer deposition of multi-layer films for patterning applications
US9390909B2 (en) 2013-11-07 2016-07-12 Novellus Systems, Inc. Soft landing nanolaminates for advanced patterning
US9257274B2 (en) 2010-04-15 2016-02-09 Lam Research Corporation Gapfill of variable aspect ratio features with a composite PEALD and PECVD method
US9611544B2 (en) 2010-04-15 2017-04-04 Novellus Systems, Inc. Plasma activated conformal dielectric film deposition
US9287113B2 (en) 2012-11-08 2016-03-15 Novellus Systems, Inc. Methods for depositing films on sensitive substrates
US9373500B2 (en) 2014-02-21 2016-06-21 Lam Research Corporation Plasma assisted atomic layer deposition titanium oxide for conformal encapsulation and gapfill applications
US9997357B2 (en) 2010-04-15 2018-06-12 Lam Research Corporation Capped ALD films for doping fin-shaped channel regions of 3-D IC transistors
US9076646B2 (en) 2010-04-15 2015-07-07 Lam Research Corporation Plasma enhanced atomic layer deposition with pulsed plasma exposure
US8956983B2 (en) 2010-04-15 2015-02-17 Novellus Systems, Inc. Conformal doping via plasma activated atomic layer deposition and conformal film deposition
US8637411B2 (en) 2010-04-15 2014-01-28 Novellus Systems, Inc. Plasma activated conformal dielectric film deposition
US8728956B2 (en) 2010-04-15 2014-05-20 Novellus Systems, Inc. Plasma activated conformal film deposition
US9443753B2 (en) * 2010-07-30 2016-09-13 Applied Materials, Inc. Apparatus for controlling the flow of a gas in a process chamber
US9155181B2 (en) * 2010-08-06 2015-10-06 Lam Research Corporation Distributed multi-zone plasma source systems, methods and apparatus
US8999104B2 (en) 2010-08-06 2015-04-07 Lam Research Corporation Systems, methods and apparatus for separate plasma source control
US9449793B2 (en) 2010-08-06 2016-09-20 Lam Research Corporation Systems, methods and apparatus for choked flow element extraction
US9967965B2 (en) 2010-08-06 2018-05-08 Lam Research Corporation Distributed, concentric multi-zone plasma source systems, methods and apparatus
US9685320B2 (en) 2010-09-23 2017-06-20 Lam Research Corporation Methods for depositing silicon oxide
JP2012109446A (ja) * 2010-11-18 2012-06-07 Tokyo Electron Ltd 絶縁部材及び絶縁部材を備えた基板処理装置
US20120180954A1 (en) 2011-01-18 2012-07-19 Applied Materials, Inc. Semiconductor processing system and methods using capacitively coupled plasma
US20120225206A1 (en) * 2011-03-01 2012-09-06 Applied Materials, Inc. Apparatus and Process for Atomic Layer Deposition
JP5933602B2 (ja) 2011-03-04 2016-06-15 ノベラス・システムズ・インコーポレーテッドNovellus Systems Incorporated ガス分配を行なう装置および基板処理装置
US9695510B2 (en) * 2011-04-21 2017-07-04 Kurt J. Lesker Company Atomic layer deposition apparatus and process
US8871617B2 (en) 2011-04-22 2014-10-28 Asm Ip Holding B.V. Deposition and reduction of mixed metal oxide thin films
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US9177762B2 (en) 2011-11-16 2015-11-03 Lam Research Corporation System, method and apparatus of a wedge-shaped parallel plate plasma reactor for substrate processing
TWI442012B (zh) * 2011-11-17 2014-06-21 Kern Energy Entpr Co Ltd 垂直式熱處理爐結構
US10283325B2 (en) 2012-10-10 2019-05-07 Lam Research Corporation Distributed multi-zone plasma source systems, methods and apparatus
US8592328B2 (en) 2012-01-20 2013-11-26 Novellus Systems, Inc. Method for depositing a chlorine-free conformal sin film
US20130337653A1 (en) * 2012-06-15 2013-12-19 Asm Ip Holding B.V. Semiconductor processing apparatus with compact free radical source
US20140030444A1 (en) * 2012-07-30 2014-01-30 Novellus Systems, Inc. High pressure, high power plasma activated conformal film deposition
US8889566B2 (en) 2012-09-11 2014-11-18 Applied Materials, Inc. Low cost flowable dielectric films
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US9355839B2 (en) 2012-10-23 2016-05-31 Lam Research Corporation Sub-saturated atomic layer deposition and conformal film deposition
TWI480417B (zh) 2012-11-02 2015-04-11 Ind Tech Res Inst 具氣幕之氣體噴灑裝置及其薄膜沉積裝置
SG2013083241A (en) 2012-11-08 2014-06-27 Novellus Systems Inc Conformal film deposition for gapfill
US9018108B2 (en) 2013-01-25 2015-04-28 Applied Materials, Inc. Low shrinkage dielectric films
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
FR3002241B1 (fr) * 2013-02-21 2015-11-20 Altatech Semiconductor Dispositif de depot chimique en phase vapeur
US9412602B2 (en) 2013-03-13 2016-08-09 Asm Ip Holding B.V. Deposition of smooth metal nitride films
US8841182B1 (en) 2013-03-14 2014-09-23 Asm Ip Holding B.V. Silane and borane treatments for titanium carbide films
US8846550B1 (en) 2013-03-14 2014-09-30 Asm Ip Holding B.V. Silane or borane treatment of metal thin films
US10781516B2 (en) * 2013-06-28 2020-09-22 Lam Research Corporation Chemical deposition chamber having gas seal
US20150040829A1 (en) * 2013-08-12 2015-02-12 Applied Materials, Inc. Multizone hollow cathode discharge system with coaxial and azimuthal symmetry and with consistent central trigger
KR20150050638A (ko) * 2013-10-29 2015-05-11 에이에스엠 아이피 홀딩 비.브이. 증착 장치
JP5859586B2 (ja) * 2013-12-27 2016-02-10 株式会社日立国際電気 基板処理システム、半導体装置の製造方法および記録媒体
US9394609B2 (en) 2014-02-13 2016-07-19 Asm Ip Holding B.V. Atomic layer deposition of aluminum fluoride thin films
US9214334B2 (en) 2014-02-18 2015-12-15 Lam Research Corporation High growth rate process for conformal aluminum nitride
KR102229761B1 (ko) 2014-03-17 2021-03-23 삼성디스플레이 주식회사 원자층 증착 장치
US10643925B2 (en) 2014-04-17 2020-05-05 Asm Ip Holding B.V. Fluorine-containing conductive films
US10741365B2 (en) * 2014-05-05 2020-08-11 Lam Research Corporation Low volume showerhead with porous baffle
JP5837962B1 (ja) * 2014-07-08 2015-12-24 株式会社日立国際電気 基板処理装置、半導体装置の製造方法およびガス整流部
US9412581B2 (en) 2014-07-16 2016-08-09 Applied Materials, Inc. Low-K dielectric gapfill by flowable deposition
US9478411B2 (en) 2014-08-20 2016-10-25 Lam Research Corporation Method to tune TiOx stoichiometry using atomic layer deposited Ti film to minimize contact resistance for TiOx/Ti based MIS contact scheme for CMOS
US9478438B2 (en) 2014-08-20 2016-10-25 Lam Research Corporation Method and apparatus to deposit pure titanium thin film at low temperature using titanium tetraiodide precursor
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US10002936B2 (en) 2014-10-23 2018-06-19 Asm Ip Holding B.V. Titanium aluminum and tantalum aluminum thin films
US9564312B2 (en) 2014-11-24 2017-02-07 Lam Research Corporation Selective inhibition in atomic layer deposition of silicon-containing films
DE102014117228B4 (de) * 2014-11-25 2022-10-20 Suss Microtec Lithography Gmbh Backvorrichtung für einen Wafer, der mit einer ein Lösungsmittel enthaltenden Beschichtung beschichtet ist
US20160225652A1 (en) 2015-02-03 2016-08-04 Applied Materials, Inc. Low temperature chuck for plasma processing systems
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US10566187B2 (en) 2015-03-20 2020-02-18 Lam Research Corporation Ultrathin atomic layer deposition film accuracy thickness control
US9502238B2 (en) 2015-04-03 2016-11-22 Lam Research Corporation Deposition of conformal films by atomic layer deposition and atomic layer etch
US10378107B2 (en) 2015-05-22 2019-08-13 Lam Research Corporation Low volume showerhead with faceplate holes for improved flow uniformity
US10023959B2 (en) 2015-05-26 2018-07-17 Lam Research Corporation Anti-transient showerhead
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10526701B2 (en) 2015-07-09 2020-01-07 Lam Research Corporation Multi-cycle ALD process for film uniformity and thickness profile modulation
KR102417930B1 (ko) * 2015-08-13 2022-07-06 에이에스엠 아이피 홀딩 비.브이. 증착 장치 및 이를 포함하는 증착 시스템
US9607842B1 (en) 2015-10-02 2017-03-28 Asm Ip Holding B.V. Methods of forming metal silicides
US9941425B2 (en) 2015-10-16 2018-04-10 Asm Ip Holdings B.V. Photoactive devices and materials
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US10358721B2 (en) * 2015-10-22 2019-07-23 Asm Ip Holding B.V. Semiconductor manufacturing system including deposition apparatus
US9786492B2 (en) 2015-11-12 2017-10-10 Asm Ip Holding B.V. Formation of SiOCN thin films
US9786491B2 (en) 2015-11-12 2017-10-10 Asm Ip Holding B.V. Formation of SiOCN thin films
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
KR102378021B1 (ko) 2016-05-06 2022-03-23 에이에스엠 아이피 홀딩 비.브이. SiOC 박막의 형성
US9773643B1 (en) 2016-06-30 2017-09-26 Lam Research Corporation Apparatus and method for deposition and etch in gap fill
US10062563B2 (en) 2016-07-01 2018-08-28 Lam Research Corporation Selective atomic layer deposition with post-dose treatment
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10037884B2 (en) 2016-08-31 2018-07-31 Lam Research Corporation Selective atomic layer deposition for gapfill using sacrificial underlayer
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
KR102546317B1 (ko) * 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
US10186420B2 (en) 2016-11-29 2019-01-22 Asm Ip Holding B.V. Formation of silicon-containing thin films
KR20180068582A (ko) * 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
TWI671792B (zh) * 2016-12-19 2019-09-11 荷蘭商Asm知識產權私人控股有限公司 基板處理設備
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10655221B2 (en) * 2017-02-09 2020-05-19 Asm Ip Holding B.V. Method for depositing oxide film by thermal ALD and PEALD
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US9972501B1 (en) 2017-03-14 2018-05-15 Nano-Master, Inc. Techniques and systems for continuous-flow plasma enhanced atomic layer deposition (PEALD)
US10847529B2 (en) 2017-04-13 2020-11-24 Asm Ip Holding B.V. Substrate processing method and device manufactured by the same
US10504901B2 (en) 2017-04-26 2019-12-10 Asm Ip Holding B.V. Substrate processing method and device manufactured using the same
CN114875388A (zh) 2017-05-05 2022-08-09 Asm Ip 控股有限公司 用于受控形成含氧薄膜的等离子体增强沉积方法
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US12040200B2 (en) 2017-06-20 2024-07-16 Asm Ip Holding B.V. Semiconductor processing apparatus and methods for calibrating a semiconductor processing apparatus
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
US10269559B2 (en) 2017-09-13 2019-04-23 Lam Research Corporation Dielectric gapfill of high aspect ratio features utilizing a sacrificial etch cap layer
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
US10872804B2 (en) 2017-11-03 2020-12-22 Asm Ip Holding B.V. Apparatus and methods for isolating a reaction chamber from a loading chamber resulting in reduced contamination
US10872803B2 (en) 2017-11-03 2020-12-22 Asm Ip Holding B.V. Apparatus and methods for isolating a reaction chamber from a loading chamber resulting in reduced contamination
KR102597978B1 (ko) 2017-11-27 2023-11-06 에이에스엠 아이피 홀딩 비.브이. 배치 퍼니스와 함께 사용하기 위한 웨이퍼 카세트를 보관하기 위한 보관 장치
TWI791689B (zh) 2017-11-27 2023-02-11 荷蘭商Asm智慧財產控股私人有限公司 包括潔淨迷你環境之裝置
KR20190065962A (ko) 2017-12-04 2019-06-12 에이에스엠 아이피 홀딩 비.브이. 유전체와 금속 표면 상에 SiOC의 균일한 증착
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
US11482412B2 (en) 2018-01-19 2022-10-25 Asm Ip Holding B.V. Method for depositing a gap-fill layer by plasma-assisted deposition
TWI799494B (zh) 2018-01-19 2023-04-21 荷蘭商Asm 智慧財產控股公司 沈積方法
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
CN116732497A (zh) 2018-02-14 2023-09-12 Asm Ip私人控股有限公司 通过循环沉积工艺在衬底上沉积含钌膜的方法
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
US12025484B2 (en) 2018-05-08 2024-07-02 Asm Ip Holding B.V. Thin film forming method
KR20190128558A (ko) 2018-05-08 2019-11-18 에이에스엠 아이피 홀딩 비.브이. 기판 상에 산화물 막을 주기적 증착 공정에 의해 증착하기 위한 방법 및 관련 소자 구조
CN110484895B (zh) * 2018-05-14 2021-01-08 北京北方华创微电子装备有限公司 腔室组件及反应腔室
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
TWI840362B (zh) 2018-06-04 2024-05-01 荷蘭商Asm Ip私人控股有限公司 水氣降低的晶圓處置腔室
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
KR20210024462A (ko) 2018-06-27 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 금속 함유 재료를 형성하기 위한 주기적 증착 방법 및 금속 함유 재료를 포함하는 필름 및 구조체
KR20210027265A (ko) 2018-06-27 2021-03-10 에이에스엠 아이피 홀딩 비.브이. 금속 함유 재료를 형성하기 위한 주기적 증착 방법 및 금속 함유 재료를 포함하는 막 및 구조체
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US12040199B2 (en) 2018-11-28 2024-07-16 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
JP7504584B2 (ja) 2018-12-14 2024-06-24 エーエスエム・アイピー・ホールディング・ベー・フェー 窒化ガリウムの選択的堆積を用いてデバイス構造体を形成する方法及びそのためのシステム
TWI819180B (zh) 2019-01-17 2023-10-21 荷蘭商Asm 智慧財產控股公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
KR102638425B1 (ko) 2019-02-20 2024-02-21 에이에스엠 아이피 홀딩 비.브이. 기판 표면 내에 형성된 오목부를 충진하기 위한 방법 및 장치
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
US11482533B2 (en) 2019-02-20 2022-10-25 Asm Ip Holding B.V. Apparatus and methods for plug fill deposition in 3-D NAND applications
JP7509548B2 (ja) 2019-02-20 2024-07-02 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための周期的堆積方法および装置
TWI842826B (zh) 2019-02-22 2024-05-21 荷蘭商Asm Ip私人控股有限公司 基材處理設備及處理基材之方法
US11742198B2 (en) 2019-03-08 2023-08-29 Asm Ip Holding B.V. Structure including SiOCN layer and method of forming same
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
JP2020167398A (ja) 2019-03-28 2020-10-08 エーエスエム・アイピー・ホールディング・ベー・フェー ドアオープナーおよびドアオープナーが提供される基材処理装置
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
US11447864B2 (en) 2019-04-19 2022-09-20 Asm Ip Holding B.V. Layer forming method and apparatus
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
SG11202111962QA (en) 2019-05-01 2021-11-29 Lam Res Corp Modulated atomic layer deposition
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
JP2020188254A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
JP7068230B2 (ja) * 2019-05-22 2022-05-16 東京エレクトロン株式会社 基板処理方法
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
US10998209B2 (en) 2019-05-31 2021-05-04 Applied Materials, Inc. Substrate processing platforms including multiple processing chambers
KR20200141003A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 가스 감지기를 포함하는 기상 반응기 시스템
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP7499079B2 (ja) 2019-07-09 2024-06-13 エーエスエム・アイピー・ホールディング・ベー・フェー 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
TWI839544B (zh) 2019-07-19 2024-04-21 荷蘭商Asm Ip私人控股有限公司 形成形貌受控的非晶碳聚合物膜之方法
CN112281140B (zh) * 2019-07-25 2022-09-30 无锡科硅电子技术有限公司 一种具有双腔室的原子层沉积系统及工艺
CN112309843A (zh) 2019-07-29 2021-02-02 Asm Ip私人控股有限公司 实现高掺杂剂掺入的选择性沉积方法
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
KR20210018759A (ko) 2019-08-05 2021-02-18 에이에스엠 아이피 홀딩 비.브이. 화학물질 공급원 용기를 위한 액체 레벨 센서
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
KR20210042810A (ko) 2019-10-08 2021-04-20 에이에스엠 아이피 홀딩 비.브이. 활성 종을 이용하기 위한 가스 분배 어셈블리를 포함한 반응기 시스템 및 이를 사용하는 방법
CN112635282A (zh) 2019-10-08 2021-04-09 Asm Ip私人控股有限公司 具有连接板的基板处理装置、基板处理方法
KR20210043460A (ko) 2019-10-10 2021-04-21 에이에스엠 아이피 홀딩 비.브이. 포토레지스트 하부층을 형성하기 위한 방법 및 이를 포함한 구조체
US12009241B2 (en) 2019-10-14 2024-06-11 Asm Ip Holding B.V. Vertical batch furnace assembly with detector to detect cassette
TWI834919B (zh) 2019-10-16 2024-03-11 荷蘭商Asm Ip私人控股有限公司 氧化矽之拓撲選擇性膜形成之方法
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
KR20210050453A (ko) 2019-10-25 2021-05-07 에이에스엠 아이피 홀딩 비.브이. 기판 표면 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
KR20210065848A (ko) 2019-11-26 2021-06-04 에이에스엠 아이피 홀딩 비.브이. 제1 유전체 표면과 제2 금속성 표면을 포함한 기판 상에 타겟 막을 선택적으로 형성하기 위한 방법
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP7527928B2 (ja) 2019-12-02 2024-08-05 エーエスエム・アイピー・ホールディング・ベー・フェー 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
TW202125596A (zh) 2019-12-17 2021-07-01 荷蘭商Asm Ip私人控股有限公司 形成氮化釩層之方法以及包括該氮化釩層之結構
KR20210080214A (ko) 2019-12-19 2021-06-30 에이에스엠 아이피 홀딩 비.브이. 기판 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
JP2021111783A (ja) 2020-01-06 2021-08-02 エーエスエム・アイピー・ホールディング・ベー・フェー チャネル付きリフトピン
TW202140135A (zh) 2020-01-06 2021-11-01 荷蘭商Asm Ip私人控股有限公司 氣體供應總成以及閥板總成
US11993847B2 (en) 2020-01-08 2024-05-28 Asm Ip Holding B.V. Injector
US11087959B2 (en) 2020-01-09 2021-08-10 Nano-Master, Inc. Techniques for a hybrid design for efficient and economical plasma enhanced atomic layer deposition (PEALD) and plasma enhanced chemical vapor deposition (PECVD)
FI129609B (en) * 2020-01-10 2022-05-31 Picosun Oy SUBSTRATE PROCESSING EQUIPMENT
KR102675856B1 (ko) 2020-01-20 2024-06-17 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법 및 박막 표면 개질 방법
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
KR20210100010A (ko) 2020-02-04 2021-08-13 에이에스엠 아이피 홀딩 비.브이. 대형 물품의 투과율 측정을 위한 방법 및 장치
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
US11640900B2 (en) 2020-02-12 2023-05-02 Nano-Master, Inc. Electron cyclotron rotation (ECR)-enhanced hollow cathode plasma source (HCPS)
US11781243B2 (en) 2020-02-17 2023-10-10 Asm Ip Holding B.V. Method for depositing low temperature phosphorous-doped silicon
TW202203344A (zh) 2020-02-28 2022-01-16 荷蘭商Asm Ip控股公司 專用於零件清潔的系統
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
KR20210116249A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 록아웃 태그아웃 어셈블리 및 시스템 그리고 이의 사용 방법
CN113394086A (zh) 2020-03-12 2021-09-14 Asm Ip私人控股有限公司 用于制造具有目标拓扑轮廓的层结构的方法
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
US11996289B2 (en) 2020-04-16 2024-05-28 Asm Ip Holding B.V. Methods of forming structures including silicon germanium and silicon layers, devices formed using the methods, and systems for performing the methods
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
US11898243B2 (en) 2020-04-24 2024-02-13 Asm Ip Holding B.V. Method of forming vanadium nitride-containing layer
KR20210132605A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 냉각 가스 공급부를 포함한 수직형 배치 퍼니스 어셈블리
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
TW202147543A (zh) 2020-05-04 2021-12-16 荷蘭商Asm Ip私人控股有限公司 半導體處理系統
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
TW202146699A (zh) 2020-05-15 2021-12-16 荷蘭商Asm Ip私人控股有限公司 形成矽鍺層之方法、半導體結構、半導體裝置、形成沉積層之方法、及沉積系統
KR20210143653A (ko) 2020-05-19 2021-11-29 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
TW202200837A (zh) 2020-05-22 2022-01-01 荷蘭商Asm Ip私人控股有限公司 用於在基材上形成薄膜之反應系統
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202202649A (zh) 2020-07-08 2022-01-16 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202219628A (zh) 2020-07-17 2022-05-16 荷蘭商Asm Ip私人控股有限公司 用於光微影之結構與方法
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
US11749542B2 (en) 2020-07-27 2023-09-05 Applied Materials, Inc. Apparatus, system, and method for non-contact temperature monitoring of substrate supports
US11817331B2 (en) 2020-07-27 2023-11-14 Applied Materials, Inc. Substrate holder replacement with protective disk during pasting process
US12040177B2 (en) 2020-08-18 2024-07-16 Asm Ip Holding B.V. Methods for forming a laminate film by cyclical plasma-enhanced deposition processes
TW202212623A (zh) 2020-08-26 2022-04-01 荷蘭商Asm Ip私人控股有限公司 形成金屬氧化矽層及金屬氮氧化矽層的方法、半導體結構、及系統
TW202229601A (zh) 2020-08-27 2022-08-01 荷蘭商Asm Ip私人控股有限公司 形成圖案化結構的方法、操控機械特性的方法、裝置結構、及基板處理系統
US11600507B2 (en) 2020-09-09 2023-03-07 Applied Materials, Inc. Pedestal assembly for a substrate processing chamber
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
US11610799B2 (en) 2020-09-18 2023-03-21 Applied Materials, Inc. Electrostatic chuck having a heating and chucking capabilities
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
CN112176325B (zh) * 2020-09-25 2023-01-31 中国电子科技集团公司第四十八研究所 一种板式pecvd设备
US12009224B2 (en) 2020-09-29 2024-06-11 Asm Ip Holding B.V. Apparatus and method for etching metal nitrides
CN114293174A (zh) 2020-10-07 2022-04-08 Asm Ip私人控股有限公司 气体供应单元和包括气体供应单元的衬底处理设备
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
KR20220053482A (ko) 2020-10-22 2022-04-29 에이에스엠 아이피 홀딩 비.브이. 바나듐 금속을 증착하는 방법, 구조체, 소자 및 증착 어셈블리
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
TW202235649A (zh) 2020-11-24 2022-09-16 荷蘭商Asm Ip私人控股有限公司 填充間隙之方法與相關之系統及裝置
KR20220076343A (ko) 2020-11-30 2022-06-08 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치의 반응 챔버 내에 배열되도록 구성된 인젝터
CN114639631A (zh) 2020-12-16 2022-06-17 Asm Ip私人控股有限公司 跳动和摆动测量固定装置
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
US11674227B2 (en) 2021-02-03 2023-06-13 Applied Materials, Inc. Symmetric pump down mini-volume with laminar flow cavity gas injection for high and low pressure
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
US12002668B2 (en) 2021-06-25 2024-06-04 Applied Materials, Inc. Thermal management hardware for uniform temperature control for enhanced bake-out for cluster tool
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate
CN114672768A (zh) * 2022-03-29 2022-06-28 江苏微导纳米科技股份有限公司 薄膜沉积装置
CN118086875B (zh) * 2024-04-26 2024-08-13 上海谙邦半导体设备有限公司 进气装置及半导体处理设备

Family Cites Families (101)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US3617371A (en) * 1968-11-13 1971-11-02 Hewlett Packard Co Method and means for producing semiconductor material
SE393967B (sv) * 1974-11-29 1977-05-31 Sateko Oy Forfarande och for utforande av stroleggning mellan lagren i ett virkespaket
DE2610556C2 (de) * 1976-03-12 1978-02-02 Siemens AG, 1000 Berlin und 8000 München Vorrichtung zum Verteilen strömender Medien über einen Strömungsquerschnitt
US4282267A (en) * 1979-09-20 1981-08-04 Western Electric Co., Inc. Methods and apparatus for generating plasmas
US4389973A (en) * 1980-03-18 1983-06-28 Oy Lohja Ab Apparatus for performing growth of compound thin films
US4612432A (en) * 1984-09-14 1986-09-16 Monolithic Memories, Inc. Etching plasma generator diffusor and cap
GB8516537D0 (en) * 1985-06-29 1985-07-31 Standard Telephones Cables Ltd Pulsed plasma apparatus
US5769950A (en) * 1985-07-23 1998-06-23 Canon Kabushiki Kaisha Device for forming deposited film
US4949671A (en) * 1985-10-24 1990-08-21 Texas Instruments Incorporated Processing apparatus and method
US4761269A (en) * 1986-06-12 1988-08-02 Crystal Specialties, Inc. Apparatus for depositing material on a substrate
US4747367A (en) * 1986-06-12 1988-05-31 Crystal Specialties, Inc. Method and apparatus for producing a constant flow, constant pressure chemical vapor deposition
US4767494A (en) * 1986-07-04 1988-08-30 Nippon Telegraph & Telephone Corporation Preparation process of compound semiconductor
US5244501A (en) * 1986-07-26 1993-09-14 Nihon Shinku Gijutsu Kabushiki Kaisha Apparatus for chemical vapor deposition
JPS63204726A (ja) * 1987-02-20 1988-08-24 Anelva Corp 真空処理装置
US5221556A (en) * 1987-06-24 1993-06-22 Epsilon Technology, Inc. Gas injectors for reaction chambers in CVD systems
DE3721636A1 (de) * 1987-06-30 1989-01-12 Aixtron Gmbh Quarzglasreaktor fuer mocvd-anlagen
US5180435A (en) * 1987-09-24 1993-01-19 Research Triangle Institute, Inc. Remote plasma enhanced CVD method and apparatus for growing an epitaxial semiconductor layer
US5166092A (en) * 1988-01-28 1992-11-24 Fujitsu Limited Method of growing compound semiconductor epitaxial layer by atomic layer epitaxy
US4851095A (en) * 1988-02-08 1989-07-25 Optical Coating Laboratory, Inc. Magnetron sputtering apparatus and process
US5549937A (en) * 1989-10-11 1996-08-27 U.S. Philips Corporation Method of plasma-activated reactive deposition of electrically conducting multicomponent material from a gas phase
US5071670A (en) * 1990-06-11 1991-12-10 Kelly Michael A Method for chemical vapor deposition under a single reactor vessel divided into separate reaction chambers each with its own depositing and exhausting means
US5304279A (en) * 1990-08-10 1994-04-19 International Business Machines Corporation Radio frequency induction/multipole plasma processing tool
US5356673A (en) * 1991-03-18 1994-10-18 Jet Process Corporation Evaporation system and method for gas jet deposition of thin film materials
US6077384A (en) * 1994-08-11 2000-06-20 Applied Materials, Inc. Plasma reactor having an inductive antenna coupling power through a parallel plate electrode
US5279669A (en) * 1991-12-13 1994-01-18 International Business Machines Corporation Plasma reactor for processing substrates comprising means for inducing electron cyclotron resonance (ECR) and ion cyclotron resonance (ICR) conditions
US5292370A (en) * 1992-08-14 1994-03-08 Martin Marietta Energy Systems, Inc. Coupled microwave ECR and radio-frequency plasma source for plasma processing
US5443647A (en) * 1993-04-28 1995-08-22 The United States Of America As Represented By The Secretary Of The Army Method and apparatus for depositing a refractory thin film by chemical vapor deposition
US5614055A (en) * 1993-08-27 1997-03-25 Applied Materials, Inc. High density plasma CVD and etching reactor
EP0742906B1 (de) * 1994-01-31 1998-09-23 Fraunhofer-Gesellschaft zur Förderung der angewandten Forschung e.V. Verwendung einer miniaturisierten spulenanordnung hergestellt in planartechnologie zur detektion von ferromagnetischen stoffen
KR0144799B1 (ko) * 1994-04-04 1998-08-17 황철주 매엽식 저압 화학 증기 증착장치
US6200389B1 (en) * 1994-07-18 2001-03-13 Silicon Valley Group Thermal Systems Llc Single body injector and deposition chamber
US5811022A (en) * 1994-11-15 1998-09-22 Mattson Technology, Inc. Inductive plasma reactor
FI100409B (fi) * 1994-11-28 1997-11-28 Asm Int Menetelmä ja laitteisto ohutkalvojen valmistamiseksi
FI97731C (fi) * 1994-11-28 1997-02-10 Mikrokemia Oy Menetelmä ja laite ohutkalvojen valmistamiseksi
FI97730C (fi) * 1994-11-28 1997-02-10 Mikrokemia Oy Laitteisto ohutkalvojen valmistamiseksi
US5724015A (en) * 1995-06-01 1998-03-03 California Institute Of Technology Bulk micromachined inductive transducers on silicon
KR0180783B1 (ko) * 1995-10-04 1999-04-15 김주용 고유전 특성을 가진 에스.티.오 및 비.티.오 박막제조방법과 그 장치
US5767628A (en) * 1995-12-20 1998-06-16 International Business Machines Corporation Helicon plasma processing tool utilizing a ferromagnetic induction coil with an internal cooling channel
KR100267418B1 (ko) * 1995-12-28 2000-10-16 엔도 마코토 플라스마처리방법및플라스마처리장치
US6054013A (en) * 1996-02-02 2000-04-25 Applied Materials, Inc. Parallel plate electrode plasma reactor having an inductive antenna and adjustable radial distribution of plasma ion density
US6036878A (en) * 1996-02-02 2000-03-14 Applied Materials, Inc. Low density high frequency process for a parallel-plate electrode plasma reactor having an inductive antenna
US5669975A (en) * 1996-03-27 1997-09-23 Sony Corporation Plasma producing method and apparatus including an inductively-coupled plasma source
DE69719108D1 (de) * 1996-05-02 2003-03-27 Tokyo Electron Ltd Plasmabehandlungsgerät
US6342277B1 (en) * 1996-08-16 2002-01-29 Licensee For Microelectronics: Asm America, Inc. Sequential chemical vapor deposition
US5993916A (en) * 1996-07-12 1999-11-30 Applied Materials, Inc. Method for substrate processing with improved throughput and yield
US5916365A (en) * 1996-08-16 1999-06-29 Sherman; Arthur Sequential chemical vapor deposition
US5942855A (en) * 1996-08-28 1999-08-24 Northeastern University Monolithic miniaturized inductively coupled plasma source
FI100758B (fi) * 1996-09-11 1998-02-13 Planar Internat Oy Ltd Menetelmä ZnS:Mn-loisteainekerroksen kasvattamiseksi ohutkalvoelektrol uminenssikomponentteja varten
US5963840A (en) * 1996-11-13 1999-10-05 Applied Materials, Inc. Methods for depositing premetal dielectric layer at sub-atmospheric and high temperature conditions
US6184158B1 (en) * 1996-12-23 2001-02-06 Lam Research Corporation Inductively coupled plasma CVD
US6174377B1 (en) * 1997-03-03 2001-01-16 Genus, Inc. Processing chamber for atomic layer deposition processes
KR19990011877A (ko) 1997-07-25 1999-02-18 양재신 차량 백 미러 자동 제어 장치
US6161500A (en) * 1997-09-30 2000-12-19 Tokyo Electron Limited Apparatus and method for preventing the premature mixture of reactant gases in CVD and PECVD reactions
KR100274603B1 (ko) * 1997-10-01 2001-01-15 윤종용 반도체장치의제조방법및그의제조장치
US6079356A (en) * 1997-12-02 2000-06-27 Applied Materials, Inc. Reactor optimized for chemical vapor deposition of titanium
US6104074A (en) * 1997-12-11 2000-08-15 Apa Optics, Inc. Schottky barrier detectors for visible-blind ultraviolet detection
US20020011215A1 (en) * 1997-12-12 2002-01-31 Goushu Tei Plasma treatment apparatus and method of manufacturing optical parts using the same
US6112696A (en) * 1998-02-17 2000-09-05 Dry Plasma Systems, Inc. Downstream plasma using oxygen gas mixture
WO1999049705A1 (fr) * 1998-03-20 1999-09-30 Tokyo Electron Limited Dispositif de traitement plasmique
KR100275738B1 (ko) * 1998-08-07 2000-12-15 윤종용 원자층 증착법을 이용한 박막 제조방법
US6188134B1 (en) * 1998-08-20 2001-02-13 The United States Of America As Represented By The Secretary Of The Navy Electronic devices with rubidium barrier film and process for making same
US6074953A (en) * 1998-08-28 2000-06-13 Micron Technology, Inc. Dual-source plasma etchers, dual-source plasma etching methods, and methods of forming planar coil dual-source plasma etchers
US6117788A (en) * 1998-09-01 2000-09-12 Micron Technology, Inc. Semiconductor etching methods
US6302057B1 (en) * 1998-09-15 2001-10-16 Tokyo Electron Limited Apparatus and method for electrically isolating an electrode in a PECVD process chamber
JP4109809B2 (ja) * 1998-11-10 2008-07-02 キヤノン株式会社 酸化チタンを含む細線の製造方法
US6113759A (en) * 1998-12-18 2000-09-05 International Business Machines Corporation Anode design for semiconductor deposition having novel electrical contact assembly
US6230651B1 (en) * 1998-12-30 2001-05-15 Lam Research Corporation Gas injection system for plasma processing
US6740247B1 (en) * 1999-02-05 2004-05-25 Massachusetts Institute Of Technology HF vapor phase wafer cleaning and oxide etching
US6305314B1 (en) * 1999-03-11 2001-10-23 Genvs, Inc. Apparatus and concept for minimizing parasitic chemical vapor deposition during atomic layer deposition
US6200893B1 (en) * 1999-03-11 2001-03-13 Genus, Inc Radical-assisted sequential CVD
JP3595853B2 (ja) * 1999-03-18 2004-12-02 日本エー・エス・エム株式会社 プラズマcvd成膜装置
US6266712B1 (en) * 1999-03-27 2001-07-24 Joseph Reid Henrichs Optical data storage fixed hard disk drive using stationary magneto-optical microhead array chips in place of flying-heads and rotary voice-coil actuators
KR100273473B1 (ko) * 1999-04-06 2000-11-15 이경수 박막 형성 방법
US6170430B1 (en) * 1999-04-13 2001-01-09 Applied Materials, Inc. Gas feedthrough with electrostatic discharge characteristic
US6268288B1 (en) * 1999-04-27 2001-07-31 Tokyo Electron Limited Plasma treated thermal CVD of TaN films from tantalum halide precursors
JP3668079B2 (ja) * 1999-05-31 2005-07-06 忠弘 大見 プラズマプロセス装置
KR20010002399A (ko) * 1999-06-15 2001-01-15 윤종용 화학기상증착 설비
WO2000079576A1 (en) * 1999-06-19 2000-12-28 Genitech, Inc. Chemical deposition reactor and method of forming a thin film using the same
KR100319494B1 (ko) * 1999-07-15 2002-01-09 김용일 원자층 에피택시 공정을 위한 반도체 박막 증착장치
JP2003506888A (ja) * 1999-08-06 2003-02-18 アドバンスト・エナジー・インダストリーズ・インコーポレイテッド ガスおよび材料を処理する誘導結合環状プラズマ源装置およびその方法
US6511539B1 (en) * 1999-09-08 2003-01-28 Asm America, Inc. Apparatus and method for growth of a thin film
US6364949B1 (en) * 1999-10-19 2002-04-02 Applied Materials, Inc. 300 mm CVD chamber design for metal-organic thin film deposition
US6203613B1 (en) * 1999-10-19 2001-03-20 International Business Machines Corporation Atomic layer deposition with nitrate containing precursors
US6432259B1 (en) * 1999-12-14 2002-08-13 Applied Materials, Inc. Plasma reactor cooled ceiling with an array of thermally isolated plasma heated mini-gas distribution plates
US6391146B1 (en) * 2000-04-11 2002-05-21 Applied Materials, Inc. Erosion resistant gas energizer
US20020104481A1 (en) * 2000-12-06 2002-08-08 Chiang Tony P. System and method for modulated ion-induced atomic layer deposition (MII-ALD)
US6416822B1 (en) * 2000-12-06 2002-07-09 Angstrom Systems, Inc. Continuous method for depositing a film by modulated ion-induced atomic layer deposition (MII-ALD)
US6949450B2 (en) * 2000-12-06 2005-09-27 Novellus Systems, Inc. Method for integrated in-situ cleaning and subsequent atomic layer deposition within a single processing chamber
US6428859B1 (en) * 2000-12-06 2002-08-06 Angstron Systems, Inc. Sequential method for depositing a film by modulated ion-induced atomic layer deposition (MII-ALD)
US6878402B2 (en) * 2000-12-06 2005-04-12 Novellus Systems, Inc. Method and apparatus for improved temperature control in atomic layer deposition
US6605314B2 (en) * 2000-12-14 2003-08-12 Kemet Electronics Corporation Method of applying masking material
US6630201B2 (en) * 2001-04-05 2003-10-07 Angstron Systems, Inc. Adsorption process for atomic layer deposition
US20020073924A1 (en) * 2000-12-15 2002-06-20 Chiang Tony P. Gas introduction system for a reactor
US6800173B2 (en) * 2000-12-15 2004-10-05 Novellus Systems, Inc. Variable gas conductance control for a process chamber
US20020076507A1 (en) * 2000-12-15 2002-06-20 Chiang Tony P. Process sequence for atomic layer deposition
US20020076481A1 (en) * 2000-12-15 2002-06-20 Chiang Tony P. Chamber pressure state-based control for a reactor
US7348042B2 (en) * 2001-03-19 2008-03-25 Novellus Systems, Inc. Continuous method for depositing a film by modulated ion-induced atomic layer deposition (MII-ALD)
JP2002371361A (ja) * 2001-06-18 2002-12-26 Japan Pionics Co Ltd 気相成長装置及び気相成長方法
KR100400044B1 (ko) * 2001-07-16 2003-09-29 삼성전자주식회사 간격 조절 장치를 가지는 웨이퍼 처리 장치의 샤워 헤드
WO2003023835A1 (en) * 2001-08-06 2003-03-20 Genitech Co., Ltd. Plasma enhanced atomic layer deposition (peald) equipment and method of forming a conducting thin film using the same thereof
US6756318B2 (en) * 2001-09-10 2004-06-29 Tegal Corporation Nanolayer thick film processing system and method

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20220167015A (ko) * 2021-06-11 2022-12-20 세메스 주식회사 기판 처리 장치
KR102570523B1 (ko) * 2021-06-11 2023-08-24 세메스 주식회사 기판 처리 장치

Also Published As

Publication number Publication date
EP1421606A1 (en) 2004-05-26
JP2005502784A (ja) 2005-01-27
US20060276037A1 (en) 2006-12-07
US7138336B2 (en) 2006-11-21
EP1421606A4 (en) 2008-03-05
US20040231799A1 (en) 2004-11-25
WO2003023835A1 (en) 2003-03-20

Similar Documents

Publication Publication Date Title
JP4666912B2 (ja) プラズマで補強した原子層蒸着装置及びこれを利用した薄膜形成方法
TWI671792B (zh) 基板處理設備
KR100721504B1 (ko) 플라즈마 강화 원자층 증착 장치 및 이를 이용한 박막형성방법
US5015330A (en) Film forming method and film forming device
US20060137608A1 (en) Atomic layer deposition apparatus
US8334218B2 (en) Method of forming non-conformal layers
KR101563030B1 (ko) 결합식 플라즈마 강화 증착 기법
US7189432B2 (en) Varying conductance out of a process region to control gas flux in an ALD reactor
JP3606588B2 (ja) 高アスペクト比を持つ珪素半導体デバイス接点を金属化する方法及び装置
US20040224504A1 (en) Apparatus and method for plasma enhanced monolayer processing
JP5909484B2 (ja) 短寿命種のためのプラズマ源を組み込んだプロセスチャンバ蓋の設計
TWI452609B (zh) 用於半導體製程之垂直電漿處理裝置及用於半導體製程之垂直電漿膜形成裝置
JPH10147880A (ja) 薄膜形成装置
JP2004538374A (ja) 原子層堆積反応装置
JP2005089823A (ja) 成膜装置および成膜方法
JP4149051B2 (ja) 成膜装置
KR20150075363A (ko) Ti막의 성막 방법
WO2022172757A1 (ja) 成膜装置及び成膜方法
JP3716240B2 (ja) 酸化金属膜を作製する方法及び装置
KR100965402B1 (ko) 플라스마를 이용한 박막 증착 장치
KR102700194B1 (ko) 기판 처리 장치
US20230335377A1 (en) Showerhead assembly with heated showerhead
JP3632564B2 (ja) 半導体ウェハの製造方法およびプラズマcvd装置
TW202428926A (zh) 用於噴射氣體的設備、用於處理基板的設備及用於沉積薄膜的方法
JP4350686B2 (ja) 窒化金属膜を作製する方法及び装置

Legal Events

Date Code Title Description
A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20061201

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20070320

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20070620

A02 Decision of refusal

Free format text: JAPANESE INTERMEDIATE CODE: A02

Effective date: 20070925

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20080118

A911 Transfer to examiner for re-examination before appeal (zenchi)

Free format text: JAPANESE INTERMEDIATE CODE: A911

Effective date: 20080227

A912 Re-examination (zenchi) completed and case transferred to appeal board

Free format text: JAPANESE INTERMEDIATE CODE: A912

Effective date: 20080328

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20101105

A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20110111

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20140121

Year of fee payment: 3

R150 Certificate of patent or registration of utility model

Ref document number: 4666912

Country of ref document: JP

Free format text: JAPANESE INTERMEDIATE CODE: R150

Free format text: JAPANESE INTERMEDIATE CODE: R150

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

S531 Written request for registration of change of domicile

Free format text: JAPANESE INTERMEDIATE CODE: R313531

S533 Written request for registration of change of name

Free format text: JAPANESE INTERMEDIATE CODE: R313533

R350 Written notification of registration of transfer

Free format text: JAPANESE INTERMEDIATE CODE: R350

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

EXPY Cancellation because of completion of term