KR102378021B1 - SiOC 박막의 형성 - Google Patents

SiOC 박막의 형성 Download PDF

Info

Publication number
KR102378021B1
KR102378021B1 KR1020170057021A KR20170057021A KR102378021B1 KR 102378021 B1 KR102378021 B1 KR 102378021B1 KR 1020170057021 A KR1020170057021 A KR 1020170057021A KR 20170057021 A KR20170057021 A KR 20170057021A KR 102378021 B1 KR102378021 B1 KR 102378021B1
Authority
KR
South Korea
Prior art keywords
sioc
reactant
substrate
plasma
thin film
Prior art date
Application number
KR1020170057021A
Other languages
English (en)
Other versions
KR20170125748A (ko
Inventor
토시야 스즈키
빌랴미 제이. 포레
Original Assignee
에이에스엠 아이피 홀딩 비.브이.
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 에이에스엠 아이피 홀딩 비.브이. filed Critical 에이에스엠 아이피 홀딩 비.브이.
Publication of KR20170125748A publication Critical patent/KR20170125748A/ko
Priority to KR1020220034338A priority Critical patent/KR102515145B1/ko
Application granted granted Critical
Publication of KR102378021B1 publication Critical patent/KR102378021B1/ko

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02126Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing Si, O, and at least one of H, N, C, F, or other non-metal elements, e.g. SiOC, SiOC:H or SiONC
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/32Carbides
    • C23C16/325Silicon carbide
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/40Oxides
    • C23C16/401Oxides containing silicon
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/4401Means for minimising impurities, e.g. dust, moisture or residual gas, in the reaction chamber
    • C23C16/4408Means for minimising impurities, e.g. dust, moisture or residual gas, in the reaction chamber by purging residual gases from the reaction chamber or gas lines
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45527Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations
    • C23C16/45536Use of plasma, radiation or electromagnetic fields
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45553Atomic layer deposition [ALD] characterized by the use of precursors specially adapted for ALD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02205Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
    • H01L21/02208Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02205Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
    • H01L21/02208Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si
    • H01L21/02214Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound comprising silicon and oxygen
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/02274Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition in the presence of a plasma [PECVD]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/0228Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition deposition by cyclic CVD, e.g. ALD, ALE, pulsed CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/324Thermal treatment for modifying the properties of semiconductor bodies, e.g. annealing, sintering

Abstract

반응 공간 내에서 기재 상에 실리콘 옥시카바이드(SiOC) 박막을 증착시키는 방법에 제공된다. 상기 방법은 질소를 포함하지 않는 실리콘 전구체와 산소를 포함하지 않는 제2반응물로 상기 기재를 교대로 및 순차적으로 접촉시키는 적어도 1회의 플라즈마 강화 원자 층 증착(PEALD) 사이클을 포함할 수 있다. 일부 구현예에서, 상기 방법은 개선된 산성계(acid-based) 습식 에칭 내성을 갖는 SiOC 막의 증착을 허용한다.

Description

SiOC 박막의 형성{Formation of SiOC thin films}
본 개시는 일반적으로, 반도체 소자 제조 분야에 관한 것이며, 더욱 구체적으로는, 원하는 내화학성을 갖는 실리콘 옥시카바이드(silicon oxycarbide: SiOC) 막의 형성에 관한 것이다.
상대적으로 낮은 유전 상수(k) 값 및 상대적으로 낮은 산성계(acid-based) 습식 에칭 속도를 갖는 유전 물질에 대한 증가되는 요구가 존재한다.
실리콘 옥시카바이드 또는 실리콘 옥시카보나이트라이드(silicon oxycarbonitride: SiOCN)는 이러한 요구 조건 중 일부를 만족시킬 수 있다. 일반적으로, SiOC 또는 SiOCN을 위한 증착 공정은 산소 플라즈마를 요구한다. 또한, SiOCN 막 내의 상기 질소는 공정 동안에 문제를 일으킬 수 있는데, 예를 들어, SiOCN막이 포토레지스트 오염(photoresist poisoning)을 일으킬 수 있다.
일부 실시예들에서, 반응 공간 내에서 기재 상에 실리콘 옥시카바이드(SiOC) 박막을 형성하기 위한 플라즈마 강화 원자층 증착 공정이 제공된다. 일부 실시예들에서, 상기 공정 또는 방법은 질소를 포함하지 않는 실리콘 전구체와 상기 기재의 표면을 접촉시키는 단계; 수소를 포함하는 제2반응물로부터 형성된 플라즈마에 의해 생성된 적어도 1종의 반응성 종과 상기 흡착된 실리콘 종을 접촉시키는 단계로서, 상기 제2반응물은 산소를 포함하지 않는 단계; 및 선택적으로, 원하는 두께의 SiOC 막이 형성될 때까지 상기 접촉시키는 단계들을 반복하는 단계; 를 포함하는 1회 이상의 증착 사이클을 포함할 수 있다.
일부 실시예들에서, 열적 실리콘 산화물의 습식 에칭 속도에 대한 상기 SiOC 박막의 습식 에칭 속도의 비율은 약 5 미만이다. 일부 실시예들에서, 열적 실리콘 산화물의 습식 에칭 속도에 대한 상기 SiOC 박막의 습식 에칭 속도의 비율은 약 0.3 미만이다. 일부 실시예들에서, 열적 실리콘 산화물의 습식 에칭 속도에 대한 상기 SiOC 박막의 습식 에칭 속도의 비율은 약 0.1 미만이다. 일부 실시예들에서, 상기 SiOC 박막은 상기 기재 상의 3차원 구조물 상에 증착된다. 일부 실시예들에서, 상기 3차원 구조물의 측벽 표면 상에 형성된 SiOC의 습식 에칭 속도에 대한 상기 3차원 구조물의 상면 상에 형성된 SiOC의 습식 에칭 속도의 습식 에칭 속도 비율은 희석된 HF 내에서 약 1:1이다.
일부 실시예들에서, 상기 기상 실리콘 전구체는 할로겐을 포함하지 않는다. 일부 실시예들에서, 상기 기상 실리콘 전구체는 비스(트리에톡시실릴)에탄(bis(triethoxysilyl)ethane: BTESE)을 포함한다. 일부 실시예들에서, 상기 기상 실리콘 전구체는 3-페톡시프로필트리메톡시실란(3-methoxypropyltrimethoxysilane: MPTMS)을 포함한다. 일부 실시예들에서, 상기 기상 실리콘 전구체는 (3-머캅토프로필)트리메톡시실란((3-mercaptopropyl)trimethoxysilane)을 포함한다. 일부 실시예들에서, 상기 반응성 종은 수소 플라즈마, 수소 원자, 수소 라디칼 또는 수소 이온을 포함한다. 일부 실시예들에서, 상기 반응성 종은 비활성 기체를 포함하는 제2반응물로부터 생성된다. 일부 실시예들에서, 상기 제2반응물은 H2를 포함한다. 일부 실시예들에서, 상기 반응성 종은 약 20 원자% 미만의 질소를 포함하는 제2반응물로부터 생성된다. 일부 실시예들에서, 상기 제2반응물은 본질적으로 H2로 이루어진다.
일부 실시예들에서, 상기 SiOC 박막은 20 원자% 이상의 산소를 포함한다. 일부 실시예들에서, 상기 SiOC 박막은 0.1 원자% 이상의 탄소를 포함한다. 일부 실시예들에서, 상기 SiOC 박막은 1 원자% 이상의 탄소를 포함한다. 일부 실시예들에서, 상기 SiOC 박막은 5 원자% 이상의 탄소를 포함한다. 일부 실시예들에서, 상기 SiOC 박막은 약 10 원자% 미만의 질소를 포함한다.
일부 실시예들에서, 반응 공간 내에서 기재 상에 실리콘 옥시카바이드(SiOC) 박막을 형성하기 위한 방법이 제공된다. 일부 실시예들에서, 이러한 방법은 복수의 증착 사이클을 포함할 수 있고, 1회 이상의 증착 사이클은 질소를 포함하지 않는 실리콘 전구체 및 수소를 포함하는 적어도 1종의 반응성 종을 포함하는 제2반응물로 상기 기재의 표면을 교대로 및 순차적으로 접촉시키는 단계를 포함한다. 일부 실시예들에서, 상기 증착 사이클은 2회 이상 반복되어 상기 SiOC 박막을 형성한다.
일부 실시예들에서, 상기 적어도 1 종의 반응성 종은 산소를 포함하지 않는 기체로부터 형성된 플라즈마에 의해 생성된다. 일부 실시예들에서, 상기 적어도 1 종의 반응성 종은 질소를 포함하지 않는 기체로부터 형성된 플라즈마에 의해 생성된다. 일부 실시예들에서, 상기 실리콘 전구체는 (RIIO)3 Si - RI - Si(ORII)3의 일반식을 갖고, 상기 식 중 RI 및 RII는 독립적으로, C1-C5 알킬 리간드 중에서 선택된다. 일부 실시예들에서, 상기 실리콘 전구체는 BTESE를 포함한다. 일부 실시예들에서, 상기 실리콘 전구체는 Si(ORI)4 - xRII x의 일반식을 갖고, 상기 식 중 x는 0 내지 3의 정수이고, RI는 독립적으로 C1-C7 알킬 리간드 중에서 선택되고, RII는 독립적으로 탄소 및/또는 수소 및/또는 산소로 이루어진 리간드 중에서 선택된다. 일부 실시예들에서, 상기 실리콘 전구체는 MPTMS를 포함한다. 일부 실시예들에서, 상기 실리콘 전구체는 (RIO)4- xSi-(RII-O-RIII)x의 일반식을 갖고, 상기 식 중 x는 0 내지 3의 정수이고, RI 및 RII는 각각 독립적으로 C1-C7 알킬 리간드 중에서 선택되고, RIII는 독립적으로 탄소 및/또는 수소 및/또는 산소로 이루어진 리간드 중에서 선택된다.
일부 실시예들에서, 1회 이상의 증착 사이클은 PEALD 사이클이다. 일부 실시예들에서, 반응성 종은 상기 제2반응물에 5 W(와트) 내지 약 5000 W의 RF 전력을 인가함으로써 생성된다. 일부 실시예들에서, 상기 증착 사이클은 약 100 ℃ 내지 약 300 ℃의 공정 온도에서 수행된다. 일부 실시예들에서, 상기 증착 공정은 약 100 ℃ 미만의 공정 온도에서 수행된다. 일부 실시예들에서, 상기 기재는 유기물을 포함한다.
일부 구현예들에 있어서, 반응 공간 내에서 기재 상에 실리콘 옥시카바이드(SiOC) 박막을 형성하기 위한 방법이 제공된다. 일부 실시예들에서, 상기 방법은 질소를 포함하지 않는 실리콘 전구체로 상기 기재의 표면을 접촉시키는 단계; 여분의 실리콘 전구체 및 반응 부산물이 있다면, 이들을 제거하기 위하여 퍼지 가스(purge gas) 및/또는 진공에 상기 기재를 노출시키는 단계; 수소를 포함하는 제2반응물과 상기 기재의 표면을 접촉시키는 단계로서, 상기 제2반응물은 플라즈마에 의해 생성된 적어도 1 종의 반응성 종을 포함하는 단계; 여분의 제2반응물 및 반응 부산물이 있다면, 이들을 제거하기 위하여 퍼지 가스 및/또는 진공에 상기 기재를 노출시키는 단계; 및 원하는 두께의 SiOC 박막이 형성될 때까지 상기 접촉시키는 단계들을 반복하는 단계; 를 포함할 수 있다.
도 1은 본 개시의 일부 실시예들에 따른 플라즈마 강화 원자층 증착(PEALD) 공정에 의한 실리콘 옥시카바이드(SiOC) 박막을 증착하기 위한 공정 흐름도이다.
도 2는 일부 실시예들에 따른 예시적인 실리콘 전구체의 분자 구조를 도시한다.
도 3은 일부 실시예들에 따라 증착된 SiOC 샘플 막에 대한 전구체 병 온도 대 SiOC 막 성장 속도의 그래프를 도시한다.
도 4는 일부 실시예들에 따라 증착된 막에 대한 플라즈마 전력의 함수로서 SiOC 막 성장 속도, 굴절률 및 희석된 HF(0.5 중량%)에서 열적 실리콘 산화물의 습식 에칭 속도의 비율(WERR 대 TOX)을 나타낸다.
도 5는 일부 실시예들에 따라 증착된 막에 대한 SiOC 막 성장 속도 대 제2반응물 기체 조성을 나타낸다.
실리콘 옥시카바이드 (SiOC) 막은, 당업자에게 명백한 것과 같이, 예를 들어 집적 회로 제조에서 매우 다양하게 응용된다. 더욱 상세하게는, 낮은 에칭 속도를 나타내는 SiOC 막은 반도체 산업 내에서 및 반도체 산업 외부에서 모두 다양하게 응용된다. SiOC 막은 예를 들어 식각 정지층, 희생층, 로우-k 스페이서(low-k spacer), 반사-방지층(anti-reflection layers: ARL) 및 패시베이션층으로서 유용할 수 있다.
본 개시의 일부 실시예들에 따르면, 다양한 SiOC막, 전구체 및 상기 막의 증착을 위한 방법이 제공된다. 일부 실시예들에서, 상기 SiOC 막은 예를 들어 희석된 HF 내에서 상대적으로 낮은 습식 에칭 속도를 갖는다.
일부 실시예들에서, SiOC 박막은 플라즈마 강화 원자층 증착(PEALD) 공정에 의해 기재 상에 증착된다. 일부 실시예들에서, SiOC 박막은 액상(liquid phase) 방법에 의해 증착되지 않는다. 일부 실시예들에서, SiOC 박막은 핀펫(finFET) 소자의 형성에서의 핀과 같은 3차원 구조물 상에 증착된다.
상기 실리콘 옥시카바이드 막의 식은 편의성 및 단순성을 위하여 여기서 일반적으로 SiOC로 지칭된다. 여기서 사용된 바와 같이, SiOC는 상기 막 내의 Si, O, C 및/또는 임의의 다른 원소 중 어느 것의 결합 또는 화학적 상태, 예를 들어 산화 상태를 제한하거나, 한정하거나 또는 정의하도록 의도되지 않는다. 또한, 일부 실시예들에서, SiOC 박막은 Si, O 및/또는 C에 추가로 S와 같은 1종 이상의 원소를 포함할 수 있다. 일부 실시예들에서, 상기 SiOC 막은 Si-C 결합 및/또는 Si-O 결합을 포함할 수 있다. 일부 실시예들에서, 상기 SiOC 막은 Si-C 결합 및 Si-O 결합을 포함할 수 있고, Si-N 결합을 포함하지 않을 수 있다. 일부 실시예들에서, 상기 SiOC 막은 Si-C 및/또는 Si-O 결합에 추가로 Si-S 결합을 포함할 수 있다. 일부 실시예들에서, 상기 SiOC 막은 Si-C 결합보다 많은 Si-O 결합을 포함할 수 있고, 예를 들어, Si-O 결합 대 Si-C 결합의 비율은 약 1:1 내지 약 10:1일 수 있다. 일부 실시예들에서, 상기 SiOC는 원자 기준으로 약 0% 내지 약 40%의 탄소를 포함할 수 있다. 일부 실시예들에서, 상기 SiOC는 원자 기준으로 약 0.1% 내지 약 40 %, 약 0.5% 내지 약 30%, 약, 1% 내지 약 30%, 또는 약 5% 내지 약 20%의 탄소를 포함할 수 있다. 일부 실시예들에서, 상기 SiOC 막은 원자 기준으로 약 0% 내지 약 70%의 산소를 포함할 수 있다. 일부 실시예들에서, 상기 SiOC는 원자 기준으로 약 10% 내지 약 70 %, 약 15% 내지 약 50%, 또는 약 20% 내지 약 40%의 산소를 포함할 수 있다. 일부 실시예들에서, 상기 SiOC 막은 원자 기준으로 약 0% 내지 약 50%의 실리콘을 포함할 수 있다. 일부 실시예들에서, 상기 SiOC는 원자 기준으로 약 10% 내지 약 50 %, 약 15% 내지 약 40%, 또는 약 20% 내지 약 35%의 실리콘을 포함할 수 있다. 일부 실시예들에서, 상기 SiOC는 원자 기준으로 약 0.1% 내지 약 40 %, 약 0.5% 내지 약 30%, 약 1% 내지 약 30%, 또는 약 5% 내지 약 20%의 황을 포함할 수 있다. 일부 실시예들에서, 상기 SiOC 막은 질소를 포함하지 않을 수 있다. 일부 다른 실시예들에 있어서, 상기 SiOC 막은 원자 기준(원자%)으로 약 0% 내지 약 5%의 질소를 포함할 수 있다.
ALD-타입의 공정은 조절되며 일반적으로 자기-제한적인 표면 반응에 기초한다. 상기 반응물과 상기 기재를 교대로 및 순차적으로 접촉시키는 단계에 의해 기상 반응이 일반적으로 방지된다. 기상 반응물은 예를 들어 반응물 펄스들 사이에 여분의 반응물 및/또는 반응 부산물을 제거함으로써 상기 반응 챔버 내에서 서로 분리된다. 상기 반응물은 퍼지 가스 및/또는 진공의 도움으로 상기 기재 표면의 인접부(proximity)로부터 제거될 수 있다. 일부 실시예들에서, 여분의 반응물 및/또는 반응 부산물은 예를 들어 불활성 기체에 의해 퍼징함으로써 상기 반응 공간으로부터 제거된다.
일부 실시예들에서, 플라즈마 강화 ALD(PEALD) 공정은 SiOC 막을 증착하는데 사용된다. 일부 실시예들에서, 여기서 설명된 PEALD 공정은 산소 플라즈마를 사용하지 않는다. 일부 실시예들에서, 여기서 설명된 PEALD 공정은 산소 플라즈마를 포함하는 반응물을 포함하지 않는다. 일부 실시예들에서, 여기서 설명된 PEALD 공정은 질소 플라즈마를 사용하지 않는다. 일부 실시예들에서, 여기서 설명된 PEALD 공정은 질소 플라즈마를 포함하는 반응물을 포함하지 않는다. 일부 실시예들에서, 여기서 설명된 PEALD 공정은 수소 플라즈마를 사용할 수 있다. 일부 실시예들에서, 여기서 설명된 PEALD 공정은 수소 플라즈마를 포함하는 반응물을 포함할 수 있다. 간략히, 기재 또는 대상물이 반응 챔버 내에 놓여지고, 교대로 반복되는 표면 반응이 가해진다. 일부 실시예들에서, 얇은 SiOC 막이 자기-제한적인 ALD 사이클의 반복에 의해 형성된다. 일부 실시예들에서, SiOC 막을 형성하기 위하여, 각각의 ALD 사이클은 2종 이상의 구별되는 단계를 포함한다. 접촉시키는 단계 및 상기 기재로부터 반응물 또는 전구체를 제거하는 단계는 하나의 단계로 여겨질 수 있다. 제1단계에서, 실리콘을 포함하는 기상 제1반응물 또는 전구체가 상기 기재에 접촉하고, 상기 기재의 표면 상에 약 하나의 단일층을 형성한다. 이러한 반응물은 또한, 여기서 "실리콘 전구체", "실리콘-함유 전구체" 또는 "실리콘 반응물"로 지칭되고, 예를 들어, 비스(트리에톡시실릴)에탄(bis(triethoxysilyl)ethan: BTESE) 또는 3-메톡시프로필트리메톡시실란(3-methoxypropyltrimethoxysilane: MPTMS)일 수 있다. 일부 실시예들에서, 여분의 제1기상 반응물 및 임의의 반응 부산물이 상기 기재 표면의 인접부로부터 제거된다. 상기 제1기상 반응물 및 임의의 반응 부산물은 퍼지 가스 및/또는 진공의 도움으로 상기 기재 표면의 인접부로부터 제거될 수 있다. 일부 실시예들에서, 여분의 반응물 및/또는 반응 부산물은 예를 들어 불활성 기체로 퍼징함으로써 상기 반응 공간으로부터 제거된다. 일부 실시예들에서, 상기 반응물 및/또는 반응 부산물의 제거를 용이하게 하기 위하여, 상기 기재를 이동시킬 수 있고, 예를 들어 상기 기재를 다른 반응 챔버에 이동시킬 수 있다.
제2단계에서, 반응성 종을 포함하는 제2반응물이 상기 기재에 접촉하고, 흡착된 실리콘을 SiOC로 전환시킬 수 있다. 일부 실시예들에서, 상기 제2반응물은 수소 전구체를 포함한다. 일부 실시예들에서, 상기 반응성 종은 여기된 종을 포함한다. 일부 실시예들에서, 상기 제2반응물은 플라즈마를 포함하는 수소로부터의 종을 포함한다. 일부 실시예들에서, 상기 제2반응물은 수소 라디칼, 수소 원자 및/또는 수소 플라즈마를 포함한다. 상기 제2반응물은 수소 전구체가 아닌 다른 종을 포함할 수 있다. 일부 실시예들에서, 상기 제2반응물은 He, Ne, Ar, Kr 또는 Xe 중 1종 이상과 같은 비활성 기체로부터의 종을 예를 들어, 라디칼로서, 플라즈마 형태로서, 또는 원소 형태로서 포함할 수 있다. 비활성 기체로부터의 이러한 반응성 종은 증착된 막에 필수적으로 물질을 기여하지는 않으나, 일부 상황들에서 막 성장에 기여할 뿐만 아니라 플라즈마의 형성 및 점화를 도울 수 있다. 일부 실시예들에서, 비활성 기체로부터 생성된 상기 반응성 종은 하부 기재에 대한 손상의 양 또는 범위에 영향을 줄 수 있다. 당업자는 특정 응용에 적합한 비활성 기체 또는 기체를 선택할 수 있을 것이다. 일부 실시예들에서, 플라즈마를 형성하는데 사용되는 기체는 상기 증착 공정을 내내 일정하게 흐를 수도 있으나, 간헐적으로 활성화될 수도 있다. 일부 실시예들에서, 플라즈마를 형성하는데 사용되는 기체는 산소를 포함하지 않는다. 일부 실시예들에서, 상기 흡착된 실리콘 전구체는 산소로부터의 플라즈마에 의해 생성된 반응성 종과 접촉하지 않는다. 일부 실시예들에서, 반응성 종을 포함하는 제2반응물은 산소를 포함하지 않는 기체 내에서 생성된다. 예를 들어, 일부 실시예들에서, 제2반응물은 산소를 포함하지 않는 기체 내에서 생성된 플라즈마를 포함할 수 있다. 일부 실시예들에서, 상기 제2반응물은 약 50 원자% 미만의 산소, 약 30 원자% 미만의 산소, 약 10 원자% 미만의 산소, 약 5 원자% 미만의 산소, 약 1 원자% 미만의 산소, 약 0.1 원자% 미만의 산소, 약 0.01 원자% 미만의 산소 또는 약 0.001원자% 미만의 산소를 포함하는 기체 내에서 생성될 수 있다.
일부 실시예들에서, 플라즈마를 형성하는데 사용되는 기체는 질소를 포함하지 않는다. 일부 실시예들에서, 상기 흡착된 실리콘 전구체는 질소로부터의 플라즈마에 의해 생성된 반응성 종과 접촉하지 않는다. 일부 실시예들에서, 반응성 종을 포함하는 제2반응물은 질소를 포함하지 않는 기체 내에서 생성된다. 예를 들어, 일부 실시예들에서, 제2반응물은 질소를 포함하지 않는 기체 내에서 생성된 플라즈마를 포함할 수 있다. 그러나, 일부 실시예들에서, 플라즈마를 형성하는데 사용되는 기체는 질소를 포함할 수 있다. 일부 다른 실시예들에서, 상기 제2반응물은 질소 라디칼, 질소 원자 및/또는 질소 플라즈마를 포함할 수 있다. 다른 실시예들에서, 상기 제2반응물은 약 25 원자% 미만의 질소, 약 20 원자% 미만의 질소, 약 15 원자% 미만의 질소, 약 10 원자% 미만의 질소, 약 5 원자% 미만의 질소, 약 1 원자% 미만의 질소, 약 0.1 원자% 미만의 질소, 약 0.01 원자% 미만의 질소, 또는 약 0.001 원자% 미만의 질소를 포함하는 기체 내에서 생성될 수 있다. 일부 실시예들에서, 상기 제2반응물은 수소 및 질소를 포함하는 기체 내에서 생성될 수 있고, 에를 들어, 상기 제2반응물은 H2 및 N2를 포함할 수 있다. 일부 실시예들에서, 상기 제2반응물은 약 20% 미만, 약 10% 미만 또는 약 5% 미만의 N2 대 H2의 비율(N2/H2)을 갖는 기체 내에서 생성될 수 있다.
일부 실시예들에서, 플라즈마를 형성하는데 사용되는 기체는 질소 또는 산소를 포함하지 않는다. 일부 실시예들에서, 상기 흡착된 실리콘 전구체는 질소 또는 산소로부터의 플라즈마에 의해 생성된 반응성 종과 접촉하지 않는다. 일부 실시예들에서, 반응성 종을 포함하는 제2반응물은 질소 또는 산소를 포함하지 않는 기체 내에서 생성된다. 예를 들어, 일부 실시예들에서, 제2반응물은 질소 또는 산소를 포함하지 않는 기체 내에서 생성된 플라즈마를 포함할 수 있다.
일부 실시예들에서, 여분의 제2반응물 및 임의의 반응 부산물은 상기 기재 표면의 인접부로부터 제거된다. 상기 제2반응물 및 임의의 반응 부산물은 퍼지 가스 및/또는 진공의 도움으로 상기 기재 표면의 인접부로부터 제거될 수 있다. 일부 실시예들에서, 여분의 반응물 및/또는 반응 부산물은 예를 들어 비활성 기체로 퍼징함으로써 상기 반응 공간으로부터 제거된다. 일부 실시예들에서, 상기 반응물 및/또는 반응 부산물의 제거를 용이하게 하기 위하여, 상기 기재를 이동시킬 수 있고, 예를 들어 상기 기재를 다른 반응 챔버에 이동시킬 수 있다.
최종 막의 조성을 원하는대로 조절하기 위해 추가의 단계가 부가될 수 있고 단계가 제거될 수 있다.
상기 반응물 중 1종 이상은 Ar 또는 He와 같은 캐리어 기체의 도움으로 제공될 수 있다. 일부 실시예들에서, 상기 실리콘 전구체 및 상기 제2 반응물이 캐리어 기체의 도움으로 제공된다.
일부 실시예들에서, 2종의 단계들이 중첩되거나 또는 결합될 수 있다. 예를 들어, 상기 실리콘 전구체 및 상기 제2 반응물은 부분적으로 또는 완전히 중첩되는 단계 내에서 상기 기재에 동시에 접촉될 수 있다. 추가적으로, 제1 단계 및 제2 단계, 및 상기 제1 반응물 및 제2 반응물로서 지칭되기는 하지만, 상기 단계들의 순서는 달라질 수 있고, ALD 사이클은 상기 단계들 중 임의의 하나로 시작될 수 있다. 즉, 다르게 특정되지 않는 한, 상기 반응물은 임의의 순서로 상기 기재에 접촉될 수 있고, 상기 공정은 상기 반응물들 중 임의의 것과 함께 시작될 수 있다.
아래에서 더욱 상세히 논의될 것과 같이, SiOC 막을 증착하기 위한 일부 실시예들에서, 1회 이상의 증착 사이클은 상기 기재를 상기 실리콘 전구체에, 이어서 상기 제2 전구체에 접촉함으로써 시작된다. 다른 실시예들에서, 증착은 상기 기재를 상기 제2 전구체에, 이어서 상기 실리콘 전구체에 접촉함으로써 시작될 수 있다.
일부 실시예들에서, 그 상부에 증착이 요구되는, 반도체 대상물과 같은 기재가 반응 공간 또는 반응기 내부로 로딩된다. 상기 반응기는 집적 회로의 형성에서의 다양한 다른 공정들이 수행되는 클러스터 툴(cluster tool)의 일부분일 수 있다. 일부 실시예들에서, 플로우-타입의 반응기가 사용된다. 일부 실시예들에서, 샤워 헤드 타입의 반응기가 사용된다. 일부 실시예들에서, 공간 분할된 반응기가 사용된다. 일부 실시예들에서, 고용적 제조 가능한 단일 웨이퍼 ALD 반응기가 사용된다. 다른 실시예들에서, 복수의 기재를 포함하는 뱃치 반응기가 사용된다. 뱃치 ALD 반응기들이 사용되는 실시예들에서, 기재들의 개수는 10 내지 200의 범위, 50 내지 150의 범위, 또는 100 내지 130의 범위이다.
사용될 수 있는 적합한 반응기들의 예시들은 ASM America, Inc (Phoenix, Arizona) 및 ASM Europe B.V. (Almere, Netherlands)로부터 얻을 수 있는 F-120® 반응기, F-450® 반응기, Pulsar® 2000 및 Pulsar ® 3000과 같은 Pulsar ® 반응기, EmerALD ® 반응기 및 Advance ® 400시리즈 반응기들과 같은 상업적으로 이용 가능한 장비를 포함한다. 다른 상업적으로 이용 가능한 반응기들은 Eagle ® XP 및 XP8의 상표 하에 ASM Japan K.K (Tokyo, Japan)으로부터 얻을 수 있는 것들을 포함한다.
일부 실시예들에서, 만약 필요하다면 상기 대상물의 상기 노출된 표면들은 상기 ALD 공정의 상기 제1 단계와 반응하기 위한 반응성 사이트들을 제공하도록 전처리될 수 있다. 일부 실시예들에서, 분리 전처리 단계가 요구되지 않는다. 일부 실시예들에서, 상기 기재는 원하는 표면 종단(surface termination)을 제공하도록 전처리될 수 있다. 일부 실시예들에서, 상기 기재는 플라즈마로 전처리될 수 있다.
여분의 반응물 및 반응 부산물이 만약 있다면, 이들은 반응물 접촉 단계들 사이에서 상기 기재의 인접부로부터, 특히 상기 기재 표면으로부터 제거된다. 일부 실시예들에서, 여분의 반응물 및 반응 부산물이 만약 있다면, 이들은 반응물 접촉 단계들 사이에서 예를 들어 불활성 기체로의 퍼징과 같이 상기 반응 챔버를 퍼징함으로써 상기 기재 표면으로부터 제거된다. 상기 제거 단계에서와 같이, 각각의 반응물의 흐름 속도 및 접촉 시간은 조절될 수 있고, 이는 상기 막의 품질 및 다양한 특성들의 조절을 가능하게 한다.
앞서 언급한 바와 같이, 일부 실시예들에서 기체가 각각의 증착 사이클 동안, 또는 전체 ALD 공정 동안 연속적으로 상기 반응 챔버로 제공되고, 반응성 종은 상기 반응 챔버 내에서 또는 상기 반응 챔버의 상류(upstream)에서, 상기 기체 내에서 플라즈마를 생성함으로써 제공된다. 일부 실시예들에서, 상기 기체는 질소를 포함하지 않는다. 일부 실시예들에서, 상기 기체는 헬륨 또는 아르곤과 같은 비활성 가스를 포함할 수 있다. 일부 실시예들에서, 상기 기체는 헬륨이다. 일부 실시예들에서, 상기 기체는 아르곤이다. 상기 흐르는 기체는 상기 제1 및/또는 제2 반응물(또는 반응성 종)을 위한 퍼지 가스로 또한 작용할 수 있다. 예를 들어, 흐르는 아르곤은 제1 실리콘 전구체를 위한 퍼지 가스로 작용할 수 있고, 또한 제2 반응물로서(반응성 종의 소스로서) 작용할 수 있다. 일부 실시예들에서, 아르곤 또는 헬륨은 제1 전구체를 위한 퍼지 가스로 작용할 수 있고, 상기 실리콘 전구체를 상기 SiOC 막으로 전환시키기 위한 여기된 종의 소스로서 작용할 수 있다. 일부 실시예들에서, 상기 플라즈마가 생성되는 기체는 질소를 포함하지 않고, 상기 흡착된 실리콘 전구체는 질소로부터의 플라즈마에 의해 생성된 반응성 종과 접촉하지 않는다. 일부 실시예들에서, 상기 플라즈마가 생성되는 기체는 산소를 포함하지 않고, 상기 흡착된 실리콘 전구체는 산소로부터의 플라즈마에 의해 생성된 반응성 종과 접촉하지 않는다. 일부 실시예들에서, 상기 플라즈마가 생성되는 기체는 산소 또는 질소를 포함하지 않고, 상기 흡착된 실리콘 전구체는 산소 또는 질소로부터의 플라즈마에 의해 생성된 반응성 종과 접촉하지 않는다.
상기 사이클은 원하는 두께 및 조성의 막이 얻어질 때까지 반복된다. 일부 실시예들에서, 전구체 흐름 속도, 접촉 시간, 제거 시간 및/또는 반응물들 자체와 같은 증착 변수들은, 원하는 특성들을 갖는 막을 얻기 위하여 상기 ALD 공정 동안의 1회 이상의 증착 사이클 내에서 달라질 수 있다.
일부 실시예들에서, 상기 기재의 상기 표면은 반응물과 접촉한다. 일부 실시예들에서, 반응물의 펄스가 상기 기재를 포함하는 반응 공간에 제공된다. 용어 "펄스"는 미리 결정된 양의 시간 동안 반응 챔버 내부로 반응물을 공급하는 것을 포함하도록 이해될 수 있다. 용어 "펄스"는 상기 펄스의 길이 또는 기간을 한정하는 것이 아니며, 펄스는 임의의 길이의 시간일 수 있다. 일부 실시예들에서, 상기 기재는 반응물을 함유하는 반응 공간으로 이동된다. 일부 실시예들에서, 상기 기재는 제1 반응물을 함유하는 반응 공간으로부터, 제2 반응물을 함유하는 제2의, 다른 반응 공간으로 후속적으로 이동한다.
일부 실시예들에서, 상기 기재는 상기 실리콘 반응물과 먼저 접촉한다. 만약 필요하거나 요구된다면 최초의 표면 종단 이후에, 상기 기재가 제1 실리콘 반응물과 접촉한다. 일부 실시예들에서, 제1 실리콘 반응물 펄스가 상기 대상물에 공급된다. 일부 실시예들에 따르면, 상기 제1 반응물 펄스는 캐리어 기체 흐름과, 관심의 대상인 대상물의 표면과 반응성이 있는 BTESE 또는 MPTMS 와 같은 휘발성 실리콘 종을 포함한다. 따라서, 상기 실리콘 반응물은 이러한 대상물 표면 상에 흡착된다. 상기 제1 반응물 펄스는 제1 반응물 펄스의 임의의 여분의 구성물들이 이러한 공정에 의해 형성된 분자층과 추가적으로 반응하지 않도록, 상기 대상물 표면을 실리콘 반응 종으로 자기-포화시킨다.
상기 제1 실리콘 반응물 펄스는 기체 형태로 공급될 수 있다. 상기 대상물에 상기 종을 전달시키기 위한 공정 조건 하에서 상기 종이 노출된 표면을 포화시키기에 충분한 농도로 충분한 증기 압력을 나타낸다면, 상기 실리콘 전구체 기체는 본 설명의 목적들을 위하여 "휘발성"인 것으로 여겨진다.
일부 실시예들에서, 상기 실리콘 반응물은 약 0.05초 내지 약 5.0초, 약 0.1초 내지 약 3초, 또는 약 0.2초 내지 약 1.0초 동안 상기 표면을 접촉한다. 최적의 접촉 시간은 특정한 상황들에 기초하여 당업자에 의해 즉각적으로 결정될 수 있다.
약 일 분자층이 상기 기재 표면에 흡착하기에 충분한 시간 이후에, 여분의 제1 실리콘 반응물 및 반응 부산물이 있다면, 이들은 상기 기재 표면으로부터 제거된다. 일부 실시예들에서, 여분의 반응물 및 상기 반응 부산물이 있다면, 이들을 제거하는 단계는 상기 반응 챔버를 퍼지하는 단계를 포함할 수 있다. 일부 실시예들에서, 상기 반응 챔버는 상기 제1 반응물의 흐름을 정지시키는 한편, 여분의 반응물 및 반응물 부산물이 있다면 상기 반응 공간으로부터 이들을 확산시키거나 퍼지하기에 충분한 시간 동안 캐리어 기체 또는 퍼지 가스를 연속적으로 흐르게 함으로써 퍼지될 수 있다. 일부 실시예들에서, 여분의 제1 전구체는 상기 ALD 사이클을 동안 내내 흐르는 헬륨 또는 아르곤과 같은 불활성 기체의 도움으로 퍼지된다. 일부 실시예들에서, 상기 기재는 제1 반응물을 함유하는 상기 반응 공간으로부터 제2의, 다른 반응 공간으로 이동될 수 있다. 일부 실시예들에서, 상기 제1 반응물은 약 0.1 내지 약 10초, 약 0.3초 내지 약 5초 또는 약 0.3초 내지 약 1초 동안 제거된다. 상기 실리콘 반응물의 접촉 및 제거 단계는 상기 ALD 사이클의 제1 단계 또는 실리콘 단계로 간주될 수 있다.
상기 제2 단계에서, 수소 플라즈마와 같은 반응성 종을 포함하는 제2 반응물이 상기 대상물로 제공된다. 수소 플라즈마는 상기 반응 챔버 내의 수소 내에서, 또는 예를 들어 원거리 플라즈마 생성기를 통한 수소(H2)의 흐름에 의해, 상기 반응 챔버의 상류에 플라즈마를 생성함에 의해 형성될 수 있다.
일부 실시예들에서, 플라즈마는 흐르는 H2 기체 내에서 생성된다. 일부 실시예들에서, H2는 상기 플라즈마가 점화되기 전 또는 수소 원자 또는 래디칼이 형성되기 전에 상기 반응 챔버로 제공된다. 일부 실시예들에서, H2는 상기 반응 챔버로 연속적으로 제공되고, 필요한 경우 수소 함유 플라즈마, 원자 또는 래디칼이 생성되거나 공급된다.
일반적으로, 예를 들어 수소 플라즈마를 포함하는 상기 제2 반응물은 약 0.1초 내지 약 10초 동안 상기 기재를 접촉한다. 일부 실시예들에서, 수소 함유 플라즈마와 같은 상기 제2 반응물은 약 0.1초 내지 약 10초, 0.5초 내지 약 5초, 또는 0.5초 내지 약 2.0초 동안 상기 기재를 접촉한다. 그러나, 반응기 종류, 기재 종류 및 이의 표면적에 따라, 상기 제2 반응물 접촉 시간은 약 10초보다 더 클 수도 있다. 일부 실시예들에서, 접촉 시간은 수 분 수준일 수도 있다. 최적의 접촉 시간은 특정한 상황들에 기초하여 당업자에 의해 즉각적으로 결정될 수 있다.
일부 실시예들에서, 상기 제2 반응물은 둘 또는 그 이상의 개별적인 펄스 내에서, 상기 둘 또는 그 이상의 펄스 중 임의의 것 사이에 다른 반응물의 도입이 없이 제공된다. 예를 들어, 일부 실시예들에서 수소 함유 플라즈마와 같은 플라즈마가 둘 또는 그 이상의 순차적 펄스들 내에서, 상기 순차적 펄스들 사이의 Si-전구체의 도입이 없이 제공된다. 일부 실시예들에서, 플라즈마의 제공 동안에 둘 또는 그 이상의 순차적 플라즈마 펄스들은, 제1 기간 동안 플라즈마 방전을 제공하고, 제2 기간 동안 예를 들어 약 0.1초 내지 약 10초, 약 0.5초 내지 약 5초 또는 약 1.0초 내지 약 4.0초 동안 플라즈마 방전을 끄며, Si-전구체 또는 퍼지 단계 이전과 같은 다른 전구체의 도입 또는 제거 단계 이전에 제3 기간 동안 다시 플라즈마를 여기시킴으로써 생성된다. 플라즈마의 추가적인 펄스가 동일한 방식으로 도입될 수 있다. 일부 실시예들에서, 플라즈마는 상기 펄스들 각각 내에서 동등한 시간 동안 점화된다.
일부 실시예들에서, 플라즈마, 예를 들어 수소 함유 플라즈마는 약 5 W 내지 약 5000 W, 10 W 내지 약 2000 W, 약 50 W 내지 약 1000 W, 또는 약 200 W 내지 약 800 W의 RF 전력을 인가함으로써 생성될 수 있다. 일부 실시예들에서, 상기 RF 전력 밀도는 약 0.02 W/cm2 내지 약 2.0 W/cm2, 또는 약 0.05 W/cm2 내지 약1.5 W/cm2일 수 있다. 상기 RF 전력은 상기 플라즈마 접촉 시간 동안 흐르거나/흐르고, 상기 반응 챔버를 통해 연속적으로 흐르거나/흐르고, 원거리 플라즈마 생성기를 통해 흐르는 제2 반응물에 인가될 수 있다. 따라서, 일부 실시예들에서, 상기 플라즈마는 인-시츄로 생성되는 한편, 다른 실시예들에서 상기 플라즈마는 원거리에서 생성된다. 일부 실시예들에서, 샤워헤드 반응기가 사용되고 플라즈마는 (그 상부에 상기 기재가 위치하는) 서셉터 및 샤워헤드 플레이트 사이에서 생성된다. 일부 실시예들에서, 상기 서셉터 및 샤워헤드 플레이트 사이의 갭은 약 0.1 cm 내지 약 20 cm, 약 0.5 cm 내지 약 5 cm, 또는 약 0.8 cm 내지 약 3.0 cm이다.
완전히 포화되고, 상기 플라즈마 펄스와 미리 흡착된 실리콘 종의 분자층이 반응하기에 충분한 시간 이후에, 임의의 반응물 및 반응 부산물이 상기 기재 표면으로부터 제거된다.
일부 실시예들에서, 여분의 반응 반응물 및 반응 부산물이 만약 있다면, 이들을 제거하는 단계는 상기 반응 챔버를 퍼지하는 단계를 포함할 수 있다. 일부 실시예들에서, 상기 제2 반응물의 흐름을 정지시키는 한편, 여분의 반응물 및 반응물 부산물이 있다면, 이들을 상기 반응 공간으로부터 확산 또는 퍼지시키기에 충분한 시간 동안 캐리어 기체 또는 퍼지 가스를 연속적으로 흐르게 함으로써 상기 반응 챔버가 퍼지될 수 있다. 일부 실시예들에서, 상기 여분의 제2 전구체는 상기 ALD 사이클 내내 흐르는 헬륨 또는 아르곤과 같은 불활성 기체의 도움으로 퍼지된다. 일부 실시예들에서, 상기 기재는 상기 제2 반응물을 함유하는 상기 반응 공간으로부터 다른 반응 공간으로 이동될 수 있다. 일부 실시예들에서, 상기 제거는 약 0.1초 내지 약 10초, 약 0.1초 내지 약 4초, 또는 약 0.1초 내지 약 0.5초일 수 있다. 이와 함께, 상기 반응성 종 접촉 및 제거는 SiOC 원자층 증착 사이클 내에서 제2의, 반응성 종 단계로 대표될 수 있다.
상기 2종의 단계가 함께 하나의 ALD 사이클을 나타내며, 이는 원하는 두께의 SiOC 박막을 형성하도록 반복된다. 상기 ALD 사이클이 본 명세서에서 일반적으로 실리콘 상으로 시작하는 것으로 언급되지만, 다른 실시예들에서는 상기 사이클이 상기 반응성 종 상으로 시작될 수 있음이 고려된다. 당업자는 상기 제1 전구체 단계가 이전 사이클에서 가장 마지막 단계에 의해 남은 종단과 일반적으로 반응한다는 것을 인식할 것이다. 따라서, 만약 상기 반응성 종 단계가 상기 제1 ALD 사이클 내의 상기 제1 단계라면 반응물이 상기 기재 표면 상에 미리 흡착되지 않을 수 있거나, 상기 반응 공간 내에 존재하지 않을 수 있는 한편, 후속 사이클에서 상기 반응성 종 단계는 상기 실리콘 단계를 효과적으로 따를 것이다. 일부 실시예들에서, 1회 이상의 다른 ALD 사이클이 증착 공정 내에 제공된다.
본 개시의 일부 실시예들에 따르면, PEALD 반응은 약 25 ℃ 내지 약 700 ℃, 약 50 ℃ 내지 약 600 ℃, 약 100 ℃ 내지 약 450 ℃, 또는 약 200 ℃ 내지 약 400 ℃의 온도 범위에서 수행될 수 있다. 일부 실시예들에서, 최적의 반응기 온도는 허용된 열 버짓(thermal budget) 최대값에 의해 제한될 수 있다. 그러므로, 일부 실시예들에서 상기 반응 온도는 약100 ℃ 내지 약 300 ℃ 이다. 일부 응용들에서, 최대 온도는 약 200 ℃ 부근이며, 따라서 상기 PEALD 공정은 이러한 반응 온도에서 수행된다.
그 상부에 박막이 증착되는 상기 기재는 다양한 유형의 재료를 포함할 수 있다. 일부 실시예들에서, 상기 기재는 집적 회로 대상체를 포함할 수 있다. 일부 실시예들에서, 상기 기재는 실리콘을 포함할 수 있다. 일부 실시예들에서, 상기 기재는 실리콘 산화물, 예를 들어 열적 산화물을 포함할 수 있다. 일부 실시예들에서, 상기 기재는 하이-k 유전 물질(high-k dielectric material)을 포함할 수 있다. 일부 실시예들에서, 상기 기재는 탄소를 포함할 수 있다. 예를 들어, 상기 기재는 비정질 탄소층(amorphous carbon layer), 그래핀(graphene) 및/또는 탄소 나노튜브를 포함할 수 있다.
일부 실시예들에서, 상기 기재는 W, Cu, Ni, Co, 및/또는 Al을 포함하는 금속을 포함할 수 있으나, 이에 한정되지는 않는다. 일부 실시예들에서, 상기 기재는 TiN 및/또는 TaN을 포함하는 금속 질화물을 포함할 수 있으나, 이에 한정되지는 않는다. 일부 실시예들에서, 상기 기재는 TiC 및/또는 TaC을 포함하는 금속 탄화물을 포함할 수 있으나, 이에 한정되지는 않는다. 일부 실시예들에서, 상기 기재는 MoS2, Sb2Te3, 및/또는 GeTe을 포함하는 금속 칼코게나이드를 포함할 수 있으나, 이에 한정되지는 않는다. 일부 실시예들에서, 상기 기재는 산소 플라즈마 공정에 대한 노출에 의해 산화될 수 있으나, 여기서 설명된 PEALD 공정에 의해서는 산화되지 않는 물질을 포함할 수 있다.
일부 실시예들에서, 여기 설명된 상기 PEALD 공정들에서 사용되는 기재는 유기물을 포함할 수 있다. 예를 들어, 상기 기재는 플라스틱, 폴리머 및/또는 포토레지스트와 같은 유기물을 포함할 수 있다. 일부 실시예들에서, 상기 기재가 유기물을 포함하는 경우에, 상기 PEALD 공정의 반응 온도는 약 200 ℃ 미만일 수 있다. 일부 실시예들에서, 상기 반응 온도는 약 150 ℃ 미만, 약 100 ℃ 미만, 약 75 ℃ 미만, 또는 50 ℃ 미만일 수 있다.
일부 실시예들에서, 상기 기재가 유기물을 포함하는 경우에, 최대 공정 온도는 100℃만큼 낮을 수 있다. 일부 실시예들에서, 상기 기재가 유기물을 포함하는 경우에, 산소로부터 생성된 플라즈마의 부재는, 산소로부터 생성된 플라즈마를 포함하는 증착 공정 내에서 분해될 수 있는 유기물 상에 SiOC 박막의 증착을 허용할 수 있다.
본 개시의 일부 실시예들에 따르면, 상기 반응 챔버의 압력은 공정 동안에 약 0.01 Torr 내지 약 50 Torr, 또는 약 0.1 Torr 내지 약 10 Torr로 유지된다. 일부 실시예들에서, 상기 반응 챔버의 압력은 약 6 Torr 초과, 또는 약 20 Torr초과이다. 일부 실시예들에서, SiOC 증착 공정은 약 20 Torr 내지 약 500 Torr, 약 20 Torr 내지 약 50 Torr, 또는 약 20 Torr 내지 약 30 Torr의 압력에서 수행될 수 있다.
일부 실시예들에서, SiOC 증착 공정은 복수의 증착 사이클을 포함할 수 있고, 여기서 적어도 1회의 증착 사이클은 상승된 압력 영역에서 수행된다. 예를 들어, PEALD 공정의 증착 사이클은 상승된 압력 하에서 상기 기재를 실리콘 전구체 및 제2 반응물과 교대로 및 순차적으로 접촉시키는 단계를 포함할 수 있다. 일부 실시예들에서, 상기 PEALD 공정의 1회 이상의 증착 사이클은 약 6 Torr 내지 약 500 Torr, 약 6 Torr 내지 약 50 Torr, 또는 약 6 Torr 내지 약 100 Torr의 공정 압력에서 수행될 수 있다. 일부 실시예들에서, 1회 이상의 증착 사이클은 약 20 Torr 내지 약 500 Torr, 약 30 Torr 내지 약 500 Torr, 약 40 Torr 내지 약 500 Torr, 또는 약 50 Torr 내지 약 500 Torr을 포함하는 약 20 Torr초과의 공정 압력에서 수행될 수 있다. 일부 실시예들에서, 1회 이상의 증착 사이클은 약 20 Torr 내지 약 30 Torr, 약 20 Torr 내지 약 100 Torr, 약 30 Torr 내지 약 100 Torr, 약 40 Torr 내지 약 100 Torr, 또는 약 50 Torr 내지 약 100 Torr의 공정 압력에서 수행될 수 있다.
SiOC의 PEALD
전술한 바와 같이, 그리고 아래에서 더욱 상세히 논의할 것과 같이, 일부 실시예들에서 SiOC 박막은 플라즈마 강화 원자층 증착(PEALD) 공정에 의해 반응 공간 내에서 기재 상에 증착될 수 있다. 일부 실시예들에 따르면, SiOC 박막은 핀펫(FinFET) 응용에서와 같은 3차원 형상을 구비하는 기재 상에 PEALD 공정을 사용하여 증착된다. 일부 실시예들에서, 여기 설명된 것과 같은 PEALD 공정은 다양한 응용에서 사용될 수 있다. 예를 들어, 여기 설명된 것과 같은 PEALD 공정은 하드마스크층, 희생층, 보호층 또는 로우-k 스페이서의 형성에서 사용될 수 있다. 여기 설명된 것과 같은 PEALD 공정은 예를 들어 메모리 소자 응용에서 사용될 수 있다.
일부 실시예들에서, 손상 없이 산소 플라즈마를 견뎌낼 수 없는 기재, 예를 들어 유기물 및/또는 포토레지스트 물질을 포함하는 기재 상에 여기 설명된 산소 플라즈마를 포함하지 않는PEALD 공정에 의해 SiOC 박막이 증착될 수 있다. 일부 실시예들에서, SiOC 박막은 PEALD 공정에 의해 증착될 수 있고, 여기서 상기 실리콘 전구체 및 상기 제2반응물은 질소를 포함하지 않는다.
도 1을 참조하고 일부 실시예들에 따르면, 1회 이상의 사이클을 포함하는 PEALD 증착 공정(100)에 의해 반응 공간 내에서 SiOC 박막이 기재 상에 증착되며, 상기 1회 이상의 사이클은:
단계 120에서, 상기 기재의 표면 상에 실리콘 종을 흡착시키기 위하여 질소를 포함하지 않는 기상 실리콘-함유 전구체와 상기 기재를 접촉시키는 단계;
단계 130에서, 여분의 실리콘-함유 전구체 및 반응 부산물이 있다면, 이들을 상기 기재 표면으로부터 제거하는 단계;
단계 140에서, 상기 흡착된 실리콘 종을 SiOC로 전환시키기 위하여 플라즈마에 의해 생성된 수소를 포함하는 반응성 종을 포함하는 제2 반응물과 상기 기재를 접촉시키는 단계;
단계 150에서, 여분의 제2 반응물 및 반응 부산물이 있다면, 이들을 상기 기재 표면으로부터 제거하는 단계; 및
선택적으로, 단계 160에서, 상기 접촉시키는 단계 및 제거하는 단계를 반복하여 원하는 두께 및 조성의 SiOC 박막을 형성하는 단계를 포함한다.
일부 실시예들에서, 단계 140은 상기 기재를 상기 제2 반응물과 접촉시키는 단계 이전에 플라즈마 또는 반응성 종을 원거리에서 생성하거나 형성하는 단계를 포함할 수 있다.
일부 실시예들에 따르면, SiOC 플라즈마 강화 ALD 증착 사이클은 SiOC 박막을 증착하는데 사용될 수 있다. 특정 실시예들에서, 복수의 SiOC 증착 사이클을 포함하는 ALD-타입의 공정에 의해 SiOC 박막이 기재 상에 형성되며, 각각의 SiOC 증착 사이클은:
실리콘 화합물을 상기 기재 표면에 흡착시키기 위하여 질소를 포함하지 않는 기상 실리콘 반응물과 기재를 접촉시키는 단계;
퍼지 가스 및/또는 진공에 상기 기재를 노출시키는 단계;
수소를 포함하는 제2 반응물 내에서 플라즈마를 형성함으로써 생성되는 반응성 종과 상기 기재를 접촉시키는 단계; 및
퍼지 가스 및/또는 진공에 상기 기재를 노출시키는 단계;
선택적으로, 원하는 두께 및 조성의 SiOC 박막이 얻어질 때까지 상기 접촉시키는 단계 및 노출시키는 단계를 반복하는 단계를 포함한다.
일부 실시예들에서, 퍼지 가스 및/또는 진공에 상기 기재를 노출시키는 단계 는 불활성 캐리어 기체의 흐름을 계속하는 한편, 전구체 또는 반응물의 흐름을 정지시키는 단계를 포함할 수 있다. 일부 실시예들에서, 퍼지 가스 및/또는 진공에 상기 기재를 노출시키는 단계는 반응 챔버 내부로의 전구체 및 캐리어 기체의 흐름을 정지시키고, 예를 들어 진공 펌프로 상기 반응 챔버를 배기하는 단계를 포함할 수 있다. 일부 실시예들에서, 퍼지 가스 및/또는 진공에 상기 기재를 노출시키는 단계는 상기 기재를 제1 반응 챔버로부터 퍼지 기체를 함유하는 제2의, 다른 반응 챔버로 이동시키는 단계를 포함할 수 있다. 일부 실시예들에서, 퍼지 가스 및/또는 진공에 상기 기재를 노출시키는 단계는 진공 하에서 상기 기재를 제1 반응 챔버로부터 제2의, 다른 반응 챔버로 이동시키는 단계를 포함할 수 있다. 일부 실시예들에서, 상기 반응성 종은 질소를 포함하지 않을 수 있다.
일부 실시예들에 따라, SiOC 박막은 1회 이상의 사이클을 포함하는 PEALD 증착 공정에 의해 반응 공간 내에서 기재 상에 증착되고, 상기 1회 이상의 사이클은:
상기 기재의 표면 상에 실리콘 종을 흡착시키기 위하여 BTESE로 상기 기재를 접촉시키는 단계;
여분의 BTESE 및 반응 부산물이 있다면, 이들을 상기 기재 표면으로부터 제거하는 단계;
플라즈마에 의해 생성된 반응성 종을 포함하는 제2반응물과 상기 기재를 접촉시키는 단계로서, 상기 반응성 종은 수소를 포함하는 단계;
여분의 제2반응물 및 반응 부산물이 있다면, 이들을 상기 기재 표면으로부터 제거하는 단계; 및
선택적으로, 상기 접촉시키는 단계 및 제거하는 단계를 반복하여 원하는 두께 및 조성의 SiOC 박막을 형성하는 단계를 포함한다.
일부 실시예들에서, 제2반응물과 상기 기재를 접촉시키는 단계는 상기 기재를 상기 제2반응물과 접촉시키기 이전에 원거리에서 플라즈마 또는 반응성 종을 생성하거나 형성하는 단계를 포함할 수 있다. 일부 실시예들에서, 상기 반응성 종은 질소를 포함하지 않는다.
특정 실시예들에서, SiOC 박막은 복수의 SiOC 증착 사이클을 포함하는 ALD-타입의 공정에 의해 기재 상에 형성되고, 각각의 SiOC 증착 사이클은 질소를 포함하지 않는 제1기상 실리콘 전구체 및 반응성 종을 포함하는 제2반응물로 상기 기재를 교대로 및 순차적으로 접촉시키는 단계를 포함한다. 일부 실시예들에서, 상기 실리콘 전구체는 BTESE를 포함할 수 있고, 상기 제2반응성 종은 수소를 포함할 수 있다. 일부 실시예들에서, 상기 제2반응성 종은 질소를 포함하지 않을 수 있다. 일부 실시예들에서, 상기 제2반응성 종은 전술한 바와 같이 상대적으로 적은 양의 질소를 포함할 수 있다.
일부 실시예들에 따르면, 1회 이상의 사이클을 포함하는 PEALD 증착 공정에 의해 반응 공간 내에서 SiOC 박막이 기재 상에 증착되며, 상기 1회 이상의 사이클은:
실리콘 종이 상기 기재의 상기 표면 상에 흡착하도록 MPTMS와 상기 기재를 접촉시키는 단계;
여분의 MPTMS 및 반응 부산물이 있다면, 이들을 상기 기재 표면으로부터 제거하는 단계;
플라즈마에 의해 생성된 반응성 종을 포함하는 제2 반응물과 상기 기재를 접촉시키는 단계로서, 상기 반응성 종이 수소를 포함하는 단계;
여분의 제2 반응물 및 반응 부산물이 있다면, 이들을 상기 기재 표면으로부터 제거하는 단계; 및
선택적으로, 상기 접촉시키는 단계와 제거하는 단계를 반복하여 원하는 두께 및 조성의 SiOC 박막을 형성하는 단계를 포함한다.
일부 실시예들에서, 제2 반응물과 상기 기재를 접촉시키는 단계는 제2 반응물과 상기 기재를 접촉시키는 단계 이전에 원거리에서 플라즈마 또는 반응성 종을 생성하거나 형성하는 단계를 포함할 수 있다. 일부 실시예들에서, 상기 반응성 종은 질소를 포함하지 않을 수 있다.
특정 실시예들에서, SiOC 박막은 복수의 SiOC 증착 사이클을 포함하는 ALD-타입의 공정에 의해 기재 상에 형성되고, 각각의 SiOC 증착 사이클은: 질소를 포함하지 않는 제1기상 실리콘 전구체 및 반응성 종을 포함하는 제2반응물로 상기 기재를 교대로 및 순차적으로 접촉시키는 단계를 포함한다. 일부 실시예들에서, 상기 실리콘 전구체는 BTESE를 포함할 수 있고, 상기 제2반응성 종은 수소를 포함할 수 있다. 일부 실시예들에서, 상기 제2반응성 종은 질소를 포함하지 않을 수 있다. 일부 실시예들에서, 상기 제2반응성 종은 전술한 바와 같이 상대적으로 적은 양의 질소를 포함할 수 있다.
일부 실시예들에 따른 SiOC 플라즈마 강화 ALD 증착 사이클이 SiOC 박막 증착에 사용될 수 있다. 특정 실시예들에서, 복수의 SiOC 증착 사이클을 포함하는 ALD-타입의 공정에 의해 SiOC 박막이 기재 상에 형성되고, 각각의 SiOC 증착 사이클은:
기재 표면 상에 실리콘 화합물을 흡착시키기 위하여 질소를 포함하지 않는 기상 실리콘 반응물로 상기 기재를 접촉시키는 단계;
퍼지 가스 및/또는 진공에 상기 기재를 노출시키는 단계;
수소를 포함하고, 질소 또한 포함할 수 있는 제2반응물 내에서 플라즈마에 의해 생성된 반응성 종으로 상기 기재를 접촉시키는 단계; 및
퍼지 가스 및/또는 진공에 상기 기재를 노출시키는 단계;
선택적으로, 원하는 두께 및 조성의 SiOC 박막이 얻어질 때까지 상기 접촉시키는 단계 및 노출시키는 단계를 반복하는 단계를 포함한다.
특정 실시예들에서, SiOC 박막이 복수의 SiOC 증착 사이클을 포함하는 ALD-타입의 공정에 의해 기재 상에 형성되고, 각각의 SiOC 증착 사이클은: 질소를 포함하지 않는 제1기상 실리콘 전구체 및 반응성 종을 포함하는 제2반응물로 상기 기재를 교대로 및 순차적으로 접촉시키는 단계를 포함한다.
일부 실시예들에서, 상기 PEALD 공정은 약 100 ℃ 내지 약 650 ℃, 약 100 ℃ 내지 약 550 ℃, 약 100 ℃ 내지 약 450 ℃, 약 200 ℃ 내지 약 600 ℃, 또는 약 200 ℃ 내지 약 400 ℃의 온도에서 수행된다. 일부 실시예들에서, 상기 온도는 약 300 ℃이다. 일부 실시예들에서, 상기 온도는 약 200 ℃이다. 일부 실시예들에서, 예를 들어 기재가 유기 포토레지스트와 같은 유기물을 포함하는 경우에, 상기 PEALD 공정은 약 100 ℃ 미만의 온도에서 수행될 수 있다. 일부 실시예들에서, 상기 PEALD 공정은 약 75 ℃ 미만, 또는 약 50 ℃ 미만의 온도에서 수행된다. 일부 실시예들에서, 플라즈마는 상기 제2반응물에 RF 전력을 인가함으로써 생성될 수 있다. 상기 RF 전력이 제2반응물에 인가되어, 반응성 종을 생성할 수 있다. 일부 실시예들에서, 상기 RF 전력은 상기 반응 챔버를 통해 연속적으로 흐르거나/흐르고, 원거리 플라즈마 생성기를 통해 흐르는 상기 제2반응물에 인가될 수 있다. 따라서, 일부 실시예들에서, 상기 플라즈마는 인-시츄로 생성되는 한편, 다른 실시예들에서 상기 플라즈마는 원거리에서 생성된다. 일부 실시예들에서, 상기 제2반응물에 인가되는 상기 RF 전력은 약 5W 내지 약 5000W, 10W 내지 약 2000W, 약 100W 내지 약 1000W, 또는 약 200W 내지 약 800W이다. 일부 실시예들에서, 상기 제2반응물에 인가되는 상기 RF 전력은 약 200W이다. 일부 실시예들에서, 상기 제2반응물에 인가되는 상기 RF 전력은 약 400W이다. 일부 실시예들에서, 상기 제2반응물에 인가되는 상기 RF 전력은 약 800W이다.
아래에서 더욱 상세히 논의되는 것과 같이, SiOC 막을 증착하기 위한 일부 실시예들에서, 1회 이상의 PEALD 증착 사이클은 상기 실리콘 전구체의 제공, 및 그 뒤를 따르는 상기 제2 반응물의 제공으로 시작된다. 다른 실시예들에서, 증착은 상기 제2 반응물의 제공, 및 그 뒤를 따르는 상기 실리콘 전구체의 제공으로 시작될 수 있다. 당업자들은 상기 제1 전구체 단계가 이전 사이클에서의 상기 마지막 단계에 의해 남은 종단과 일반적으로 반응한다는 것을 인식할 것이다. 따라서, 상기 반응성 종 단계가 상기 제1PEALD 사이클 내에서 제1단계라면, 반응물이 상기 기재 표면 상에 미리 흡착되지 않을 수 있거나, 상기 반응 공간 내에 존재하지 않을 수 있고, 후속의 PEALD 사이클에서 상기 반응성 종 단계는 상기 실리콘 단계를 효과적으로 따를 것이다. 일부 실시예들에서 1회 이상의 다른 PEALD 서브-사이클이 SiOC 박막을 형성하기 위한 공정에 제공된다.
Si 전구체
다수의 상이한 적합한 Si 전구체가 현재 개시된 PEALD 공정에서 사용될 수 있다. 일부 실시예들에서, 상기 적합한 Si 전구체는 질소를 포함하지 않을 수 있다. 일부 실시예들에서, 적합한 Si 전구체는 실란을 포함할 수 있다.
일부 실시예들에서, 적합한 Si 전구체는 적어도 하나의 탄화수소기에 의해 연결되거나, 적어도 하나의 탄화수소기에 결합된 2개의 Si 원자를 포함할 수 있다. 일부 실시예들에서, 적합한 Si 전구체는 적어도 하나의 알킬기에 의해 연결되거나, 적어도 하나의 알킬기에 결합된 2개의 Si 원자를 포함할 수 있다. 일부 실시예들에서, 적합한 Si 전구체는 적어도 하나의 알콕시기에 의해 연결되거나, 적어도 하나의 알콕시기에 결합된 2 개의 Si 원자를 포함할 수 있다. 일부 실시예들에서, 적합한 Si 전구체는 적어도 하나의 실릴기에 의해 연결되거나, 적어도 하나의 실릴기에 결합된 2개의 Si 원자를 포함할 수 있다. 일부 실시예들에서, 적합한 Si 전구체는 적어도 하나의 실릴 에테르기에 의해 연결되거나, 적어도 하나의 실릴 에테르기에 결합된 2개의 Si 원자를 포함할 수 있다. 일부 실시예들에서, 적합한 Si 전구체는 적어도 하나의 -SH기를 포함할 수 있고, 상기 -SH는 알킬 사슬 또는 실리콘 원자에 결합될 수 있다. 일부 실시예들에서, 적합한 Si 전구체는 적어도 하나의 머캅토기를 포함할 수 있다. 일부 실시예들에서, 적합한 Si 전구체는 적어도 하나의 -R-SH 구조를 포함할 수 있고, 여기서 R은 C1-C5 알킬기일 수 있다. 일부 실시예들에서, 적합한 Si 전구체는 알킬 사슬 상에 적어도 하나의 -SH기를 포함할 수 있고, 하나 이상의 알콕시기는 실리콘 원자에 결합한다.
일부 실시예들에서, 적합한 Si 전구체는 하나 이상의 알콕시기에 연결되거나 결합된 적어도 하나의 Si 원자를 포함할 수 있다. 일부 실시예들에서, 적합한 Si 전구체는 하나 이상의 알킬기에 연결되거나 결합된 적어도 하나의 Si 원자를 포함할 수 있다. 일부 실시예들에서, 적합한 Si 전구체는 적어도 하나의 알킬기 및 알콕시기에 연결되거나 결합된 적어도 하나의 Si 원자를 포함할 수 있다.
일부 실시예들에서, PEALD 공정에 의한 SiOC의 증착에 적합한 적어도 일부의 Si 전구체는 하기 일반식을 갖는 가교된 알콕시실란을 포함할 수 있다:
(1) (RIIO)3 Si - RI - Si(ORII)3
상기 식 중, 각각의 RI 및 RII은 독립적으로 알킬기 중에서 선택될 수 있다. 일부 실시예들에서, 각각의 RI 및 RII은 독립적으로, 메틸, 에틸, n-프로필, 이소프로필, 터트부틸 또는 펜틸과 같은 C1-C5 알킬 리간드 중에서 선택된다.
일부 실시예들에서, 일부 Si 전구체는 하기 일반식을 갖는 가교된 알콕시알킬실란을 포함할 수 있다:
(2) RIII y(ORII)x Si -RI-Si(ORII)x RIII y
상기 식 중, 각각의 RI, RII 및 RIII은 독립적으로, 알킬기 중에서 선택될 수 있고, x + y = 3일 수 있다. 일부 실시예들에서, 각각의 RI 및 RII은 독립적으로, 메틸, 에틸, n-프로필, 이소프로필, 터트부틸 또는 펜틸과 같은 C1-C5 알킬 리간드 중에서 선택된다. 일부 실시예들에서, RIII은 독립적으로 C1-C8 알킬 리간드 중에서 선택될 수 있다.
일부 실시예들에 따르면, 일부 Si 전구체는 하기 일반식을 갖는 고리형 알콕시실란을 포함할 수 있다:
(3) (RIIO)2 Si - RI 2 - Si(ORII)2
하기 구조식에 의해 화학식 (3)이 다르게 표시될 수 있다:
Figure 112017053458311-pat00001
상기 식 중, 각각의 RI 및 RII은 독립적으로 알킬기 중에서 선택될 수 있다. 일부 실시예들에서, 각각의 RI 및 RII은 독립적으로 메틸, 에틸, n-프로필, 이소프로필, 터트부틸 또는 펜틸과 같은 C1-C5 알킬 리간드 중에서 선택된다.
일부 실시예들에 따라, 일부 Si 전구체는 하기 일반식을 갖는 고리형 알콕시알킬실란을 포함할 수 있다:
(4) RIII y(ORII)x Si - RI 2 - Si(ORII)x RIII y
하기 구조식에 의해 화학식 (4)가 다르게 표시될 수 있다:
Figure 112017053458311-pat00002
상기 식 중, 각각의 RI, RII 및 RIII은 독립적으로 알킬기 중에서 선택될 수 있고, x + y = 2일 수 있다. 일부 실시예들에서, 각각의 RI 및 RII는 독립적으로, 메틸, 에틸, n-프로필, 이소프로필, 터트부틸 또는 펜틸과 같은 C1-C5 알킬 리간드 중에서 선택된다. 일부 실시예들에서, RIII는 독립적으로 C1-C8 알킬 리간드 중에서 선택될 수 있다.
일부 실시예들에 따라, 일부 Si 전구체는 하기 일반식을 갖는 선형 알콕시실란을 포함할 수 있다:
(5) (RIIO)3 Si - (O-Si-RI 2) n -O- Si(ORII)3
상기 식 중, RI은 독립적으로 알킬기 또는 수소 중에서 선택될 수 있고, RII는 독립적으로 알킬기 중에서 선택될 수 있고, n은 1 내지 4일 수 있다. 일부 실시예들에서, 각각의 RI 및 RII은 독립적으로 메틸, 에틸, n-프로필, 이소프로필, 터트부틸 또는 펜틸과 같은 C1-C5 알킬 리간드 중에서 선택된다. 일부 실시예들에서, RI은 수소 일 수 있고, RII는 독립적으로 C1-C5 알킬 리간드 중에서 선택될 수 있다.
일부 실시예들에 따라, 일부 Si 전구체는 하기 일반식을 갖는 선형 알콕시실란을 포함할 수 있다:
(6) RIII y(ORII)x Si -(-RI -Si) n - Si (ORII)x RIII y
상기 식 중, 각각의 RI, RII 및 RIII은 독립적으로, 알킬기 중에서 선택될 수 있고, x + y = 2일 수 있고, n은 1 이상일 수 있다. 일부 실시예들에서 RI 및 RII는 독립적으로, 메틸, 에틸, n-프로필, 이소프로필, 터트부틸 또는 펜틸과 같은 C1-C5 알킬 리간드 중에서 선택된다. 일부 실시예들에서, RIII는 독립적으로 C1-C8 알킬 리간드 중에서 선택될 수 있다.
일부 실시예들에 따라, 일부 Si 전구체는 하기 일반식을 갖는 알콕시실란을 포함할 수 있다:
(7) Si(ORI)4
상기 식 중, RI은 독립적으로 알킬기 중에서 선택될 수 있다. 일부 실시예들에서, RI은 독립적으로 메틸, 에틸, n-프로필, 이소프로필, 터트부틸 또는 펜틸과 같은 C1-C5 알킬 리간드 중에서 선택될 수 있다.
일부 실시예들에 따라, 일부 Si 전구체는 하기 일반식을 갖는 알콕시알킬실란을 포함할 수 있다:
(8) Si(ORI)4-xRII x
상기 식 중, 각각의 RI 및 RII는 독립적으로 알킬기 중에서 선택되고, x는 1 내지 3일 수 있다. 일부 실시예들에서, RI은 독립적으로, 메틸, 에틸, n-프로필, 이소프로필, 터트부틸 또는 펜틸과 같은 C1-C5 알킬 리간드 중에서 선택될 수 있다. 일부 실시예들에서, RII는 독립적으로 C1-C8 알킬 리간드 중에서 선택될 수 있다.
일부 실시예들에 따라, 일부 Si 전구체는 질소를 포함하지 않고, 하기 일반식을 갖는 알콕시실란을 포함할 수 있다:
(9) Si(ORI)4-xRII x
상기 식 중, RI은 독립적으로 알킬기 중에서 선택될 수 있고, RII는 질소를 포함하지 않고, 탄소, 수소 및/또는 산소를 포함하는 임의의 리간드일 수 있고, x는 1 내지 3일 수 있다. 일부 실시예들에서, RI은 독립적으로, 메틸, 에틸, n-프로필, 이소프로필, 터트부틸 또는 펜틸과 같은 C1-C5 알킬 리간드 중에서 선택될 수 있다. 일부 실시예들에서 RII는 예를 들어, 알케닐, 알키닐, 페닐, 카보닐, 알데히드, 에스테르, 에테르, 카복실, 퍼옥시, 하이드로퍼옥시, 티올, 아크릴레이트 또는 메타크릴레이트 리간드를 포함할 수 있다.
일부 실시예들에 따라, 일부 Si 전구체는 하기 일반식일 수 있다:
(10) Si(ORI)4-xRII x
상기 식 중, x는 0 내지 3이고, R I은 독립적으로 C1-C7 또는 C1-C5 알킬 리간드 중에서 선택되고, RII는 독립적으로 탄소 및/또는 수소 및/또는 산소로 이루어진 리간드 중에서 선택될 수 있다. 예를 들어, 일부 실시예들에서, RII은 알콕시알킬기일 수 있다. 일부 실시예들에서, RII는 예를 들어, 알케닐, 알키닐, 페닐, 카보닐, 알데히드, 에스테르, 에테르, 카복실, 퍼옥시 또는 하이드로퍼옥시기일 수 있다. 일부 실시예들에서, 예를 들어, RI은 메틸기이고, RII는 3-메톡시프로필 리간드이고, x는 1이다.
일부 실시예들에 따라, 일부 Si 전구체는 하기 일반식을 가질 수 있다:
(11) (RIO)4-xSi-(RII-O-RIII)x
상기 식 중, x는 0 내지 3이고, 각각의 RI 및 RII는 독립적으로 C1-C7 또는 C1-C5 알킬 리간드 중에서 선택될 수 있고, RIII는 독립적으로 탄소 및/또는 수소 및/또는 산소로 이루어진 리간드 중에서 선택될 수 있다. 예를 들어, 일부 실시예들에서 RIII 는 예를 들어, 알케닐, 알키닐, 페닐, 카보닐, 알데히드, 에스테르, 에테르, 카복실, 퍼옥시 또는 하이드로퍼옥시기일 수 있다. 일부 실시예들에서, 예를 들어, RI , RII 및 RIII는 각각 독립적으로 메틸, 에틸, i-프로필, n-프로필, n-부틸, i-부틸 및 t-부틸 중에서 선택되는 기일 수 있다.
일부 실시예들에 따라, 일부 Si 전구체는 하기 일반식을 가질 수 있다:
(12) Si(RI)4-x-yRII xRIII y
상기 식 중, x+y는 0 내지 4이고, RI는 1 내지 5개의 탄소 원자를 갖는 알콕사이드 리간드 또는 할라이드이고, RII는 황을 포함하는 임의의 리간드이고, RIII은 설프하이드릴, 설파이드, 디설파이드, 설피닐, 설포닐, 설피노, 설포, 티오시아네이트, 이소티오시아네이트 또는 카본티오일 관능기 중 어느 하나로 구성된다. 일부 실시예들에서 RI, RII 및 RIII는 각각 독립적으로 선택될 수 있다. 일부 실시예들에서 RI은 메톡시 리간드를 포함할 수 있고, RII는 3-머캅토프로필을 포함할 수 있고, x는 1 이고, y는 0이다. 즉, 일부 실시예들에서, 일부 Si 전구체는 Si(OCH3)3C3H6SH를 포함할 수 있다. 일부 실시예들에서, Si 전구체는 머캅토메틸메틸디에톡시실란(mercaptomethylmethyldiethoxysilane), 3-머캅토프로필메틸디메톡시실란(3-mercaptopropylmethyldimethoxysilane) 및/또는 3-머캅토프로필트리에톡시실란(3-mercaptopropyltriethoxysilane)을 포함할 수 있다.
일부 실시예들에서, 상기 실리콘 전구체는 할로겐을 포함하지 않는다. 일부 실시예들에서, 상기 실리콘 전구체는 질소를 포함하지 않는다. 일부 실시예들에서 상기 탄소 사슬은 불포화될 수 있고, 탄소-탄소 이중 결합을 포함할 수 있다. 일부 다른 실시예들에서, 상기 탄소 사슬은 탄소 및 수소 이외에 다른 원자를 함유할 수 있다. 일부 실시예들에 따라, 적합한 실리콘 전구체는 일반식 (1) 내지 (11) 중 적어도 어느 하나를 갖는 화합물을 포함할 수 있다. 도 2는 전술한 화학식 (1) 내지 (11)에 따른 적합한 Si 전구체에 대한 예시적인 분자 구조를 도시한다. 일부 실시예들에서, 상기 실리콘 전구체는 비스(트리에톡시실릴)에탄(bis(triethoxysilyl)ethane: BTESE)를 포함할 수 있다. 일부 실시예들에서, 상기 실리콘 전구체는 3-메톡시프로필트리메톡시실란(3-methoxypropyltrimethoxysilane: MPTMS 또는 Si(OCH3)3C3H6OCH3)을 포함할 수 있다. 일부 실시예들에서, 상기 실리콘 전구체는 (3-머캅토프로필)트리메톡시실란((3-mercaptopropyl)trimethoxysilane)을 포함할 수 있다.
일부 실시예들에서, 1종 이상의 실리콘 전구체는 ALD 단계 동안 동시에 상기 기재 표면에 접촉할 수 있다. 일부 실시예들에서, 상기 실리콘 전구체는 여기 설명된 상기 실리콘 전구체 중 1종 이상을 포함할 수 있다. 일부 실시예들에서, 제1실리콘 전구체가 제1ALD 사이클에서 사용되고, 제2의, 다른 ALD 전구체가 이후의 ALD 사이클에서 사용된다. 일부 실시예들에서, 복수의 실리콘 전구체가 예를 들어, 상기 증착된 SiOC 막의 특정한 특성을 최적화하기 위해 하나의 ALD 단계 동안에 사용될 수 있다. 일부 실시예들에서, 오직 1종의 실리콘 전구체가 상기 증착 동안에 상기 기재에 접촉할 수 있다. 일부 실시예들에서, 상기 증착 공정 내에 오직 1종의 실리콘 전구체, 및 1종의 제2반응물 또는 반응물의 조성물이 있을 수 있다. 일부 실시예들에서, 상기 증착 공정 내에 금속 전구체가 존재하지 않는다. 일부 실시예들에서, 상기 실리콘 전구체는 실릴화제로서 사용되지 않는다. 일부 실시예들에서, 상기 증착 온도 및/또는 상기 실리콘 전구체를 접촉시키는 단계의 기간은 상기 실리콘 전구체가 분해되지 않도록 선택된다. 일부 실시예들에서, 상기 실리콘 전구체는 상기 실리콘 전구체를 접촉시키는 단계 동안에 분해될 수 있다. 일부 실시예들에서, 상기 실리콘 전구체는 염소 또는 불소와 같은 할로겐을 포함하지 않는다.
제2반응물
전술한 바와 같이, 본 개시에 따른 SiOC의 증착을 위한 상기 제2반응물은 수소 전구체를 포함할 수 있고, 이는 반응성 종을 포함할 수 있다. 일부 실시예들에서, 반응성 종은 라디칼, 플라즈미 및/또는 여기된 원자 또는 종을 포함하나, 이에 한저오디지 않는다. 이러한 반응성 종은 예를 들어, 플라즈마 방전, 핫-와이어(hot-wire), 또는 다른 적합한 방법들에 의해 생성될 수 있다. 일부 실시예들에서, 상기 반응성 종은 상기 반응성 챔버로부터 원거리에서, 예를 들어, 상기 반응성 챔버로부터 상류("원거리 플라즈마(remote plasma)")에서 생성될 수 있다. 일부 실시예들에서, 상기 반응성 종은 상기 반응 챔버 내에서, 상기 기재의 직접 인접부에서 또는 상기 기재의 직접 상부("직접 플라즈마(direct plasma)")에서 생성될 수 있다.
PEALD 공정의 적합한 플라즈마 조성물은 수소 반응성 종을 포함하고, 즉, 플라즈마, 수소 라디칼 또는 하나 또는 다른 형태의 원자 수소를 포함한다. 일부 실시예들에서, 제2반응물은 H2로부터 적어도 일부가 형성된 반응성 종을 포함할 수 있다. 일부 실시예들에서, 플라즈마는 He, Ne, Ar, Kr 및 Xe와 같은 비활성 기체를 함유하거나, 플라즈마, 라디컬 또는 원자 형태의 Ar 또는 He를 또한 함유할 수 있다.
일부 실시예들에서, 상기 제2반응물은 H2로부터 형성된 반응성 종을 포함할 수 있다. 일부 실시예들에서, 상기 제2반응물은 약 25 원자% 초과, 약 50 원자% 초과, 약 75 원자% 초과, 약 85 원자% 초과, 약 90 원자% 초과, 약 95 원자% 초과, 약 96 원자% 초과, 약 97 원자% 초과, 약 98 원자% 초과, 또는 약 99 원자% 초과의 수소를 함유하는 기체로부터 생성될 수 있다.
일부 실시예들에서, 플라즈마와 같은 반응성 종을 생성하는데 사용되는 상기 기체는 수소로 본질적으로 이루어질 수 있다. 따라서, 일부 구현에에 있어서, 상기 제2반응물은 수소 플라즈마, 수소 라디칼 또는 원자 수소로 본질적으로 이루어질 수 있다. 일부 실시예들에서, 상기 제2반응물은 약 25 원자% 초과, 약 50 원자% 초과, 약 75 원자% 초과, 약 85 원자% 초과, 약 90 원자% 초과, 약 95 원자% 초과, 약 96 원자% 초과, 약 97 원자% 초과, 약 98 원자% 초과, 또는 약 99 원자% 초과의 수소 플라즈마, 수소 라디칼 또는 원자 수소를 포함할 수 있다. 일부 실시예들에서, 상기 제2반응물은 H2 및 1종 이상의 다른 기체로부터 적어도 부분적으로 형성될 수 있고, 여기서 상기 H2 및 다른 기체 또는 기체들은 약 1:1000 내지 약 1000:1 이상의 유량 비(H2/다른 기체 또는 기체들)에서 제공된다. 일부 실시예들에서, 상기 유량 비(H2/다른 기체 또는 기체들)는 약 1:1000 초과, 약 1:100 초과, 약 1:50 초과, 약 1:20 초과, 약 1:10 초과, 약 1:6 초과, 약 1:3 초과, 약 1:1 초과, 약 3:1 초과, 약 6:1 초과, 약 10:1 초과, 약 20:1 초과, 50:1, 100:1, 또는1000:1 이상일 수 있다.
일부 실시예들에서, 상기 제2반응물은 산소로부터 생성된 임의의 종을 포함하지 않는다. 따라서, 일부 실시예들에서, 반응성 종은 산소를 함유하는 기체로부터 생성되지 않는다. 일부 실시예들에서, 반응성 종을 포함하는 제2반응물은 산소를 함유하지 않는 기체로부터 생성된다. 일부 실시예들에서, 예를 들어, 제2반응물은 산소를 함유하지 않는 기체로부터 생성된 플라즈마를 포함할 수 있다. 일부 다른 실시예들에서, 상기 제2반응물은 약 50 원자% 미만, 약 30 원자% 미만, 약 10 원자% 미만, 약 5 원자% 미만, 약 1원자% 미만, 약 0.1 원자% 미만, 약 0.01 원자% 미만, 또는 약 0.001 원자% 미만의 산소를 함유하는 기체로부터 생성될 수 있다. 일부 실시예들에서, 제2반응물은 O2, H2O 또는 O3를 포함하지 않는다.
일부 실시예들에서, 수소 플라즈마는 산소-함유 종(예를 들어, 산소 이온, 라디칼, 원자산소)을 함유하지 않거나 실질적으로 함유하지 않을 수 있다. 예를 들어, 산소-함유 기체는 상기 수소 플라즈마를 생성하는데 사용되지 않는다. 일부 실시예들에서, 산소-함유 기체(예를 들어, O2 기체)는 상기 수소 플라즈마 단계 동안 상기 반응 챔버로 흐르지 않는다.
일부 실시예들에서, 산소-함유 기체는 상기 수소 플라즈마를 생성하는데 사용되지 않는다. 일부 실시예들에서, 산소-함유 기체(예를 들어, O2 기체)는 상기 수소 플라즈마 단계 동안 상기 반응 챔버로 흐르지 않는다.
일부 실시예들에서, 상기 제2반응물은 질소로부터 생성된 임의의 종을 포함하지 않는다. 따라서, 일부 실시예들에서, 반응성 종은 질소를 함유하는 기체로부터 생성되지 않는다. 일부 실시예들에서, 반응성 종을 포함하는 제2반응물은 질소를 함유하지 않는 기체로부터 생성된다. 예를 들어, 일부 실시예들에서, 제2반응물은 질소를 함유하지 않는 기체로부터 생성된 플라즈마를 포함할 수 있다. 일부 실시예들에서, 상기 제2반응물은 약 25 원자% 미만의 질소, 약 20 원자% 미만의 질소, 약 15 원자% 미만의 질소, 약 10 원자% 미만의 질소, 약 5 원자% 미만의 질소, 약 1 원자% 미만의 질소, 약 0.1 원자% 미만의 질소, 약 0.01 원자% 미만의 질소, 또는 약 0.001원자% 미만의 질소를 함유하는 기체로부터 생성될 수 있다. 일부 실시예들에서, 제2반응물은 N2, NH3 또는N2H4를 포함하지 않는다.
일부 실시예들에서, 수소 플라즈마는 질소-함유 종(예를 들어, 질소 이온, 라디칼, 원자 질소)를 함유하지 않거나 실질적으로 함유하지 않을 수 있다. 예를 들어, 질소-함유 기체는 상기 수소 플라즈마를 생성하는데 사용되지 않는다. 일부 실시예들에서, 질소-함유 기체(예를 들어, N2 기체)는 상기 수소 플라즈마 단계 동안 상기 반응 챔버로 흐르지 않는다.
그러나, 일부 다른 실시예들에서, 일 형태 또는 다른 형태의 플라즈마, 질소의 라디칼 또는 원자 질소의 형태로 질소 반응성 종이 또한 제공된다. 따라서, 일부 실시예들에서, 상기 제2반응물은 NH3 및 N2H4와 같이 N 및 H를 모두 갖는 화합물, N2/H2의 혼합물, 또는 N-H 결합을 갖는 다른 전구체로부터 형성된 반응성 종을 포함할 수 있다. 일부 실시예들에서, 상기 제2반응물은 N2로부터 적어도 부분적으로 형성될 수 있다. 일부 실시예들에서, 상기 제2반응물은 H2 및 N2로부터 적어도 부분적으로 형성될 수 있고, 여기서 상기 H2 및 N2는 약 100:1 내지 약 1:100, 약 20:1 내지 약 1:20, 약 10:1 내지 약 1:10, 약 5:1 내지 약 1:5, 및/또는 약 2:1 내지 약 4:1, 일부 경우들에서는 1:1의 속도 비율(H2/N2)로 제공된다. 예를 들어, SiOC 증착을 위한 수소-함유 플라즈마는 여기에 설명된 하나 또는 그 이상의 비율에서 N2 및 H2를 모두 사용하여 생성될 수 있다.
일부 실시예들에서, 플라즈마와 같은 반응성 종을 생성하는데 사용되는 상기 기체는 아르곤 또는 다른 비활성 기체로 본질적으로 이루어질 수 있다. 일부 실시예들에서, 수소-함유 플라즈마를 생성하는데 사용되는 플라즈마 전력은 약 5 W(와트) 내지 약 5000 W, 10 W 내지 약 2,000 W, 약 50 W 내지 약 1000 W, 약 100 W 내지 약 1000 W, 또는 약 100 W 내지 약 500 W일 수 있다. 일부 실시예들에서, 수소-함유 플라즈마를 생성하는데 사용되는 플라즈마 전력은 약 100 W 내지 약 300 W일 수 있다. 일부 실시예들에서, 수소-함유 플라즈마는 아르곤 또는 다른 바활성 기체를 또한 포함할 수 있다.
SiOC 막 특성
여기서 논의된 실시예들 중 일부에 따라 증착된 SiOC 박막은 약 3 원자% 미만, 약 1 원자% 미만, 약 0.5 원자% 미만, 또는 약 0.1 원자% 미만의 불순물 수준 또는 농도를 달성할 수 있다. 일부 박막들에서, 수소를 제외한 총 불순물 레벨은 약 5 원자% 미만, 약 2 원자% 미만, 약 1 원자% 미만, 또는 약 0.2 원자% 미만일 수 있다. 일부 박막들에서, 수소 레벨은 약 30 원자% 미만, 약 20 원자% 미만, 약 15 원자% 미만, 또는 약 10 원자% 미만일 수 있다. 여기서 사용된 바와 같이, 불순물은 Si, O 및/또는 C 이외의 임의의 다른 원소로 간주될 수 있다.
일부 실시예들에서, 증착된 SiOC 막은 식별가능한 양의 수소를 포함하지 않는다. 그러나, 일부 실시예들에서 수소를 포함하는 SiOC 막이 증착된다. 일부 실시예들에서, 증착 SiOC 막은 약 30 원자% 미만, 약 20 원자%미만, 약 15 원자% 미만, 약 10 원자%미만, 또는 약 5 원자% 미만의 수소를 포함할 수 있다. 일부 실시예들에서, 상기 박막은 아르곤을 포함하지 않는다.
일부 실시예들에 따르면, 상기 SiOC 박막은 약50% 초과, 약 80% 초과, 약 90% 초과, 또는 약 95% 초과의 단차 피복(step coverage) 및 패턴 로딩 효과(pattern loading effect)을 나타낼 수 있다. 일부 경우들에서, 단차 피복 및 패턴 로딩 효과는 약 98% 초과일 수 있고, 일부 경우들에서 약 100%(측정 도구 또는 방법의 정확도 내에서)일 수 있다. 일부 실시예들에서, 단차 피복 및 패턴 로딩 효과는 약 100% 초과, 약 110% 초과, 약 120% 초과, 약 130% 초과 또는 약 140% 초과일 수 있다. 이러한 값들은 2 이상의 종횡비, 일부 실시예들에서 약 3 이상의 종횡비, 일부 실시예들에서 약 5 이상의 종횡비, 일부 실시예들에서 약 8 이상의 종횡비를 갖는 형상들 내에서 달성될 수 있다.
일부 실시예들에서, 상기 단차 피복은 약 50% 내지 약 110%, 약 80% 내지 약 110%, 약 90% 내지 약 110%, 약 95% 내지 110%, 약 98% 내지 110%, 또는 약 100% 내지 110%일 수 있다. 일부 실시예들에서, 상기 단차 피복은 약 50% 내지 약 100%, 약 80% 내지 약 100%, 약 90% 내지 약 100%, 약 95% 내지 약 100% 또는 약 98% 내지 100%일 수 있다.
일부 실시예들에서, 상기 막의 성장 속도는 약 0.01 Å/사이클 내지 약 5Å/사이클, 약 0.05 Å/사이클 내지 약 2Å/사이클이다. 일부 실시예들에서, 상기 막의 성장 속도는 약 0.05 Å/사이클 초과, 약 0.1 Å/사이클초과, 약 0.15Å/사이클 초과, 약 0.3Å/사이클 초과, 약 0.3 Å/사이클 초과, 약 0.4 Å/사이클 초과이다. 여기서 사용된 바와 같이, "패턴 로딩 효과"는 이 분야에서 이의 일반적인 의미에 따라 사용된다. 패턴 로딩 효과가 불순물 함량, 밀도, 전기적 특성 및 에칭 속도와 관련하여 보여질 수 있으나, 달리 언급하지 않는 한, 여기서 사용될 때는 용어 패턴로딩 효과는 구조물이 존재하는 상기 기재의 영역 내에서 막 두께의 편차(variation)를 가리킨다. 따라서, 상기 패턴 로딩 효과는 열린 공간(open field)을 향하는 3차원 구조물/형상의 측벽 또는 바닥에서의 상기 막의 두께에 대한 3차원 구조물 내부의 형상의 측벽 또는 바닥에서의 상기 막의 두께로서 주어질 수 있다. 여기서 사용된 바와 같이, 100% 패턴 로딩 효과 (또는 1의 비율)는 형상에 관계없이 상기 기재 전체에 걸쳐 완전히 균일한 막 특성을 나타낼 것이고, 즉 다시 말하면 패턴 로딩 효과(형상 대 열린 공간 내에서 두께와 같은 특정 막 특성의 편차)가 존재하지 않는다.
일부 실시예들에서, SiOC 막은 약 3 nm 내지 약 50 nm, 약 5 nm 내지 약 30 nm, 약 5 nm 내지 약 20 nm의 두께로 증착된다. 이러한 두께는 약100 nm 미만, 약 50 nm, 약 30 nm 미만, 약 20 nm 미만, 일부 경우들에서 약15 nm미만의 형상 크기(폭)에서 달성될 수 있다. 일부 실시예들에 따르면, SiOC 막은 3차원 구조물 상에 증착되고, 측벽에서의 두께는 10 nm 보다도 약간 클 수 있다. 일부 실시예들에서, 50 nm 초과의 SiOC 막이 증착될 수 있다. 일부 실시예들에서, 100 nm 초과의 SiOC 막이 증착될 수 있다. 일부 실시예들에서, SiOC 막이 약 1 nm 초과, 약 2 nm 초과, 약 3 nm 초과, 약 5 nm 초과, 약 10 nm 초과의 두께로 증착된다.
일부 실시예들에 따르면, 다양한 습식 에칭 속도(wet etch rate: WER)를 갖는 SiOC 막이 증착될 수 있다. 0.5 중량%의 희석된 HF에서 블랭킷 WER(nm/분)을 사용하는 경우에, SiOC 막은 약 5 미만, 약 4 미만, 약 2 미만 또는 약 1 미만의 WER 값을 가질 수 있다. 일부 실시예들에서, SiOC 막은 1 보다 현저히 작은 WER 값을 가질 수 있다. 일부 실시예들에서, SiOC 막은 약 0.3 미만, 약 0.2 미만, 또는 약 0.1 미만의 WER 값을 가질 수 있다. 일부 실시예들에서, SiOC 막은 약 0.05 미만, 약 0.025 미만, 또는 약 0.02 미만의 WER 값을 가질 수 있다.
상기 0.5 중량%의 희석된 HF에서의 블랭킷 열적 산화물의 WER(WERR)에 대한 WER(nm/분)은 약 3 미만, 약 2 미만, 약 1 미만, 또는 약 0.5 미만일 수 있다. 일부 실시예들에서, 상기 0.5 중량%의 희석된 HF에서의 블랭킷 WER 대 TOX의 WER은 약 0.1 미만일 수 있다.
일부 실시예들에서, PEALD 공정은 100 ℃ 미만의 온도에서 수행되고, 상기 0.5 중량%의 희석된 HF에서의 열적 산화물의 WER에 대한 블랭킷 WER 은 약 10 미만, 약 5 미만, 약 3 미만, 약 2 미만, 또는 약 1 미만일 수 있다.
일부 실시예들에서, 0.5 중량%의 희석된 HF에서의 핀 또는 트렌치와 같은 3차원 형상의 상면과 같이 실질적으로 수평인 표면 상에 증착된 SiOC 막의 에칭 속도에 대한 측벽 에칭 속도, 예를 들어 핀 또는 트렌치와 같은 3차원 형상의 실질적으로 수직인 표면 상에 증착된 SiOC 막의 WER 의 비율은 약 1 내지 약 2, 약 2 내지 약 5, 약 5 내지 약 10, 약 10 내지 약 20, 또는 일부 경우들에서 약 20 이상일 수 있다. 일부 실시예들에서, 3차원 형상의 상면 상에 증착된 SiOC 막의 WER에 대한 3차원 형상의 수직 표면 상에 증착된 SiOC 막의 WER 의 비율은 약 2 이상, 약5 이상, 약 10 이상, 약 15 이상, 또는 약 20 이상일 수 있다.
일부 실시예들에서, 3차원 형상의 실질적으로 수평인 표면, 예를 들어 상면 내 또는 상에 증착된 SiOC 막의 WER에 대한 3차원 형상의 실질적으로 수직인 표면, 예를 들어 측벽 표면 내 또는 상에 증착된 SiOC 막의 WER의 비율은 약 1 내지 약 0.5, 약 0.5 내지 약 0.2, 약 0.2 내지 약 0.1, 약 0.1 내지 약 0.05, 또는 일부 경우들에서 약 0.05 미만일 수 있다. 일부 실시예들에서, 3차원 형상의 실질적으로 수평인 표면 상에 증착된 SiOC 필름의 WER에 대한 3차원 형상의 실질적으로 수직인 표면 상에 증착된 SiOC 막의 WER의 비율은 약 0.5 이하, 약 0.2 이하, 약 0.1 이하, 또는 약 0.05 이하일 수 있다.
일부 실시예들에서, TOX의 WER에 대한 3차원 형상의 실질적으로 수직인 표면, 예를 들어 측벽 표면 상 또는 내에 증착된 SiOC 막의 WER의 비율은 약 5 내지 약 10, 약 2 내지 약 5, 약 1 내지 약 2, 약 0.5 내지 약 1, 또는 약 0.1 내지 약 0.5일 수 있다. 일부 실시예들에서, TOX의 WER에 대한 3차원 형상의 실질적으로 수직인 표면, 예를 들어 측벽 표면 상 또는 내에 증착된 SiOC 막의 WER의 비율은 약 0.1 이상, 약 0.5 이상, 약 1 이상, 약 2 이상, 약 5 이상, 또는 약 10 이상일 수 있다.
일부 실시예들에서, 여기서 설명된 1종 이상의 공정에 따라 형성된 SiOC는 유리하게는 예를 들어 0.5 중량%의 희석HF 내에서 약 1의, 실질적으로 수평인 부분의 WER에 대한 실질적으로 수직인 부분의 WER의 비율을 나타낼 수 있다. 예를 들어, 기재 표면 상의 3차원 구조물의 실질적으로 수평인 표면(예를 들어, 상면)에 걸쳐 형성된 SiOC 박막의 습식 에칭 속도에 대한 실질적으로 수직인 표면(예를 들어, 측벽 표면)에 걸쳐 형성된 SiOC 박막의 습식 에칭 속도의 비율은 동일하거나 실질적으로 동일할 수 있다. 일부 실시예들에서, 상기 비율은 약 4 내지 약 0.5, 약 2 내지 약 0.75, 약 1.25 내지 약 0.8, 또는 약 1.1 내지 약 0.9일 수 있다. 이러한 비율은 약 2 이상, 약 3 이상, 약 5 이상, 또는 심지어 약 8 이상의 종횡비를 갖는 형상 내에서 달성될 수 있다.
일부 실시예들에서, 여기서 설명된 1 종 이상의 공정에 따라 형성된 SiOC는 유리하게는 예를 들어, 0.5 중량%의 희석HF 내에서 약 1의 수직 부분에 대한 수평 부분의 WERR을 나타낼 수 있다. 예를 들어, 기재 표면 상의 3차원 구조물의 수직 표면(예를 들어, 측벽 표면)에 걸쳐 형성된 SiOC 박막의 습식 에칭 속도에 대한 수평 표면(예를 들어, 상면)에 걸쳐 형성된 SiOC 박막의 습식 에칭 속도의 비율은 동일하거나 실질적으로 동일할 수 있다. 일부 실시예들에서, 상기 비율은 약 0.25 내지 약 2, 약 0.5 내지 약 1.5, 약 0.75 내지 약 1.25, 또는 약 0.9 내지 약 1.1일 수 있다. 이러한 비율은 약 2 이상, 약 3 이상, 약 5 이상, 또는 심지어 약 8 이상의 종횡비를 갖는 형상 내에서 달성될 수 있다.
일부 실시예들에서, 본 개시에 따른 SiOC 막의 에칭 양은 0.5 중량%의 HF-디핑 공정에서 열적 SiO2 (TOX)에 대해 관찰된 에칭 양보다 약 1, 2, 5, 10배 또는 그 이상으로 작을 수 있다(예를 들어, 약 2 내지 3 nm의 TOX가 제거되는 공정에서, 여기서 개시된 방법에 따라 증착될 때 1, 2, 5, 10 배 또는 그 이상으로 적게 SiOC가 제거된다).
일부 실시예들에서, 약 2 nm 미만의 SiOC 막은 0.5 중량%의 HF-디핑 공정에서 5분의 에칭 시간에 의해 제거될 수 있다. 일부 실시예들에서, 약 2 nm 미만의 SiOC 막은 0.5 중량%의 HF-디핑 공정에서 60분의 에칭 시간에 의해 제거될 수 있다.
일부 실시예들에서, 본 개시에 따른 SiOC 필름의 에칭 양은 0.5 중량%의 HF-디핑 공정에서의 열적 SiO2 (TOX)에 대해 관찰된 에칭 양보다 약 1, 2, 5, 10배 또는 그 이상으로 작을 수 있다(예를 들어, 약 2 내지 3 nm의 TOX가 제거되는 공정에서 여기서 개시된 방법에 따라 증착될 때 1, 2, 5, 10배 또는 그 이상으로 적게 SiOC가 제거된다).
일부 실시예들에서, 2 nm 미만의 SiOC 막은 0.5 중량%의 HF-디핑 공정에서 5 분의 에칭 시간에 의해 제거될 수 있다. 일부 실시예들에서, 약 2 nm 미만의 SiOC 막은 0.5 중량%의 HF-디핑 공정에서 60 분의 에칭 시간에 의해 제거될 수 있다.
여기에 제공된 모든 원자 백분율(즉, 원자%) 값은 단순성을 위하여 그리고 수소가 양적으로 정밀하게 정량적으로 분석하기 어렵기 때문에, 다르게 가르키지 않는 한, 수소를 제외한다. 그러나, 일부 실시예들에 있어서, 합리적인 정확도로 수소를 분석할 수 있다면, 상기 막의 상기 수소 함량은 약 20 원자% 미만, 약 10 원자% 미만, 또는 약 5 원자% 미만이다. 일부 실시예들에서, 상기 증착된 SiOC 박막은 원자 기준(원자%)으로 약 70% 이하의 산소를 포함할 수 있다. 일부 실시예들에서, SiOC 막은 원자 기준으로 약 10% 내지 약 70%, 약 15% 내지 약 50%, 또는 약 20% 내지 약 40%의 산소를 포함할 수 있다. 일부 실시예들에서, SiOC 막은 원자 기준으로 적어도 약 20%, 약 40%, 또는 약 50%의 산소를 포함할 수 있다.
일부 실시예들에서, 상기 증착된 SiOC 박막은 원자 기준(원자%)으로 약 40% 이하의 탄소를 포함할 수 있다. 일부 실시예들에서, SiOC 막은 원자 기준으로 약 0.1% 내지 약 40%, 약 0.5% 또는 약 40%, 약 1% 내지 약 30%, 또는 약 5% 내지 약 20%의 탄소를 포함할 수 있다. 일부 실시예들에서, SiOC 막은 원자 기준으로 적어도 약 1%, 약 10% 또는 약 20%의 탄소를 포함할 수 있다.
일부 실시예들에서, 상기 증착된 SiOC 박막은 원자 기준(원자%)으로 약 50% 이하의 실리콘을 포함할 수 있다. 일부 실시예들에서, SiOC 막은 원자 기준으로 약 10% 내지 약 50%, 약 15% 내지 약 40%, 또는 약 20% 내지 약 35%의 실리콘을 포함할 수 있다. 일부 실시예들에서, SiOC 막은 원자 기준으로 약 15%, 약 20%, 약 25 %, 또는 약 30%의 실리콘을 포함할 수 있다.
일부 실시예들에서, 상기 증착된 SiOC 박막은 원자 기준(원자%)으로 약 40% 이하의 황을 포함할 수 있다. 일부 실시예들에서, SiOC 막은 원자 기준으로 약 0.01% 내지 약 40%, 약 0.1% 내지 약 40%, 약 0.5% 내지 약 30%, 또는 약 1% 내지 약 20%의 황을 포함할 수 있다. 일부 실시예들에서, SiOC 막은 원자 기준으로 적어도 약 1%, 약 10% 또는 20%의 황을 포함할 수 있다.
일부 실시예들에서, 상기 증착된 SiOC 막은 상당한 양의 질소를 포함하지 않는다. 그러나, 일부 실시예들에서, 질소를 포함하는 SiOC 막이 증착된다. 일부 실시예들에서, 상기 증착된 SiOC 막은 약 30 원자% 미만, 약 20 원자% 미만, 약 15 원자% 미만, 약 10 원자% 미만, 약 5 원자% 미만의 질소, 약 1 원자% 미만의 질소, 또는 약 0.1 원자% 미만의 질소를 포함한다. 일부 실시예들에서, 상기 SiOC 박막은 질소를 포함하지 않는다.
전술한 바와 같이, 일부 실시예들에서, SiOC 막은 Si-C 결합 및/또는 Si-O 결합을 포함할 수 있다. 일부 실시예들에서, SiOC 막은 Si-N 결합을 추가로 포함할 수 있다. 일부 실시예들에서, SiOC 막은 Si-S 결합을 추가로 포함할 수 있다. 일부 실시예들에서, SiOC 막은 Si-C 결합 및 Si-O 결합을 포함할 수 있고, Si-N 결합을 포함하지 않을 수 있다. 일부 실시예들에서 SiOC 막은 Si-N 결합 및 Si-O 결합을 포함할 수 있고, Si-C 결합을 포함하지 않을 수 있다. 일부 실시예들에서 SiOC 막은 Si-N 결합 및 Si-C 결합을 포함할 수 있고, Si-O 결합을 포함하지 않을 수 있다. 일부 실시예들에서 SiOC 막은 Si-S 결합, Si-C 결합 및 Si-O 결합을 포함할 수 있고, Si-N 결합을 포함하지 않을 수 있다. 일부 실시예들에서 SiOC 막은 Si-S 결합 및 Si-C 결합을 포함할 수 있고, Si-O 결합을 포함하지 않을 수 있다. 일부 실시예들에서, SiOC 막은 Si-S 결합 및 Si-O 결합을 포함할 수 있고, Si-C 결합을 포함하지 않을 수 있다. 일부 실시예들에서, 상기 SiOC 막은 Si-C 결합보다 Si-O 결합을 더 많이 포함할 수 있고, 예를 들어 Si-C 결합에 대한 Si-O 결합의 비율은 약 1:1 내지 약 10:1일 수 있다. 일부 실시예들에서, 증착된 SiOC 막은 SiN, SiO, SiC, SiCN, SiON, SiOSC, SiSC, SiOS 및/또는 SiOC 중 1종 이상을 포함할 수 있다.
일부 실시예들에서, SiOC 막은 로우-k 막이 아니고, 예를 들어, SiOC 막은 다공성 막이 아니다. 일부 실시예들에서 SiOC는 연속적인 막이다. 일부 실시예들에서 SiOC 막은 약 10 미만의 k-값을 갖는다. 일부 실시예들에서, SiOC 막은 약 7 미만의 k-값을 갖는다. 일부 실시예들에서, SiOC 막은 약 2 내지 약 10의 k-값을 갖는다. 일부 실시예들에서, SiOC 막은 약 5.0 미만, 약 4.5 미만, 약 4.3 미만, 약 4.1 미만의 k-값을 갖는다. 일부 실시예들에서, SiOC 막은 약 3.0 내지 약 7, 약 3.0 내지 약 5.5, 약 3.0 내지 약 5.0, 약 3.5 내지 약 4.8, 약 3.5 내지 약 4.7의 k-값을 갖는다. 일부 실시예들에서, SiOC 막은 임의의 로우-k 막의 k-값보다 큰 k-값을 갖는다. 일부 실시예들에서 SiOC 막은 순수한 SiO2보다 큰 k-값을 갖는다.
일부 실시예들에서, 본 개시에 따라 증착된 SiOC 막은 적층 또는 나노 적층 구조를 포함하지 않는다.
일부 실시예들에서, 본 개시에 따라 증착된 SiOC 막은 자기-조립된 단일층(self-assembled monolayer: SAM)이 아니다. 일부 실시예들에서, 본 개시에 따라 증착된 SiOC 막은 서로 결합되지 않은 분리된, 개별적인 분자로 이루어지지 않는다. 일부 실시예들에서, 본 개시에 따라 증착된 SiOC 막은 서로 실질적으로 결합되거나 연결된 물질을 포함한다. 일부 실시예들에서, 본 개시에 따라 증착된 SiOC 막은 기능층이 아니거나/않고, 아미노-기능화되지(amino-functionalized) 않거나/않고, 기능성 표면으로서 사용되지 않는다. 일부 실시예들에서 본 개시에 따라 증착된 SiOC 막은 -NH2기로 끝나지 않는다. 일부 실시예들에서, 본 개시에 따라 증착된 SiOC 막은 -NH2 기를 실질적인 양으로 함유하지 않는다.
실시예
예시적인 SiOC 박막이 여기서 설명된 바와 같은 PEALD 공정에 의해 증착되었다. BTESE가 실리콘 전구체로서 사용되었고, 병 온도가 80 ℃ 내지 110 ℃로 변화되었다. H2가 제2반응물로서 사용되었고, 상기 제2반응물에 200W의 RF 전력을 인가함으로써 플라즈마를 생성하였다. 특정 SiOC 샘플이 200 ℃의 기재 또는 증착 온도를 사용하여 증착되었고, 다른 SiOC 샘플은 300℃의 증착 온도를 사용하여 증착되었다.
일부 SiOC 샘플들에 대하여, 상기 전구체 펄스 시간은 4초였고, 상기 전구체 퍼지 시간은 4초였고, 상기 플라즈마 펄스 시간은 4초였고, 상기 플라즈마 퍼지 시간은 0.5초였다. 다른 샘플들에 대하여, 상기 전구체 펄스 시간은 10초였고, 상기 전구체 퍼지 시간은 4초였고, 상기 플라즈마 펄스 시간은 4초였고, 상기 플라즈마 퍼지 시간은 0.5초였다. 다른 샘플들에 대하여, 상기 전구체 펄스 시간은 4초였고, 상기 전구체 퍼지 시간은 10초였고, 상기 플라즈마 펄스 시간은 4초였고, 상기 플라즈마 퍼지 시간은 0.5초였다.
도 3은 여기서 설명된 상기 PEALD 공정에 의해 증착된 SiOC 막에 대한 사이클 당 성장(Å/사이클) 대 상기 전구체 병 온도를 도시한다. 도 3에서 보인 바와 같이, 상기 성장 속도는 병 온도가 상승할수록 향상되었고, 300 ℃의 증착 온도로 증착된 샘플보다 200 ℃의 증착 온도로 증착된 샘플에서 더 높았다. 상기 성장 속도는 110 ℃의 병 온도 및 200 ℃의 증착 온도에 대해서 약 0.3 Å/사이클로 포화되었다.
도 4는 여기서 설명된 PEALD 공정에 의해 증착된 SiOC 막에 대한 플라즈마 전력의 함수로서 사이클 당 성장 (Å/사이클), 굴절률 및 희석된 HF(0.5 중량%)에서 TOX와 비교하였을 때의 WERR를 도시한다. BTESE가 실리콘 전구체로서 사용되었고, H2가 제2반응물로서 사용되었다. 플라즈마는 제2반응물에 200 W 내지 800 W의 RF 전력을 인가함으로써 생성되었다. 상기 증착 온도는 200 ℃였고, 상기 전구체 펄스 시간은 4초였고, 상기 전구체 퍼지 시간은 4초였고, 상기 플라즈마 펄스 시간은 4초였고, 상기 플라즈마 퍼지 시간은 0.5초였다.
도 4에서 보인 바와 같이, 상기 SiOC 막의 상기 성장 속도는 플라즈마 전력이 상승함에 따라 감소하였다. 상기 증착된 막의 상기 굴절률은 플라즈마 전력이 상승함에 따라 향상되었다. 상기 증착된 SiOC 막의 상기 WER 대 TOX의 상기 WER의 비율(WERR 대 TOX)은 플라즈마 전력이 상승함에 따라 감소함이 관찰되었다. 즉, 플라즈마 전력이 상승함에 따라 더 높은 습식 에칭 내성이 얻어졌으며, 800 W의 플라즈마 전력에서 WERR 대 TOX가 0.2에 도달했다.
도 5는 여기서 설명된 PEALD 공정에 따라 증착된 SiOC 막에 대한 사이클 당 성장(Å/사이클) 대 제2반응물 기체 혼합물 비율(N2/(N2+H2))을 도시한다. BTESE가 실리콘 전구체로서 사용되었고, 상기 증착 온도는 200 ℃였다. 각각의 사이클에 대해서 상기 전구체 펄스 시간은 4초였고, 상기 전구체 퍼지 시간은 4초였고, 상기 플라즈마 펄스 시간은 4초 였고, 상기 플라즈마 퍼지 시간은 0.5초 였다. 상기 제2반응물 기체 유량은 600 sccm의 Ar 캐리어 기체로 100 sccm였다. 상기 제2반응물 기체의 조성은 3 종의 SiOC 샘플에 대해 각각 본질적으로 H2로 이루어진 제2반응물 기체, H2 및 N2의 혼합물 제2반응물 기체, N2로 본질적으로 이루어진 제2반응물 기체로 변화시켰다. Ar 캐리어 기체만을 제2반응물 기체로서 사용하여 샘플을 제조하였다. 도 5에 보인 바와 같이, 최고 성장 속도(약 0.25 Å/사이클)가 Ar을 캐리어 기체로 갖는 본질적으로 H2로 이루어진 제2반응물 기체를 사용하여 달성되었다. H2 및 N2의 혼합물을 포함하는 제2반응물 기체, N2로 본질적으로 이루어진 제2반응물 기체 및 Ar 캐리어 기체로 본질적으로 이루어진 제2반응물 기체를 사용하였을 때 불량한 성장 속도가 관찰되었다. 따라서, 임의의 이론에 구속되는 것은 아니나, 상기 제2반응물 기체에 N2를 첨가하는 것은 SiOC 막 성장을 저해하는 것으로 여겨진다.
여기 사용된 것과 같이, 용어 "약"은 주어진 값의 15% 이내, 10% 이내, 5% 이내 또는 1% 이내의 값을 가리킬 수 있다.
용어들 "막" 및 "박막"은 간편성을 위하여 여기서 사용된다. "막" 및 "박막"은 여기 개시된 방법들에 의해 증착된 임의의 연속적이거나 비연속적인 구조물들 및 물질을 의미하도록 의도된다. 예를 들어, "막" 및 "박막"은 2D 물질들, 나노로드들, 나노튜브들 또는 나노입자들 또는 단일의 부분적이거나 완전한 분자층들 또는 부분적이거나 완전한 원자 층들 또는 원자들 및/또는 분자들의 클러스터들을 포함할 수 있다. "막" 및 "박막"은 핀홀들을 구비하는 물질 또는 층을 포함할 수 있으나, 여전히 적어도 부분적으로 연속적일 수 있다.
본 발명의 사상을 벗어나지 않고 다수의 다양한 개조들이 만들어질 수 있음이 당업자들에게 이해될 것이다. 설명된 피쳐들, 구조들, 특성들 및 전구체들은 임의의 적합한 방식으로 조합될 수 있다. 그러므로 본 발명의 형태들이 오직 설명적인 것일 뿐, 본 발명의 범위를 제한하도록 의도되지 않음이 명확히 이해되어야만 한다. 모든 개조들 및 변경들은 첨부된 청구항들에 의해 한정되는 바와 같이 본 발명의 범위 내에 해당될 것이 의도된다.

Claims (28)

  1. 플라즈마 강화 원자층 증착(plasma enhanced atomic layer deposition: PEALD) 공정에 의해 반응 공간 내에서 기재 상에 실리콘 옥시카바이드(silicon oxycarbide: SiOC) 박막을 형성하는 방법으로서, 상기 PEALD 공정은 1회 이상의 증착 사이클을 포함하고, 상기 1회 이상의 증착 사이클은:
    질소를 포함하지 않는 기상 실리콘 전구체와 상기 기재의 표면을 접촉시키는 단계;
    수소를 포함하는 제2 반응물로부터 형성된 플라즈마에 의해 생성된 적어도 1종의 반응성 종과 상기 기재의 표면을 접촉시키는 단계로서, 상기 제2반응물은 산소를 포함하지 않는 단계; 및
    선택적으로, 원하는 두께의 SiOC막이 형성될 때까지 상기 접촉시키는 단계들을 반복하는 단계; 를 포함하고,
    상기 SiOC 박막은 0.1 원자% 이상의 탄소를 포함하는, 방법.
  2. 제1항에 있어서,
    열적 실리콘 산화물(thermal silicon oxide)의 습식 에칭 속도에 대한 상기 SiOC 박막의 습식 에칭 속도의 비율은 약 5 미만인, 방법.
  3. 제1항에 있어서,
    상기 SiOC 박막은 상기 기재 상의 3차원 구조물 상에 증착되는, 방법.
  4. 제3항에 있어서,
    0.5 중량%의 희석된 HF 내에서 상기 3차원 구조물의 수평한 표면 상에 형성된 상기 SiOC의 습식 에칭 속도에 대한 상기 3차원 구조물의 수직한 표면 상에 형성된 SiOC의 습식 에칭 속도의 습식 에칭 속도 비율은 약 1:20 내지 약 20:1인, 방법.
  5. 제1항에 있어서,
    상기 기상 실리콘 전구체는 할로겐을 포함하지 않는, 방법.
  6. 제1항에 있어서,
    상기 기상 실리콘 전구체는 비스(트리에톡시실릴)에탄(bis(triethoxysilyl)ethane: BTESE)를 포함하는, 방법.
  7. 제1항에 있어서,
    상기 기상 실리콘 전구체는 3-메톡시프로필트리메톡시실란(3-methoxypropyltrimethoxysilane: MPTMS)를 포함하는, 방법.
  8. 제1항에 있어서,
    상기 반응성 종은 수소 플라즈마, 수소 원자, 수소 라디칼 또는 수소 이온을 포함하는, 방법.
  9. 제8항에 있어서,
    상기 제2반응물은 H2를 포함하는, 방법.
  10. 제1항에 있어서,
    상기 반응성 종은 비활성 기체(noble gas)를 포함하는 제2반응물로부터 생성되는, 방법.
  11. 제1항에 있어서,
    상기 반응성 종은 약 20 원자% 미만의 질소를 포함하는 제2반응물로부터 생성되는, 방법.
  12. 제1항에 있어서,
    상기 SiOC 박막은 20 원자% 이상의 산소를 포함하는, 방법.
  13. 삭제
  14. 제1항에 있어서,
    상기 SiOC 박막은 약 10 원자% 미만의 질소를 포함하는, 방법.
  15. 복수의 증착 사이클을 포함하며, 반응 공간 내에서 기재 상에 실리콘 옥시카바이드(silicon oxycarbide: SiOC) 박막을 형성하는 방법으로서, 1회 이상의 증착 사이클은:
    질소를 포함하지 않는 실리콘 전구체 및 수소를 포함하는 적어도 1종의 반응성 종을 포함하는 제2반응물로 상기 기재의 표면을 교대로 및 순차적으로 접촉시키는 단계를 포함하고,
    상기 증착 사이클이 2회 이상 반복되어 상기 SiOC 박막을 형성하고,
    상기 SiOC 박막은 0.1 원자% 이상의 탄소를 포함하는, 방법.
  16. 제15항에 있어서,
    상기 적어도 1종의 반응성 종은 산소를 포함하지 않는 기체로부터 형성된 플라즈마에 의해 생성되는, 방법.
  17. 제15항에 있어서,
    상기 적어도 1종의 반응성 종은 질소를 포함하지 않는 기체로부터 형성된 플라즈마에 의해 생성되는, 방법.
  18. 제15항에 있어서,
    상기 실리콘 전구체는 하기 일반식을 갖는, 방법:
    (RIIO)3 Si - RI - Si(ORII)3;
    상기 식 중, RI 및 RII는 독립적으로, C1-C5 알킬 리간드 중에서 선택된다.
  19. 제18항에 있어서,
    상기 실리콘 전구체는 BTESE를 포함하는, 방법.
  20. 제15항에 있어서,
    상기 실리콘 전구체는 하기 일반식을 갖는, 방법:
    Si(ORI)4-xRII x
    상기 식 중, x는 0 내지 3의 정수이고, RI는 독립적으로 C1-C7 알킬 리간드 중에서 선택되고, RII는 독립적으로 탄소 및/또는 수소 및/또는 산소로 이루어진 리간드 중에서 선택된다.
  21. 제20항에 있어서,
    상기 실리콘 전구체는 MPTMS를 포함하는, 방법.
  22. 제15항에 있어서,
    상기 실리콘 전구체는 하기 일반식을 갖는, 방법:
    (RIO)4-xSi-(RII-O-RIII)x
    상기 식 중, x는 0 내지 3의 정수이고, RI 및 RII는 각각 독립적으로 C1-C7 알킬 리간드 중에서 선택되고, RIII는 독립적으로 탄소 및/또는 수소 및/또는 산소로 이루어진 리간드 중에서 선택된다.
  23. 제15항에 있어서,
    상기 1회 이상의 증착 사이클은 플라즈마 강화 원자층 증착(plasma enhanced atomic layer deposition: PEALD) 사이클인, 방법.
  24. 제23항에 있어서,
    반응성 종은 상기 제2반응물에 5 W(와트) 내지 약 5000 W의 RF 전력을 인가함으로써 생성되는, 방법.
  25. 제15항에 있어서,
    상기 증착 사이클은 약 100 ℃ 내지 약 300 ℃의 공정 온도에서 수행되는, 방법.
  26. 제15항에 있어서,
    상기 증착 공정은 약 100 ℃ 미만의 공정 온도에서 수행되는, 방법.
  27. 제15항에 있어서, 상기 기재는 유기물을 포함하는, 방법.
  28. 반응 공간 내에서 기재 상에 실리콘 옥시카바이드(SiOC) 박막을 증착하는 방법으로서, 상기 방법은:
    질소를 포함하지 않는 실리콘 전구체로 상기 기재의 표면을 접촉시키는 단계;
    여분의 실리콘 전구체 및 반응 부산물이 있다면, 이들을 제거하기 위하여 퍼지 가스(purge gas) 및/또는 진공에 상기 기재를 노출시키는 단계;
    수소를 포함하는 제2반응물과 상기 기재의 표면을 접촉시키는 단계로서, 상기 제2반응물은 플라즈마에 의해 생성된 적어도 1 종의 반응성 종을 포함하는, 단계;
    여분의 제2반응물 및 반응 부산물이 있다면, 이들을 제거하기 위하여 퍼지 가스 및/또는 진공에 상기 기재를 노출시키는 단계;
    원하는 두께의 SiOC 박막이 형성될 때까지 상기 접촉시키는 단계들을 반복하는 단계;를 포함하고,
    상기 SiOC 박막은 0.1 원자% 이상의 탄소를 포함하는, 방법.
KR1020170057021A 2016-05-06 2017-05-04 SiOC 박막의 형성 KR102378021B1 (ko)

Priority Applications (1)

Application Number Priority Date Filing Date Title
KR1020220034338A KR102515145B1 (ko) 2016-05-06 2022-03-18 SiOC 박막의 형성

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
US201662332975P 2016-05-06 2016-05-06
US62/332,975 2016-05-06
US201662427077P 2016-11-28 2016-11-28
US62/427,077 2016-11-28

Related Child Applications (1)

Application Number Title Priority Date Filing Date
KR1020220034338A Division KR102515145B1 (ko) 2016-05-06 2022-03-18 SiOC 박막의 형성

Publications (2)

Publication Number Publication Date
KR20170125748A KR20170125748A (ko) 2017-11-15
KR102378021B1 true KR102378021B1 (ko) 2022-03-23

Family

ID=60243963

Family Applications (3)

Application Number Title Priority Date Filing Date
KR1020170057021A KR102378021B1 (ko) 2016-05-06 2017-05-04 SiOC 박막의 형성
KR1020220034338A KR102515145B1 (ko) 2016-05-06 2022-03-18 SiOC 박막의 형성
KR1020230038169A KR20230044381A (ko) 2016-05-06 2023-03-23 SiOC 박막의 형성

Family Applications After (2)

Application Number Title Priority Date Filing Date
KR1020220034338A KR102515145B1 (ko) 2016-05-06 2022-03-18 SiOC 박막의 형성
KR1020230038169A KR20230044381A (ko) 2016-05-06 2023-03-23 SiOC 박막의 형성

Country Status (4)

Country Link
US (3) US10600637B2 (ko)
JP (3) JP6923355B2 (ko)
KR (3) KR102378021B1 (ko)
TW (3) TW202341414A (ko)

Families Citing this family (222)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US11549181B2 (en) 2013-11-22 2023-01-10 Applied Materials, Inc. Methods for atomic layer deposition of SiCO(N) using halogenated silylamides
US10804094B2 (en) 2016-05-06 2020-10-13 Applied Materials, Inc. Methods of depositing SiCON with C, O and N compositional control
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US9786492B2 (en) 2015-11-12 2017-10-10 Asm Ip Holding B.V. Formation of SiOCN thin films
US9786491B2 (en) 2015-11-12 2017-10-10 Asm Ip Holding B.V. Formation of SiOCN thin films
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
KR102378021B1 (ko) * 2016-05-06 2022-03-23 에이에스엠 아이피 홀딩 비.브이. SiOC 박막의 형성
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
US10186420B2 (en) * 2016-11-29 2019-01-22 Asm Ip Holding B.V. Formation of silicon-containing thin films
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
KR20180070971A (ko) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
US10847529B2 (en) 2017-04-13 2020-11-24 Asm Ip Holding B.V. Substrate processing method and device manufactured by the same
US10504901B2 (en) 2017-04-26 2019-12-10 Asm Ip Holding B.V. Substrate processing method and device manufactured using the same
CN110546302B (zh) * 2017-05-05 2022-05-27 Asm Ip 控股有限公司 用于受控形成含氧薄膜的等离子体增强沉积方法
US11501965B2 (en) 2017-05-05 2022-11-15 Asm Ip Holding B.V. Plasma enhanced deposition processes for controlled formation of metal oxide thin films
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
KR102633318B1 (ko) 2017-11-27 2024-02-05 에이에스엠 아이피 홀딩 비.브이. 청정 소형 구역을 포함한 장치
WO2019103613A1 (en) 2017-11-27 2019-05-31 Asm Ip Holding B.V. A storage device for storing wafer cassettes for use with a batch furnace
US10991573B2 (en) 2017-12-04 2021-04-27 Asm Ip Holding B.V. Uniform deposition of SiOC on dielectric and metal surfaces
US10843618B2 (en) * 2017-12-28 2020-11-24 Lam Research Corporation Conformality modulation of metal oxide films using chemical inhibition
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
TWI799494B (zh) 2018-01-19 2023-04-21 荷蘭商Asm 智慧財產控股公司 沈積方法
CN111630203A (zh) 2018-01-19 2020-09-04 Asm Ip私人控股有限公司 通过等离子体辅助沉积来沉积间隙填充层的方法
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
CN116732497A (zh) 2018-02-14 2023-09-12 Asm Ip私人控股有限公司 通过循环沉积工艺在衬底上沉积含钌膜的方法
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
WO2019199681A1 (en) 2018-04-09 2019-10-17 Applied Materials, Inc. Carbon hard masks for patterning applications and methods related thereto
KR20190128558A (ko) 2018-05-08 2019-11-18 에이에스엠 아이피 홀딩 비.브이. 기판 상에 산화물 막을 주기적 증착 공정에 의해 증착하기 위한 방법 및 관련 소자 구조
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
JP7066534B2 (ja) * 2018-06-04 2022-05-13 キヤノン株式会社 液体吐出ヘッドの製造方法
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
TW202013553A (zh) 2018-06-04 2020-04-01 荷蘭商Asm 智慧財產控股公司 水氣降低的晶圓處置腔室
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
CN112292477A (zh) 2018-06-27 2021-01-29 Asm Ip私人控股有限公司 用于形成含金属的材料的循环沉积方法及包含含金属的材料的膜和结构
CN112292478A (zh) 2018-06-27 2021-01-29 Asm Ip私人控股有限公司 用于形成含金属的材料的循环沉积方法及包含含金属的材料的膜和结构
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
JP2020096183A (ja) 2018-12-14 2020-06-18 エーエスエム・アイピー・ホールディング・ベー・フェー 窒化ガリウムの選択的堆積を用いてデバイス構造体を形成する方法及びそのためのシステム
TWI819180B (zh) 2019-01-17 2023-10-21 荷蘭商Asm 智慧財產控股公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
TW202104632A (zh) 2019-02-20 2021-02-01 荷蘭商Asm Ip私人控股有限公司 用來填充形成於基材表面內之凹部的循環沉積方法及設備
KR20200102357A (ko) 2019-02-20 2020-08-31 에이에스엠 아이피 홀딩 비.브이. 3-d nand 응용의 플러그 충진체 증착용 장치 및 방법
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
TW202044325A (zh) 2019-02-20 2020-12-01 荷蘭商Asm Ip私人控股有限公司 填充一基板之一表面內所形成的一凹槽的方法、根據其所形成之半導體結構、及半導體處理設備
TW202100794A (zh) 2019-02-22 2021-01-01 荷蘭商Asm Ip私人控股有限公司 基材處理設備及處理基材之方法
KR20200108248A (ko) * 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOCN 층을 포함한 구조체 및 이의 형성 방법
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
JP2020167398A (ja) 2019-03-28 2020-10-08 エーエスエム・アイピー・ホールディング・ベー・フェー ドアオープナーおよびドアオープナーが提供される基材処理装置
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
KR20200123380A (ko) 2019-04-19 2020-10-29 에이에스엠 아이피 홀딩 비.브이. 층 형성 방법 및 장치
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141002A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 배기 가스 분석을 포함한 기상 반응기 시스템을 사용하는 방법
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP2021015791A (ja) 2019-07-09 2021-02-12 エーエスエム アイピー ホールディング ビー.ブイ. 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
CN112242296A (zh) 2019-07-19 2021-01-19 Asm Ip私人控股有限公司 形成拓扑受控的无定形碳聚合物膜的方法
CN112309843A (zh) 2019-07-29 2021-02-02 Asm Ip私人控股有限公司 实现高掺杂剂掺入的选择性沉积方法
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
KR20210018759A (ko) 2019-08-05 2021-02-18 에이에스엠 아이피 홀딩 비.브이. 화학물질 공급원 용기를 위한 액체 레벨 센서
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
US11186909B2 (en) * 2019-08-26 2021-11-30 Applied Materials, Inc. Methods of depositing low-K films
KR20210028093A (ko) * 2019-08-29 2021-03-11 에이에스엠 아이피 홀딩 비.브이. 유전체 층을 포함하는 구조체 및 이를 형성하는 방법
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
TW202129060A (zh) 2019-10-08 2021-08-01 荷蘭商Asm Ip控股公司 基板處理裝置、及基板處理方法
KR20210043460A (ko) 2019-10-10 2021-04-21 에이에스엠 아이피 홀딩 비.브이. 포토레지스트 하부층을 형성하기 위한 방법 및 이를 포함한 구조체
KR20210045930A (ko) 2019-10-16 2021-04-27 에이에스엠 아이피 홀딩 비.브이. 실리콘 산화물의 토폴로지-선택적 막의 형성 방법
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
US11139163B2 (en) * 2019-10-31 2021-10-05 Asm Ip Holding B.V. Selective deposition of SiOC thin films
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
KR20210065848A (ko) 2019-11-26 2021-06-04 에이에스엠 아이피 홀딩 비.브이. 제1 유전체 표면과 제2 금속성 표면을 포함한 기판 상에 타겟 막을 선택적으로 형성하기 위한 방법
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11885013B2 (en) 2019-12-17 2024-01-30 Asm Ip Holding B.V. Method of forming vanadium nitride layer and structure including the vanadium nitride layer
KR20210080214A (ko) 2019-12-19 2021-06-30 에이에스엠 아이피 홀딩 비.브이. 기판 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
US20210225634A1 (en) * 2020-01-17 2021-07-22 Asm Ip Holding B.V. FORMATION OF SiCN THIN FILMS
KR20210095050A (ko) 2020-01-20 2021-07-30 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법 및 박막 표면 개질 방법
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
TW202146882A (zh) 2020-02-04 2021-12-16 荷蘭商Asm Ip私人控股有限公司 驗證一物品之方法、用於驗證一物品之設備、及用於驗證一反應室之系統
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
US11781243B2 (en) 2020-02-17 2023-10-10 Asm Ip Holding B.V. Method for depositing low temperature phosphorous-doped silicon
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
KR20210116249A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 록아웃 태그아웃 어셈블리 및 시스템 그리고 이의 사용 방법
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
KR20210132605A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 냉각 가스 공급부를 포함한 수직형 배치 퍼니스 어셈블리
US11898243B2 (en) 2020-04-24 2024-02-13 Asm Ip Holding B.V. Method of forming vanadium nitride-containing layer
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
KR20210143653A (ko) 2020-05-19 2021-11-29 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202219628A (zh) 2020-07-17 2022-05-16 荷蘭商Asm Ip私人控股有限公司 用於光微影之結構與方法
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
TW202212623A (zh) 2020-08-26 2022-04-01 荷蘭商Asm Ip私人控股有限公司 形成金屬氧化矽層及金屬氮氧化矽層的方法、半導體結構、及系統
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
TW202217037A (zh) 2020-10-22 2022-05-01 荷蘭商Asm Ip私人控股有限公司 沉積釩金屬的方法、結構、裝置及沉積總成
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
US11447865B2 (en) * 2020-11-17 2022-09-20 Applied Materials, Inc. Deposition of low-κ films
TW202235675A (zh) 2020-11-30 2022-09-16 荷蘭商Asm Ip私人控股有限公司 注入器、及基板處理設備
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate

Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2003342731A (ja) * 2002-05-24 2003-12-03 Dainippon Printing Co Ltd 積層体およびその製造方法
JP2014135475A (ja) * 2012-12-13 2014-07-24 Hitachi Kokusai Electric Inc 半導体装置の製造方法、基板処理装置およびプログラム
JP2014229834A (ja) * 2013-05-24 2014-12-08 株式会社日立国際電気 半導体装置の製造方法、基板処理装置及びプログラム
JP2015523917A (ja) * 2012-04-30 2015-08-20 ザ・ボーイング・カンパニーTheBoeing Company シリコンオキシカーバイド層を含む複合材料、及び複合材料を形成する方法
US20150380302A1 (en) * 2014-06-30 2015-12-31 Lam Research Corporation Selective formation of dielectric barriers for metal interconnects in semiconductor devices

Family Cites Families (295)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6482262B1 (en) 1959-10-10 2002-11-19 Asm Microchemistry Oy Deposition of transition metal carbides
FI119941B (fi) 1999-10-15 2009-05-15 Asm Int Menetelmä nanolaminaattien valmistamiseksi
FI117944B (fi) 1999-10-15 2007-04-30 Asm Int Menetelmä siirtymämetallinitridiohutkalvojen kasvattamiseksi
US3925337A (en) 1969-07-31 1975-12-09 Air Prod & Chem Post chlorinated vinyl chloride copolymers
US3708728A (en) 1971-06-10 1973-01-02 Int Standard Electric Corp Electrolytic capacitor electrode comprising semiconductor core with film-forming metal coating
SE393967B (sv) 1974-11-29 1977-05-31 Sateko Oy Forfarande och for utforande av stroleggning mellan lagren i ett virkespaket
US4282267A (en) 1979-09-20 1981-08-04 Western Electric Co., Inc. Methods and apparatus for generating plasmas
US4389973A (en) 1980-03-18 1983-06-28 Oy Lohja Ab Apparatus for performing growth of compound thin films
JPS5833841A (ja) 1981-08-24 1983-02-28 Hitachi Ltd 半導体装置
DE3463641D1 (en) 1983-11-11 1987-06-19 Japan Res Dev Corp Boron nitride containing titanium nitride, method of producing the same and composite ceramics produced therefrom
US5693139A (en) 1984-07-26 1997-12-02 Research Development Corporation Of Japan Growth of doped semiconductor monolayers
GB8516537D0 (en) 1985-06-29 1985-07-31 Standard Telephones Cables Ltd Pulsed plasma apparatus
US5769950A (en) 1985-07-23 1998-06-23 Canon Kabushiki Kaisha Device for forming deposited film
US4761269A (en) 1986-06-12 1988-08-02 Crystal Specialties, Inc. Apparatus for depositing material on a substrate
US4747367A (en) 1986-06-12 1988-05-31 Crystal Specialties, Inc. Method and apparatus for producing a constant flow, constant pressure chemical vapor deposition
US4767494A (en) 1986-07-04 1988-08-30 Nippon Telegraph & Telephone Corporation Preparation process of compound semiconductor
US5221556A (en) 1987-06-24 1993-06-22 Epsilon Technology, Inc. Gas injectors for reaction chambers in CVD systems
US5166092A (en) 1988-01-28 1992-11-24 Fujitsu Limited Method of growing compound semiconductor epitaxial layer by atomic layer epitaxy
US4851095A (en) 1988-02-08 1989-07-25 Optical Coating Laboratory, Inc. Magnetron sputtering apparatus and process
US4981724A (en) 1988-10-27 1991-01-01 Hochberg Arthur K Deposition of silicon oxide films using alkylsilane liquid sources
JPH0831454B2 (ja) 1989-04-21 1996-03-27 日本電気株式会社 半導体装置の製造方法
US5549937A (en) 1989-10-11 1996-08-27 U.S. Philips Corporation Method of plasma-activated reactive deposition of electrically conducting multicomponent material from a gas phase
JP2822536B2 (ja) 1990-02-14 1998-11-11 住友電気工業株式会社 立方晶窒化ホウ素薄膜の形成方法
US5071670A (en) 1990-06-11 1991-12-10 Kelly Michael A Method for chemical vapor deposition under a single reactor vessel divided into separate reaction chambers each with its own depositing and exhausting means
US5225366A (en) 1990-06-22 1993-07-06 The United States Of America As Represented By The Secretary Of The Navy Apparatus for and a method of growing thin films of elemental semiconductors
US5316793A (en) 1992-07-27 1994-05-31 Texas Instruments Incorporated Directed effusive beam atomic layer epitaxy system and method
US5270247A (en) 1991-07-12 1993-12-14 Fujitsu Limited Atomic layer epitaxy of compound semiconductor
US5242530A (en) 1991-08-05 1993-09-07 International Business Machines Corporation Pulsed gas plasma-enhanced chemical vapor deposition of silicon
CA2059818C (en) 1991-08-21 1995-01-03 Gokichi Hatouchi Apparatus for storing articles
DE4242292C2 (de) 1991-12-20 2002-06-27 Ntn Toyo Bearing Co Ltd Selbsttätige hydraulische Spannvorrichtung
JPH05335257A (ja) 1992-06-03 1993-12-17 Showa Shell Sekiyu Kk p型シリコンカーバイドの形成方法
US5342652A (en) 1992-06-15 1994-08-30 Materials Research Corporation Method of nucleating tungsten on titanium nitride by CVD without silane
JPH0637041A (ja) 1992-07-15 1994-02-10 Hitachi Ltd 配線部材の形成方法
US5306666A (en) 1992-07-24 1994-04-26 Nippon Steel Corporation Process for forming a thin metal film by chemical vapor deposition
JPH0669157A (ja) 1992-08-21 1994-03-11 Sony Corp チタン膜の形成方法
FR2695944B1 (fr) 1992-09-24 1994-11-18 Onera (Off Nat Aerospatiale) Appareil de dépôt chimique en phase vapeur activé par un plasma micro-ondes.
JP3356531B2 (ja) 1994-02-15 2002-12-16 東京エレクトロン株式会社 ボロン含有ポリシリコン膜の形成方法
US6200389B1 (en) 1994-07-18 2001-03-13 Silicon Valley Group Thermal Systems Llc Single body injector and deposition chamber
FI97731C (fi) 1994-11-28 1997-02-10 Mikrokemia Oy Menetelmä ja laite ohutkalvojen valmistamiseksi
FI97730C (fi) 1994-11-28 1997-02-10 Mikrokemia Oy Laitteisto ohutkalvojen valmistamiseksi
FI100409B (fi) 1994-11-28 1997-11-28 Asm Int Menetelmä ja laitteisto ohutkalvojen valmistamiseksi
AU4290396A (en) 1994-11-30 1996-06-19 Micron Technology, Inc. A method of depositing tungsten nitride using a source gas comprising silicon
WO1996018756A1 (en) 1994-12-16 1996-06-20 Nkt Research Center A/S A PA-CVD PROCESS FOR DEPOSITION OF A SOLID METAL-CONTAINING FILM ONTO A SUBSTRATE CONTAINING AT LEAST 50 % of Fe or WC
US5964943A (en) 1994-12-27 1999-10-12 Siemens Aktiengesellschaft Method of producing boron-doped monocrystalline silicon carbide
US6006763A (en) 1995-01-11 1999-12-28 Seiko Epson Corporation Surface treatment method
JPH08264530A (ja) 1995-03-20 1996-10-11 Fujitsu Ltd 半導体装置の製造方法及び半導体装置の製造装置
DE19514081A1 (de) 1995-04-13 1996-10-17 Siemens Ag Verfahren zum Herstellen eines elektrischen Kontakts auf einer SiC-Oberfläche
US5744254A (en) 1995-05-24 1998-04-28 Virginia Tech Intellectual Properties, Inc. Composite materials including metallic matrix composite reinforcements
US5595784A (en) 1995-08-01 1997-01-21 Kaim; Robert Titanium nitride and multilayers formed by chemical vapor deposition of titanium halides
JPH0955365A (ja) * 1995-08-10 1997-02-25 Hewlett Packard Co <Hp> 半導体基板の表面清浄方法
JPH0987857A (ja) 1995-09-27 1997-03-31 Res Dev Corp Of Japan プラズマcvdによる炭化物コーティング方法
TW328971B (en) 1995-10-30 1998-04-01 Dow Corning Method for depositing Si-O containing coatings
KR0155918B1 (ko) 1995-11-03 1998-12-01 김광호 선택적 텅스텐질화박막을 이용한 반도체장치의 캐패시터 형성방법
US6509098B1 (en) 1995-11-17 2003-01-21 Massachusetts Institute Of Technology Poly(ethylene oxide) coated surfaces
US5672054A (en) 1995-12-07 1997-09-30 Carrier Corporation Rotary compressor with reduced lubrication sensitivity
US5946594A (en) 1996-01-02 1999-08-31 Micron Technology, Inc. Chemical vapor deposition of titanium from titanium tetrachloride and hydrocarbon reactants
US5965004A (en) 1996-03-13 1999-10-12 Sterling Pulp Chemicals, Ltd. Chlorine dioxide generation for water treatment
US5789024A (en) 1996-05-15 1998-08-04 New Jersey Institute Of Technology Subnanoscale composite, N2-permselective membrane for the separation of volatile organic compounds
US6342277B1 (en) 1996-08-16 2002-01-29 Licensee For Microelectronics: Asm America, Inc. Sequential chemical vapor deposition
US5916365A (en) 1996-08-16 1999-06-29 Sherman; Arthur Sequential chemical vapor deposition
FI100758B (fi) 1996-09-11 1998-02-13 Planar Internat Oy Ltd Menetelmä ZnS:Mn-loisteainekerroksen kasvattamiseksi ohutkalvoelektrol uminenssikomponentteja varten
JP3353874B2 (ja) 1996-09-24 2002-12-03 シャープ株式会社 半導体装置及びその製造方法
EP0841690B1 (en) 1996-11-12 2006-03-01 Samsung Electronics Co., Ltd. Tungsten nitride (WNx) layer manufacturing method and metal wiring manufacturing method
TW320752B (en) 1996-11-18 1997-11-21 United Microelectronics Corp Metal gate electrode process
US6174377B1 (en) 1997-03-03 2001-01-16 Genus, Inc. Processing chamber for atomic layer deposition processes
US6156382A (en) 1997-05-16 2000-12-05 Applied Materials, Inc. Chemical vapor deposition process for depositing tungsten
US6287965B1 (en) 1997-07-28 2001-09-11 Samsung Electronics Co, Ltd. Method of forming metal layer using atomic layer deposition and semiconductor device having the metal layer as barrier metal layer or upper or lower electrode of capacitor
KR100269306B1 (ko) 1997-07-31 2000-10-16 윤종용 저온처리로안정화되는금속산화막으로구성된완충막을구비하는집적회로장치및그제조방법
KR100261017B1 (ko) 1997-08-19 2000-08-01 윤종용 반도체 장치의 금속 배선층을 형성하는 방법
US5913145A (en) 1997-08-28 1999-06-15 Texas Instruments Incorporated Method for fabricating thermally stable contacts with a diffusion barrier formed at high temperatures
US6348376B2 (en) 1997-09-29 2002-02-19 Samsung Electronics Co., Ltd. Method of forming metal nitride film by chemical vapor deposition and method of forming metal contact and capacitor of semiconductor device using the same
KR100274603B1 (ko) 1997-10-01 2001-01-15 윤종용 반도체장치의제조방법및그의제조장치
US5972430A (en) 1997-11-26 1999-10-26 Advanced Technology Materials, Inc. Digital chemical vapor deposition (CVD) method for forming a multi-component oxide layer
US6099904A (en) 1997-12-02 2000-08-08 Applied Materials, Inc. Low resistivity W using B2 H6 nucleation step
FI104383B (fi) 1997-12-09 2000-01-14 Fortum Oil & Gas Oy Menetelmä laitteistojen sisäpintojen päällystämiseksi
US6104074A (en) 1997-12-11 2000-08-15 Apa Optics, Inc. Schottky barrier detectors for visible-blind ultraviolet detection
KR100269328B1 (ko) 1997-12-31 2000-10-16 윤종용 원자층 증착 공정을 이용하는 도전층 형성방법
US6015917A (en) 1998-01-23 2000-01-18 Advanced Technology Materials, Inc. Tantalum amide precursors for deposition of tantalum nitride on a substrate
US6303523B2 (en) 1998-02-11 2001-10-16 Applied Materials, Inc. Plasma processes for depositing low dielectric constant films
KR100287174B1 (ko) 1998-03-17 2001-04-16 윤종용 다원자계산화물 및 질화물의박막제조방법
US6159871A (en) * 1998-05-29 2000-12-12 Dow Corning Corporation Method for producing hydrogenated silicon oxycarbide films having low dielectric constant
US6380627B1 (en) 1998-06-26 2002-04-30 The Regents Of The University Of California Low resistance barrier layer for isolating, adhering, and passivating copper metal in semiconductor fabrication
DE19832245A1 (de) 1998-07-17 2000-01-20 Heidelberger Druckmasch Ag Verfahren zum Bedienen von Geräten in der Reproduktionstechnik
JP2960928B1 (ja) 1998-07-24 1999-10-12 スタンレー電気株式会社 車両用信号灯具
KR100275738B1 (ko) 1998-08-07 2000-12-15 윤종용 원자층 증착법을 이용한 박막 제조방법
US6188134B1 (en) 1998-08-20 2001-02-13 The United States Of America As Represented By The Secretary Of The Navy Electronic devices with rubidium barrier film and process for making same
US6727190B2 (en) 1998-09-03 2004-04-27 Micron Technology, Inc. Method of forming fluorine doped boron-phosphorous silicate glass (F-BPSG) insulating materials
ATE408035T1 (de) 1999-02-11 2008-09-15 Hardide Ltd Wolframkarbidbeschichtungen und verfahren zu deren herstellung
DE10080457T1 (de) 1999-02-12 2001-04-26 Gelest Inc CVD-Abscheidung von Wolframnitrid
US6200893B1 (en) 1999-03-11 2001-03-13 Genus, Inc Radical-assisted sequential CVD
KR20000060438A (ko) 1999-03-16 2000-10-16 이경수 산화알루미늄 막의 형성 방법
KR100273473B1 (ko) 1999-04-06 2000-11-15 이경수 박막 형성 방법
US6124158A (en) 1999-06-08 2000-09-26 Lucent Technologies Inc. Method of reducing carbon contamination of a thin dielectric film by using gaseous organic precursors, inert gas, and ozone to react with carbon contaminants
US6613383B1 (en) 1999-06-21 2003-09-02 Regents Of The University Of Colorado Atomic layer controlled deposition on particle surfaces
US6391785B1 (en) 1999-08-24 2002-05-21 Interuniversitair Microelektronica Centrum (Imec) Method for bottomless deposition of barrier layers in integrated circuit metallization schemes
US6511539B1 (en) 1999-09-08 2003-01-28 Asm America, Inc. Apparatus and method for growth of a thin film
US6576053B1 (en) 1999-10-06 2003-06-10 Samsung Electronics Co., Ltd. Method of forming thin film using atomic layer deposition method
JP5173098B2 (ja) 1999-10-15 2013-03-27 エーエスエム インターナショナル エヌ.ヴェー. ダマシン・メタライゼーションのためのコンフォーマルライニング層
US6727169B1 (en) 1999-10-15 2004-04-27 Asm International, N.V. Method of making conformal lining layers for damascene metallization
WO2001029893A1 (en) 1999-10-15 2001-04-26 Asm America, Inc. Method for depositing nanolaminate thin films on sensitive surfaces
WO2001029280A1 (en) 1999-10-15 2001-04-26 Asm America, Inc. Deposition of transition metal carbides
US6203613B1 (en) 1999-10-19 2001-03-20 International Business Machines Corporation Atomic layer deposition with nitrate containing precursors
US6780704B1 (en) 1999-12-03 2004-08-24 Asm International Nv Conformal thin films over textured capacitor electrodes
FI118804B (fi) 1999-12-03 2008-03-31 Asm Int Menetelmä oksidikalvojen kasvattamiseksi
FI20000099A0 (fi) 2000-01-18 2000-01-18 Asm Microchemistry Ltd Menetelmä metalliohutkalvojen kasvattamiseksi
KR20010075977A (ko) 2000-01-21 2001-08-11 윤덕용 부가 단량체 단일선구물질을 이용한 13 족 질화물 박막의제조방법
DE60125338T2 (de) 2000-03-07 2007-07-05 Asm International N.V. Gradierte dünne schichten
DE10018156A1 (de) 2000-04-12 2001-10-25 Bosch Gmbh Robert Elektromotor
US6410462B1 (en) * 2000-05-12 2002-06-25 Sharp Laboratories Of America, Inc. Method of making low-K carbon doped silicon oxide
KR100775159B1 (ko) 2000-05-15 2007-11-12 에이에스엠 인터내셔널 엔.붸. 집적회로의 생산 공정
US6482733B2 (en) 2000-05-15 2002-11-19 Asm Microchemistry Oy Protective layers prior to alternating layer deposition
US6194310B1 (en) 2000-06-01 2001-02-27 Sharp Laboratories Of America, Inc. Method of forming amorphous conducting diffusion barriers
US6713177B2 (en) 2000-06-21 2004-03-30 Regents Of The University Of Colorado Insulating and functionalizing fine metal-containing particles with conformal ultra-thin films
US20040224504A1 (en) 2000-06-23 2004-11-11 Gadgil Prasad N. Apparatus and method for plasma enhanced monolayer processing
US7101795B1 (en) 2000-06-28 2006-09-05 Applied Materials, Inc. Method and apparatus for depositing refractory metal layers employing sequential deposition techniques to form a nucleation layer
US7405158B2 (en) 2000-06-28 2008-07-29 Applied Materials, Inc. Methods for depositing tungsten layers employing atomic layer deposition techniques
US6355561B1 (en) 2000-11-21 2002-03-12 Micron Technology, Inc. ALD method to improve surface coverage
US20020104481A1 (en) 2000-12-06 2002-08-08 Chiang Tony P. System and method for modulated ion-induced atomic layer deposition (MII-ALD)
US6583048B2 (en) * 2001-01-17 2003-06-24 Air Products And Chemicals, Inc. Organosilicon precursors for interlayer dielectric films with low dielectric constants
US6464779B1 (en) 2001-01-19 2002-10-15 Novellus Systems, Inc. Copper atomic layer chemical vapor desposition
JP4160732B2 (ja) 2001-03-13 2008-10-08 富士フイルム株式会社 ハロゲン化銀写真乳剤
FI109770B (fi) 2001-03-16 2002-10-15 Asm Microchemistry Oy Menetelmä metallinitridiohutkalvojen valmistamiseksi
KR100853903B1 (ko) 2001-03-20 2008-08-25 맷슨 테크놀로지, 인크. 비교적 높은 유전율을 갖는 코팅을 기판 상에 증착하는 방법
US6861334B2 (en) 2001-06-21 2005-03-01 Asm International, N.V. Method of fabricating trench isolation structures for integrated circuits using atomic layer deposition
US7043133B2 (en) * 2001-07-12 2006-05-09 Little Optics, Inc. Silicon-oxycarbide high index contrast, low-loss optical waveguides and integrated thermo-optic devices
US7211144B2 (en) 2001-07-13 2007-05-01 Applied Materials, Inc. Pulsed nucleation deposition of tungsten layers
US20040206008A1 (en) 2001-07-16 2004-10-21 Chien-Min Sung SiCN compositions and methods
JP4666912B2 (ja) 2001-08-06 2011-04-06 エー・エス・エムジニテックコリア株式会社 プラズマで補強した原子層蒸着装置及びこれを利用した薄膜形成方法
AU2002333601A1 (en) 2001-09-14 2003-04-01 Asm America, Inc. Metal nitride deposition by ald using gettering reactant
US20030049931A1 (en) 2001-09-19 2003-03-13 Applied Materials, Inc. Formation of refractory metal nitrides using chemisorption techniques
US6960537B2 (en) 2001-10-02 2005-11-01 Asm America, Inc. Incorporation of nitrogen into high k dielectric film
TW589684B (en) 2001-10-10 2004-06-01 Applied Materials Inc Method for depositing refractory metal layers employing sequential deposition techniques
US20030119305A1 (en) 2001-12-21 2003-06-26 Huang Robert Y. S. Mask layer and dual damascene interconnect structure in a semiconductor device
US6809026B2 (en) 2001-12-21 2004-10-26 Applied Materials, Inc. Selective deposition of a barrier layer on a metal film
US20030123216A1 (en) 2001-12-27 2003-07-03 Yoon Hyungsuk A. Deposition of tungsten for the formation of conformal tungsten silicide
KR20030057938A (ko) 2001-12-29 2003-07-07 주식회사 하이닉스반도체 반도체 소자의 실리콘 질화막 제조방법
US6827978B2 (en) 2002-02-11 2004-12-07 Applied Materials, Inc. Deposition of tungsten films
US20030157760A1 (en) 2002-02-20 2003-08-21 Applied Materials, Inc. Deposition of tungsten films for dynamic random access memory (DRAM) applications
US6833161B2 (en) 2002-02-26 2004-12-21 Applied Materials, Inc. Cyclical deposition of tungsten nitride for metal oxide gate electrode
US6972267B2 (en) 2002-03-04 2005-12-06 Applied Materials, Inc. Sequential deposition of tantalum nitride using a tantalum-containing precursor and a nitrogen-containing precursor
JP4108999B2 (ja) 2002-03-26 2008-06-25 大日本印刷株式会社 積層フィルム
US20030194825A1 (en) 2002-04-10 2003-10-16 Kam Law Deposition of gate metallization for active matrix liquid crystal display (AMLCD) applications
US20030203616A1 (en) 2002-04-24 2003-10-30 Applied Materials, Inc. Atomic layer deposition of tungsten barrier layers using tungsten carbonyls and boranes for copper metallization
US20040129212A1 (en) 2002-05-20 2004-07-08 Gadgil Pradad N. Apparatus and method for delivery of reactive chemical precursors to the surface to be treated
KR20030093575A (ko) 2002-06-03 2003-12-11 주식회사 하이닉스반도체 고선택성 질화막을 이용한 캐패시터 제조방법
US7045406B2 (en) 2002-12-03 2006-05-16 Asm International, N.V. Method of forming an electrode with adjusted work function
KR20040060402A (ko) 2002-12-30 2004-07-06 주식회사 하이닉스반도체 반도체소자의 콘택 형성방법
KR20030016346A (ko) 2003-01-29 2003-02-26 윤태식 차량 부재 운행 자동 관리 시스템
US7198820B2 (en) 2003-02-06 2007-04-03 Planar Systems, Inc. Deposition of carbon- and transition metal-containing thin films
KR100511913B1 (ko) 2003-03-06 2005-09-02 주식회사 하이닉스반도체 반도체 소자의 비트라인 형성 방법
KR100494129B1 (ko) 2003-03-06 2005-06-10 주식회사 하이닉스반도체 반도체 소자의 전극 형성방법
JP2004288979A (ja) 2003-03-24 2004-10-14 L'air Liquide Sa Pour L'etude & L'exploitation Des Procede S Georges Claude 絶縁膜の成膜方法
JP4423914B2 (ja) 2003-05-13 2010-03-03 東京エレクトロン株式会社 処理装置及びその使用方法
US7115534B2 (en) * 2003-05-19 2006-10-03 Applied Materials, Inc. Dielectric materials to prevent photoresist poisoning
KR20040100767A (ko) 2003-05-24 2004-12-02 주식회사 하이닉스반도체 저압 실리콘 질화막 형성 방법
US20040238876A1 (en) 2003-05-29 2004-12-02 Sunpil Youn Semiconductor structure having low resistance and method of manufacturing same
WO2004113585A2 (en) 2003-06-18 2004-12-29 Applied Materials, Inc. Atomic layer deposition of barrier materials
KR100543517B1 (ko) 2003-06-23 2006-01-20 주식회사 포스코 수처리 설비의 원격 전력량 계측 시스템
US20050271893A1 (en) 2004-06-04 2005-12-08 Applied Microstructures, Inc. Controlled vapor deposition of multilayered coatings adhered by an oxide layer
US7030430B2 (en) 2003-08-15 2006-04-18 Intel Corporation Transition metal alloys for use as a gate electrode and devices incorporating these alloys
US7074690B1 (en) 2004-03-25 2006-07-11 Novellus Systems, Inc. Selective gap-fill process
US7105430B2 (en) 2004-03-26 2006-09-12 Freescale Semiconductor, Inc. Method for forming a semiconductor device having a notched control electrode and structure thereof
US7115974B2 (en) * 2004-04-27 2006-10-03 Taiwan Semiconductor Manfacturing Company, Ltd. Silicon oxycarbide and silicon carbonitride based materials for MOS devices
US20050252449A1 (en) 2004-05-12 2005-11-17 Nguyen Son T Control of gas flow and delivery to suppress the formation of particles in an MOCVD/ALD system
JP2006040936A (ja) 2004-07-22 2006-02-09 L'air Liquide Sa Pour L'etude & L'exploitation Des Procede S Georges Claude 絶縁膜の成膜方法および絶縁膜成膜装置
US7422776B2 (en) 2004-08-24 2008-09-09 Applied Materials, Inc. Low temperature process to produce low-K dielectrics with low stress by plasma-enhanced chemical vapor deposition (PECVD)
US7491658B2 (en) 2004-10-13 2009-02-17 International Business Machines Corporation Ultra low k plasma enhanced chemical vapor deposition processes using a single bifunctional precursor containing both a SiCOH matrix functionality and organic porogen functionality
KR100714269B1 (ko) 2004-10-14 2007-05-02 삼성전자주식회사 반도체 소자 제조에 사용되는 금속층 형성방법
US7015153B1 (en) 2004-10-20 2006-03-21 Freescale Semiconductor, Inc. Method for forming a layer using a purging gas in a semiconductor process
US7892648B2 (en) 2005-01-21 2011-02-22 International Business Machines Corporation SiCOH dielectric material with improved toughness and improved Si-C bonding
KR100640550B1 (ko) 2005-01-26 2006-10-31 주식회사 아이피에스 플라즈마 ald 박막증착방법
US7438949B2 (en) 2005-01-27 2008-10-21 Applied Materials, Inc. Ruthenium containing layer deposition method
US20060240187A1 (en) 2005-01-27 2006-10-26 Applied Materials, Inc. Deposition of an intermediate catalytic layer on a barrier layer for copper metallization
JP4258518B2 (ja) 2005-03-09 2009-04-30 東京エレクトロン株式会社 成膜方法、成膜装置及び記憶媒体
JP2006261434A (ja) 2005-03-17 2006-09-28 L'air Liquide Sa Pour L'etude & L'exploitation Des Procede S Georges Claude シリコン酸化膜の形成方法
US8486845B2 (en) 2005-03-21 2013-07-16 Tokyo Electron Limited Plasma enhanced atomic layer deposition system and method
US7220671B2 (en) 2005-03-31 2007-05-22 Intel Corporation Organometallic precursors for the chemical phase deposition of metal films in interconnect applications
FR2887252A1 (fr) 2005-06-21 2006-12-22 Air Liquide Procede de formation d'un film dielectrique et nouveaux precurseurs pour la mise en oeuvre de ce procede
US20070054046A1 (en) 2005-09-06 2007-03-08 Tokyo Electron Limited Method of forming a tantalum-containing layer from a metalorganic precursor
WO2007041089A2 (en) 2005-09-29 2007-04-12 Praxair Technology, Inc. Organometallic compounds and methods of use thereof
JP4864402B2 (ja) 2005-09-29 2012-02-01 株式会社東芝 半導体装置の製造方法
US8993055B2 (en) 2005-10-27 2015-03-31 Asm International N.V. Enhanced thin film deposition
DE102006004430B4 (de) * 2006-01-31 2010-06-10 Advanced Micro Devices, Inc., Sunnyvale Verfahren und System für eine fortschrittliche Prozesssteuerung in einem Ätzsystem durch Gasflusssteuerung auf der Grundlage von CD-Messungen
US7407876B2 (en) 2006-03-20 2008-08-05 Tokyo Electron Limited Method of plasma enhanced atomic layer deposition of TaC and TaCN films having good adhesion to copper
US7601651B2 (en) 2006-03-31 2009-10-13 Applied Materials, Inc. Method to improve the step coverage and pattern loading for dielectric films
FR2900276B1 (fr) 2006-04-25 2008-09-12 St Microelectronics Sa Depot peald d'un materiau a base de silicium
US8530361B2 (en) 2006-05-23 2013-09-10 Air Products And Chemicals, Inc. Process for producing silicon and oxide films from organoaminosilane precursors
WO2008007770A1 (en) * 2006-07-14 2008-01-17 Dai Nippon Printing Co., Ltd. Transparent conducting layer coated film and its use
US7939455B2 (en) 2006-09-29 2011-05-10 Tokyo Electron Limited Method for forming strained silicon nitride films and a device containing such films
US8268409B2 (en) 2006-10-25 2012-09-18 Asm America, Inc. Plasma-enhanced deposition of metal carbide films
US7611751B2 (en) 2006-11-01 2009-11-03 Asm America, Inc. Vapor deposition of metal carbide films
US7727864B2 (en) * 2006-11-01 2010-06-01 Asm America, Inc. Controlled composition using plasma-enhanced atomic layer deposition
US7598170B2 (en) 2007-01-26 2009-10-06 Asm America, Inc. Plasma-enhanced ALD of tantalum nitride films
WO2008121478A2 (en) * 2007-03-28 2008-10-09 Dow Corning Corporation Roll-to-roll plasma enhanced chemical vapor deposition method of barrier layers comprising silicon and carbon
US8080483B2 (en) * 2007-04-05 2011-12-20 Purdue Research Foundation Double gyroid structure nanoporous films and nanowire networks
US7713874B2 (en) 2007-05-02 2010-05-11 Asm America, Inc. Periodic plasma annealing in an ALD-type process
US7585762B2 (en) 2007-09-25 2009-09-08 Applied Materials, Inc. Vapor deposition processes for tantalum carbide nitride materials
US7794798B2 (en) 2007-09-29 2010-09-14 Tel Epion Inc. Method for depositing films using gas cluster ion beam processing
US7943531B2 (en) 2007-10-22 2011-05-17 Applied Materials, Inc. Methods for forming a silicon oxide layer over a substrate
KR100920033B1 (ko) 2007-12-10 2009-10-07 (주)피앤테크 에스아이오씨 박막 제조용 프리커서를 이용한 박막 형성방법
US20090315093A1 (en) 2008-04-16 2009-12-24 Asm America, Inc. Atomic layer deposition of metal carbide films using aluminum hydrocarbon compounds
US8298628B2 (en) 2008-06-02 2012-10-30 Air Products And Chemicals, Inc. Low temperature deposition of silicon-containing films
CN102047386B (zh) 2008-06-03 2013-06-19 气体产品与化学公司 含硅薄膜的低温沉积
US8129555B2 (en) * 2008-08-12 2012-03-06 Air Products And Chemicals, Inc. Precursors for depositing silicon-containing films and methods for making and using same
JP5364342B2 (ja) 2008-11-10 2013-12-11 株式会社東芝 不揮発性半導体記憶装置、及びその製造方法
US8637396B2 (en) * 2008-12-01 2014-01-28 Air Products And Chemicals, Inc. Dielectric barrier deposition using oxygen containing precursor
US20100148903A1 (en) * 2008-12-12 2010-06-17 General Electric Company Electrical energy transformation apparatus
JP5083200B2 (ja) 2008-12-25 2012-11-28 東洋製罐株式会社 蒸着膜を備えたプラスチック成形品
US8703624B2 (en) 2009-03-13 2014-04-22 Air Products And Chemicals, Inc. Dielectric films comprising silicon and methods for making same
US8465812B2 (en) * 2009-03-23 2013-06-18 The Boeing Company Durable transparent intelligent coatings for polymeric transparencies
KR101183831B1 (ko) * 2009-05-25 2012-09-18 (주)석경에이티 단분산의 복합 실리카 미세입자의 제조방법
FR2949111B1 (fr) * 2009-08-13 2013-03-22 Essilor Int Procede de fabrication d'un substrat revetu d'un film antistatique mesoporeux et son application en optique ophtalmique
WO2011049816A2 (en) 2009-10-20 2011-04-28 Asm International N.V. Processes for passivating dielectric films
US20110159202A1 (en) * 2009-12-29 2011-06-30 Asm Japan K.K. Method for Sealing Pores at Surface of Dielectric Layer by UV Light-Assisted CVD
US9076646B2 (en) 2010-04-15 2015-07-07 Lam Research Corporation Plasma enhanced atomic layer deposition with pulsed plasma exposure
US9390909B2 (en) 2013-11-07 2016-07-12 Novellus Systems, Inc. Soft landing nanolaminates for advanced patterning
US8637411B2 (en) 2010-04-15 2014-01-28 Novellus Systems, Inc. Plasma activated conformal dielectric film deposition
KR20120003677A (ko) 2010-07-05 2012-01-11 삼성전자주식회사 반도체 장치 및 그의 형성 방법
JP5856162B2 (ja) * 2010-07-26 2016-02-09 ウオーターズ・テクノロジーズ・コーポレイシヨン 粒度分布の狭い実質的に非多孔質のハイブリッドコアを含む表面多孔質材料
KR101778287B1 (ko) 2010-08-30 2017-09-14 삼성전자주식회사 반도체 메모리 소자 및 그 제조방법
KR20120030193A (ko) 2010-09-17 2012-03-28 삼성전자주식회사 3차원 반도체 장치의 제조 방법
KR101787041B1 (ko) 2010-11-17 2017-10-18 삼성전자주식회사 식각방지막이 구비된 반도체 소자 및 그 제조방법
US20120208347A1 (en) 2011-02-11 2012-08-16 Samsung Electronics Co., Ltd. Three-dimensional semiconductor memory devices and methods of fabricating the same
JP2012169408A (ja) * 2011-02-14 2012-09-06 Taiyo Nippon Sanso Corp マスク用材料、マスクの形成方法、パターン形成方法、及びエッチング保護膜
US8647993B2 (en) 2011-04-11 2014-02-11 Novellus Systems, Inc. Methods for UV-assisted conformal film deposition
CN103597550B (zh) * 2011-04-28 2017-06-30 富士胶片株式会社 导电性构件、导电性构件的制造方法、组成物、触摸屏及太阳电池
US8771807B2 (en) * 2011-05-24 2014-07-08 Air Products And Chemicals, Inc. Organoaminosilane precursors and methods for making and using same
WO2013016594A2 (en) * 2011-07-26 2013-01-31 Virginia Commonwealth University Abhesive coatings
KR20130015145A (ko) 2011-08-02 2013-02-13 삼성전자주식회사 반도체 소자의 미세 패턴 형성 방법
JP6199292B2 (ja) 2011-09-23 2017-09-20 ノベラス・システムズ・インコーポレーテッドNovellus Systems Incorporated プラズマ活性化されるコンフォーマル誘電体膜
WO2013043501A1 (en) 2011-09-23 2013-03-28 Applied Materials, Inc. Metal-aluminum alloy films from metal amidinate precursors and aluminum precursors
US8569184B2 (en) 2011-09-30 2013-10-29 Asm Japan K.K. Method for forming single-phase multi-element film by PEALD
JPWO2013054655A1 (ja) * 2011-10-14 2015-03-30 株式会社日立国際電気 半導体装置の製造方法、基板処理方法、基板処理装置および記録媒体
TWI541377B (zh) 2011-11-04 2016-07-11 Asm國際股份有限公司 形成摻雜二氧化矽薄膜的方法
DE102011117859A1 (de) 2011-11-08 2013-05-08 Fachhochschule Köln Prüfkörper und Verfahren zur Überprüfung der Übertragungseigenschaften von Volumentomographen
FR2982608B1 (fr) * 2011-11-16 2013-11-22 Saint Gobain Couche barriere aux metaux alcalins a base de sioc
JP5694129B2 (ja) 2011-11-29 2015-04-01 株式会社東芝 半導体装置及びその製造方法
US9200167B2 (en) 2012-01-27 2015-12-01 Air Products And Chemicals, Inc. Alkoxyaminosilane compounds and applications thereof
US9111746B2 (en) 2012-03-22 2015-08-18 Tokyo Electron Limited Method for reducing damage to low-k gate spacer during etching
US10211310B2 (en) 2012-06-12 2019-02-19 Novellus Systems, Inc. Remote plasma based deposition of SiOC class of films
US10832904B2 (en) 2012-06-12 2020-11-10 Lam Research Corporation Remote plasma based deposition of oxygen doped silicon carbide films
US10325773B2 (en) 2012-06-12 2019-06-18 Novellus Systems, Inc. Conformal deposition of silicon carbide films
US20180330945A1 (en) * 2012-06-12 2018-11-15 Lam Research Corporation Remote plasma based deposition of silicon carbide films using silicon-containing and carbon-containing precursors
TWI506734B (zh) 2012-07-13 2015-11-01 Macronix Int Co Ltd 嵌鑲字元線
JP2014022657A (ja) * 2012-07-20 2014-02-03 Fujifilm Corp エッチング方法、これを用いた半導体基板製品および半導体素子の製造方法、ならびにエッチング液調製用キット
TWI579322B (zh) * 2012-07-25 2017-04-21 國立交通大學 多孔性材料之製備方法
US9243324B2 (en) 2012-07-30 2016-01-26 Air Products And Chemicals, Inc. Methods of forming non-oxygen containing silicon-based films
NL2009306C2 (en) * 2012-08-09 2014-02-11 Stichting Energie Membranes for dewatering acid mixtures.
US9355839B2 (en) 2012-10-23 2016-05-31 Lam Research Corporation Sub-saturated atomic layer deposition and conformal film deposition
SG2013083654A (en) 2012-11-08 2014-06-27 Novellus Systems Inc Methods for depositing films on sensitive substrates
US9824881B2 (en) 2013-03-14 2017-11-21 Asm Ip Holding B.V. Si precursors for deposition of SiN at low temperatures
US9564309B2 (en) 2013-03-14 2017-02-07 Asm Ip Holding B.V. Si precursors for deposition of SiN at low temperatures
JP5788448B2 (ja) 2013-09-09 2015-09-30 株式会社日立国際電気 半導体装置の製造方法、基板処理装置及びプログラム
US10453675B2 (en) 2013-09-20 2019-10-22 Versum Materials Us, Llc Organoaminosilane precursors and methods for depositing films comprising same
US9847222B2 (en) 2013-10-25 2017-12-19 Lam Research Corporation Treatment for flowable dielectric deposition on substrate surfaces
JP6246558B2 (ja) * 2013-10-29 2017-12-13 東京エレクトロン株式会社 シリコン酸炭窒化物膜、シリコン酸炭化物膜、シリコン酸窒化物膜の成膜方法および成膜装置
TWI654336B (zh) 2013-12-30 2019-03-21 美商蘭姆研究公司 具有脈衝式電漿曝露之電漿輔助式原子層沉積
JP6129756B2 (ja) 2014-01-24 2017-05-17 株式会社東芝 半導体装置及びその製造方法
US9895715B2 (en) 2014-02-04 2018-02-20 Asm Ip Holding B.V. Selective deposition of metals, metal oxides, and dielectrics
US9171736B2 (en) 2014-03-03 2015-10-27 Tokyo Electron Limited Spacer material modification to improve K-value and etch properties
JP5883049B2 (ja) 2014-03-04 2016-03-09 株式会社日立国際電気 半導体装置の製造方法、基板処理装置、プログラムおよび記録媒体
US20150252477A1 (en) 2014-03-06 2015-09-10 Applied Materials, Inc. In-situ carbon and oxide doping of atomic layer deposition silicon nitride films
US20150275355A1 (en) 2014-03-26 2015-10-01 Air Products And Chemicals, Inc. Compositions and methods for the deposition of silicon oxide films
US10643925B2 (en) 2014-04-17 2020-05-05 Asm Ip Holding B.V. Fluorine-containing conductive films
US9401309B2 (en) 2014-08-26 2016-07-26 Sandisk Technologies Llc Multiheight contact via structures for a multilevel interconnect structure
WO2016042663A1 (ja) * 2014-09-19 2016-03-24 株式会社日立国際電気 半導体装置の製造方法、基板処理装置および記録媒体
US9478414B2 (en) * 2014-09-26 2016-10-25 Asm Ip Holding B.V. Method for hydrophobization of surface of silicon-containing film by ALD
KR102332415B1 (ko) * 2014-10-24 2021-12-01 버슘머트리얼즈 유에스, 엘엘씨 실리콘-함유 막을 증착시키기 위한 조성물 및 이를 사용하는 방법
US10354860B2 (en) * 2015-01-29 2019-07-16 Versum Materials Us, Llc Method and precursors for manufacturing 3D devices
US9777025B2 (en) * 2015-03-30 2017-10-03 L'Air Liquide, Société pour l'Etude et l'Exploitation des Procédés Georges Claude Si-containing film forming precursors and methods of using the same
US9425097B1 (en) 2015-04-29 2016-08-23 Globalfoundries Inc. Cut first alternative for 2D self-aligned via
WO2016178991A1 (en) * 2015-05-02 2016-11-10 Applied Materials, Inc. Methods for depositing low k and low wet etch rate dielectric thin films
KR102307059B1 (ko) 2015-05-13 2021-10-05 삼성전자주식회사 반도체 장치
US9455138B1 (en) 2015-11-10 2016-09-27 Asm Ip Holding B.V. Method for forming dielectric film in trenches by PEALD using H-containing gas
US9786492B2 (en) 2015-11-12 2017-10-10 Asm Ip Holding B.V. Formation of SiOCN thin films
US9786491B2 (en) 2015-11-12 2017-10-10 Asm Ip Holding B.V. Formation of SiOCN thin films
US10283348B2 (en) * 2016-01-20 2019-05-07 Versum Materials Us, Llc High temperature atomic layer deposition of silicon-containing films
US10699897B2 (en) 2016-01-24 2020-06-30 Applied Materials, Inc. Acetylide-based silicon precursors and their use as ALD/CVD precursors
WO2017184701A1 (en) 2016-04-21 2017-10-26 Applied Materials, Inc. Doped and undoped vanadium oxides for low-k spacer applications
US20170323785A1 (en) * 2016-05-06 2017-11-09 Lam Research Corporation Method to deposit conformal and low wet etch rate encapsulation layer using pecvd
KR102378021B1 (ko) * 2016-05-06 2022-03-23 에이에스엠 아이피 홀딩 비.브이. SiOC 박막의 형성
KR102600997B1 (ko) 2016-06-02 2023-11-14 삼성전자주식회사 메모리 장치
US10062563B2 (en) 2016-07-01 2018-08-28 Lam Research Corporation Selective atomic layer deposition with post-dose treatment
EP3620461B1 (en) 2016-07-05 2022-04-20 Samsung Electronics Co., Ltd. Organometallic compound, organic light-emitting device including the same, and diagnostic composition including the organometallic compound
KR20180046964A (ko) 2016-10-28 2018-05-10 삼성전자주식회사 반도체 메모리 소자
US10249489B2 (en) 2016-11-02 2019-04-02 Versum Materials Us, Llc Use of silyl bridged alkyl compounds for dense OSG films
US10134757B2 (en) 2016-11-07 2018-11-20 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by using the method
US10186420B2 (en) 2016-11-29 2019-01-22 Asm Ip Holding B.V. Formation of silicon-containing thin films
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10847529B2 (en) 2017-04-13 2020-11-24 Asm Ip Holding B.V. Substrate processing method and device manufactured by the same
US10504901B2 (en) 2017-04-26 2019-12-10 Asm Ip Holding B.V. Substrate processing method and device manufactured using the same
CN110546302B (zh) 2017-05-05 2022-05-27 Asm Ip 控股有限公司 用于受控形成含氧薄膜的等离子体增强沉积方法
US11501965B2 (en) * 2017-05-05 2022-11-15 Asm Ip Holding B.V. Plasma enhanced deposition processes for controlled formation of metal oxide thin films
US11170993B2 (en) 2017-05-16 2021-11-09 Asm Ip Holding B.V. Selective PEALD of oxide on dielectric
US10991573B2 (en) 2017-12-04 2021-04-27 Asm Ip Holding B.V. Uniform deposition of SiOC on dielectric and metal surfaces

Patent Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2003342731A (ja) * 2002-05-24 2003-12-03 Dainippon Printing Co Ltd 積層体およびその製造方法
JP2015523917A (ja) * 2012-04-30 2015-08-20 ザ・ボーイング・カンパニーTheBoeing Company シリコンオキシカーバイド層を含む複合材料、及び複合材料を形成する方法
JP2014135475A (ja) * 2012-12-13 2014-07-24 Hitachi Kokusai Electric Inc 半導体装置の製造方法、基板処理装置およびプログラム
JP2014229834A (ja) * 2013-05-24 2014-12-08 株式会社日立国際電気 半導体装置の製造方法、基板処理装置及びプログラム
US20150380302A1 (en) * 2014-06-30 2015-12-31 Lam Research Corporation Selective formation of dielectric barriers for metal interconnects in semiconductor devices

Also Published As

Publication number Publication date
TW202141743A (zh) 2021-11-01
TW202341414A (zh) 2023-10-16
JP2017201692A (ja) 2017-11-09
JP2023113827A (ja) 2023-08-16
KR20170125748A (ko) 2017-11-15
US20230132743A1 (en) 2023-05-04
TW201740539A (zh) 2017-11-16
KR20220039696A (ko) 2022-03-29
TWI810617B (zh) 2023-08-01
US10600637B2 (en) 2020-03-24
US20170323782A1 (en) 2017-11-09
US20200273697A1 (en) 2020-08-27
TWI737723B (zh) 2021-09-01
JP6923355B2 (ja) 2021-08-18
KR102515145B1 (ko) 2023-03-29
KR20230044381A (ko) 2023-04-04
JP2021184478A (ja) 2021-12-02
US11562900B2 (en) 2023-01-24

Similar Documents

Publication Publication Date Title
KR102515145B1 (ko) SiOC 박막의 형성
CN110546302B (zh) 用于受控形成含氧薄膜的等离子体增强沉积方法
KR102524573B1 (ko) SiOCN 박막들의 형성
US11107673B2 (en) Formation of SiOCN thin films
US10186420B2 (en) Formation of silicon-containing thin films

Legal Events

Date Code Title Description
A201 Request for examination
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right