TW202341414A - 形成碳氧化矽薄膜的製程 - Google Patents

形成碳氧化矽薄膜的製程 Download PDF

Info

Publication number
TW202341414A
TW202341414A TW112124362A TW112124362A TW202341414A TW 202341414 A TW202341414 A TW 202341414A TW 112124362 A TW112124362 A TW 112124362A TW 112124362 A TW112124362 A TW 112124362A TW 202341414 A TW202341414 A TW 202341414A
Authority
TW
Taiwan
Prior art keywords
certain embodiments
sioc
film
silicon
forming
Prior art date
Application number
TW112124362A
Other languages
English (en)
Inventor
俊哉 鈴木
維爾傑米 J 波爾
Original Assignee
荷蘭商Asm Ip私人控股有限公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 荷蘭商Asm Ip私人控股有限公司 filed Critical 荷蘭商Asm Ip私人控股有限公司
Publication of TW202341414A publication Critical patent/TW202341414A/zh

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02126Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing Si, O, and at least one of H, N, C, F, or other non-metal elements, e.g. SiOC, SiOC:H or SiONC
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/32Carbides
    • C23C16/325Silicon carbide
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/40Oxides
    • C23C16/401Oxides containing silicon
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/4401Means for minimising impurities, e.g. dust, moisture or residual gas, in the reaction chamber
    • C23C16/4408Means for minimising impurities, e.g. dust, moisture or residual gas, in the reaction chamber by purging residual gases from the reaction chamber or gas lines
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45527Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations
    • C23C16/45536Use of plasma, radiation or electromagnetic fields
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45553Atomic layer deposition [ALD] characterized by the use of precursors specially adapted for ALD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02205Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
    • H01L21/02208Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02205Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
    • H01L21/02208Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si
    • H01L21/02214Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound comprising silicon and oxygen
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/02274Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition in the presence of a plasma [PECVD]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/0228Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition deposition by cyclic CVD, e.g. ALD, ALE, pulsed CVD

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Power Engineering (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Computer Hardware Design (AREA)
  • Manufacturing & Machinery (AREA)
  • General Physics & Mathematics (AREA)
  • Materials Engineering (AREA)
  • Organic Chemistry (AREA)
  • Metallurgy (AREA)
  • Mechanical Engineering (AREA)
  • General Chemical & Material Sciences (AREA)
  • Plasma & Fusion (AREA)
  • Inorganic Chemistry (AREA)
  • Electromagnetism (AREA)
  • Chemical Vapour Deposition (AREA)
  • Formation Of Insulating Films (AREA)

Abstract

本發明提供一種形成碳氧化矽(SiOC)薄膜的製程。所述碳氧化矽薄膜是藉由多個沉積循環在反應空間中在基板上形成,其中至少一個沉積循環包括使所述基板的表面與不包含氮的氣相矽前驅物及包含反應性物質的第二反應物接觸,其中所述反應性物質是藉由在所述至少一個沉積循環中連續流向所述反應空間的氣體產生,且其中所述SiOC薄膜的濕式蝕刻速率對熱氧化矽的濕式蝕刻速率的比率小於約5。

Description

形成碳氧化矽薄膜的製程
本發明大體而言是有關於半導體裝置製造領域,且更具體而言,是有關於具有期望的耐化學性質的碳氧化矽(silicon oxycarbide,SiOC)膜的形成。
對具有相對低的介電常數(k)值及相對低的酸系濕式蝕刻速率的介電材料的需要日益增加。碳氧化矽或氮碳氧化矽(silicon oxycarbonitride,SiOCN)可滿足該些要求中的某些要求。通常,SiOC或SiOCN的沈積製程需要氧電漿。此外,SiOCN膜中的氮可在處理期間造成各種問題,舉例而言,SiOCN膜可能造成光阻劑中毒。
在某些實施例中,提供電漿增強型原子層沈積製程,所述製程用於在反應空間中在基板上形成碳氧化矽(SiOC)薄膜。在某些實施例中,所述製程或方法可包括至少一個沈積循環,所述沈積循環包括:使基板的表面與不包含氮的氣相矽前驅物接觸;使所吸附的矽物質與由自包含氫的第二反應物所形成的電漿產生的至少一種反應性物質接觸,其中所述第二反應物不包含氧;以及視需要重覆進行所述接觸步驟直至已形成具有所期望厚度的SiOC薄膜。
在某些實施例中,SiOC薄膜的濕式蝕刻速率對熱氧化矽的濕式蝕刻速率的比率小於約5。在某些實施例中,SiOC薄膜的濕式蝕刻速率對熱氧化矽的濕式蝕刻速率的比率小於約0.3。在某些實施例中,SiOC薄膜的濕式蝕刻速率對熱氧化矽的濕式蝕刻速率的比率小於約0.1。在某些實施例中,所述SiOC薄膜沈積於所述基板上的三維結構上。在某些實施例中,在稀釋的HF中,形成於三維結構的頂表面上的SiOC的濕式蝕刻速率對形成於三維結構的側壁表面上的SiOC的濕式蝕刻速率的濕式蝕刻速率比率為約1:1。
在某些實施例中,所述氣相矽前驅物不包含鹵素。在某些實施例中,所述氣相矽前驅物包含雙(三乙氧基矽烷基)乙烷(bis(triethoxysilyl)ethane,BTESE)。在某些實施例中,所述氣相矽前驅物包含3-甲氧基丙基三甲氧基矽烷(3-methoxypropyltrimethoxysilane,MPTMS)。在某些實施例中,所述氣相矽前驅物包含(3-巰基丙基)三甲氧基矽烷。在某些實施例中,所述反應性物質包含氫電漿、氫原子、氫自由基或氫離子。在某些實施例中,所述反應性物質是自包含稀有氣體的第二反應物產生。在某些實施例中,所述第二反應物包含H 2。在某些實施例中,所述反應性物質是自包含小於約20原子%的氮的第二反應物產生。在某些實施例中,所述第二反應物本質上由H 2組成。
在某些實施例中,所述SiOC薄膜包含至少20原子%(at%)的氧。在某些實施例中,所述SiOC薄膜包含至少0.1原子%的碳。在某些實施例中,所述SiOC薄膜包含至少1原子%的碳。在某些實施例中,所述SiOC薄膜包含至少5原子%的碳。在某些實施例中,所述SiOC薄膜包含小於約10原子%的氮。
在某些實施例中,提供用於在反應空間中在基板上形成碳氧化矽(SiOC)薄膜的方法。在某些實施例中,此種方法可包括多個沈積循環,其中至少一個沈積循環包括使基板的表面與不包含氮的矽前驅物及包含含有氫的至少一種反應性物質的第二反應物交替地及依序地接觸。在某些實施例中,將沈積循環重覆二或更多次,以形成SiOC薄膜。
在某些實施例中,所述至少一種反應性物質是藉由自不包含氧的氣體所形成的電漿來產生。在某些實施例中,所述至少一種反應性物質是藉由自不包含氮的氣體所形成的電漿來產生。在某些實施例中,所述矽前驅物具有通式(R IIO) 3Si-R I-Si(OR II) 3,其中R I及R II為獨立選擇的C 1-C 5烷基配位體。在某些實施例中,所述矽前驅物包含雙(三乙氧基矽烷基)乙烷。在某些實施例中,所述矽前驅物具有通式:Si(OR I) 4-xR II x,其中x為0至3的整數,R I為獨立選擇的C 1-C 7烷基配位體,且R II為獨立選擇的由碳、及/或氫、及/或氧組成的配位體。在某些實施例中,所述矽前驅物包含3-甲氧基丙基三甲氧基矽烷。在某些實施例中,所述矽前驅物具有通式:(R IO) 4-xSi-(R II-O-R III) x,其中x為0至3的整數,R I及R II為分別獨立選擇的C 1-C 7烷基配位體,且R III為獨立選擇的由碳、及/或氫、及/或氧組成的配位體。
在某些實施例中,至少一個沈積循環為電漿增強型原子層沈積循環。在某些實施例中,藉由向第二反應物施加5瓦(W)至約5000瓦的射頻功率(RF power)而產生反應性物質。在某些實施例中,在約100℃至約300℃的製程溫度下施行沈積循環。在某些實施例中,在低於約100℃的製程溫度下施行沈積循環。在某些實施例中,所述基板包含有機材料。
在某些實施例中,提供用於在反應空間中在基板上形成碳氧化矽(SiOC)薄膜的方法。在某些實施例中,所述方法可包括使所述基板的表面與不包含氮的矽前驅物接觸;將基板暴露至吹洗氣體及/或真空,以移除過量的矽前驅物及反應副產物(若存在);使所述基板的表面與包含氫的第二反應物接觸,其中所述第二反應物包含由電漿產生的至少一種反應性物質;將所述基板暴露至吹洗氣體及/或真空,以移除過量的第二反應物及反應物副產物(若存在);以及重覆進行所述接觸的步驟直至形成具有所期望厚度的SiOC薄膜。
如將對熟習此項技術者顯而易見,碳氧化矽(SiOC)膜已例如在積體電路製作中得到廣泛應用。更具體而言,顯示出低的蝕刻速率的SiOC膜在半導體行業內及半導體行業外均得到廣泛應用。SiOC膜可用作例如蝕刻終止層、犧牲層、低k間隔壁、抗反射層(anti-reflection layer,ARL)及鈍化層(passivation layer)。
根據本發明的某些實施例,提供各種SiOC膜、前驅物及用於沈積所述膜的方法。在某些實施例中,SiOC膜例如在dHF中具有相對低的濕式蝕刻速率。
在某些實施例中,藉由電漿增強型原子層沈積(PEALD)製程而在基板上沈積SiOC薄膜。在某些實施例中,不藉由液相方法來沈積SiOC薄膜。在某些實施例中,在三維結構(例如,鰭型場效電晶體(fin-type field effect transistor,finFET)裝置的形成中的鰭)之上沈積SiOC薄膜。
為方便及簡潔起見,在本文中一般將碳氧化矽膜的化學式稱為SiOC。本文所使用的「SiOC」並非旨在限制、約束、或界定所述膜中的Si、O、C中的任一者及/或任一其他元素的鍵接狀態或化學狀態(例如,氧化狀態)。此外,在某些實施例中,SiOC薄膜可包含除Si、O、及/或C以外的一或多種元素(例如S)。在某些實施例中,所述SiOC膜可包含Si-C鍵及/或Si-O鍵。在某些實施例中,SiOC膜可包含Si-C鍵及Si-O鍵且可不包含Si-N鍵。在某些實施例中,SiOC膜除包含Si-C鍵及/或Si-O鍵以外亦可包含Si-S鍵。在某些實施例中,所述SiOC膜可包含多於Si-C鍵的Si-O鍵,舉例而言,Si-O鍵對Si-C鍵的比率可為約1:1至約10:1。在某些實施例中,按原子基準,SiOC可包含約0%至約40%的碳。在某些實施例中,按原子基準,SiOC可包含約0.1%至約40%、約0.5%至約30%、約1%至約30%或約5%至約20%的碳。在某些實施例中,按原子基準,SiOC膜可包含約0%至約70%的氧。在某些實施例中,按原子基準,SiOC可包含約10%至約70%、約15%至約50%或約20%至約40%的氧。在某些實施例中,按原子基準,SiOC膜可包含約0%至約50%的矽。在某些實施例中,按原子基準,SiOC可包含約10%至約50%、約15%至約40%或約20%至約35%的矽。在某些實施例中,按原子基準,SiOC可包含約0.1%至約40%、約0.5%至約30%、約1%至約30%或約5%至約20%的硫。在某些實施例中,SiOC膜可不包含氮。在某些其他實施例中,按原子基準(原子%),SiOC膜可包含約0%至約5%的氮。
原子層沈積型製程是基於受控的、一般為自限制(self-limiting)的表面反應。通常藉由使基板與反應物交替地及依序地接觸來避免氣相(gas phase)反應。舉例而言,藉由在反應物脈衝之間移除過量的反應物及/或反應物副產物而使氣相反應物在反應室中彼此分離。藉助吹洗氣體及/或真空,可自基板表面附近移除反應物。在某些實施例中,藉由例如利用惰性氣體進行吹洗而自反應空間移除過量的反應物及/或反應物副產物。
在某些實施例中,使用電漿增強型原子層沈積(PEALD)製程來沈積SiOC膜。在某些實施例中,本文所述的電漿增強型原子層沈積製程不使用氧電漿。在某些實施例中,本文所述的電漿增強型原子層沈積製程不包含含有氧電漿的反應物。在某些實施例中,本文所述的電漿增強型原子層沈積製程不使用氮電漿。在某些實施例中,本文所述的電漿增強型原子層沈積製程不包含含有氮電漿的反應物。在某些實施例中,本文所述的電漿增強型原子層沈積製程可使用氫電漿。在某些實施例中,本文所述的電漿增強型原子層沈積製程可包含含有氫電漿的反應物。簡言之,將基板或工件放置於反應室中並使基板或工件經歷交替重覆進行的表面反應。在某些實施例中,藉由重覆進行自限制原子層沈積循環而形成薄的SiOC膜。在某些實施例中,為形成SiOC膜,每一原子層沈積循環包括至少兩個不同的階段。使反應物或前驅物接觸基板並自基板移除反應物或前驅物可被視為一階段。在第一階段中,包含矽的氣相第一反應物或前驅物接觸基板且在基板表面上形成不多於約一個單層。在本文中亦將此反應物稱作「矽前驅物」、「含矽前驅物」或「矽反應物」,且此反應物可為例如雙(三乙氧基矽烷基)乙烷(BTESE)或3-甲氧基丙基三甲氧基矽烷(MPTMS)。在某些實施例中,自基板表面附近移除過量的第一氣相反應物及任何反應副產物。藉助吹洗氣體及/或真空,可自基板表面附近移除第一氣相反應物及任何反應副產物。在某些實施例中,藉由例如利用惰性氣體進行吹洗而自反應空間移除過量的反應物及/或反應物副產物。在某些實施例中,可將基板移動成有利於移除反應物及/或反應副產物,例如藉由將基板移動至不同的反應室。
在第二階段中,使包含反應性物質的第二反應物接觸基板且可將所吸附的矽物質轉換成SiOC。在某些實施例中,第二反應物包含氫前驅物。在某些實施例中,反應性物質包括激發物質(excited species)。在某些實施例中,第二反應物包含來自含氫電漿的物質。在某些實施例中,第二反應物包含氫自由基、氫原子及/或氫電漿。第二反應物可包含不是氫前驅物的其他物質。在某些實施例,第二反應物可包含來自舉例而言作為自由基、呈電漿形式或呈元素形式的例如He、Ne、Ar、Kr或Xe中的一或多者等稀有氣體物質。該些來自稀有氣體的反應性物質未必貢獻材料至所沈積的膜,然而在某些情況下不但可對膜生長作出貢獻並且有助於形成及點燃電漿。在某些實施例中,自稀有氣體產生的反應性物質可影響對下伏基板的任何破壞的量或程度。熟習此項技術者將能夠選擇適合用於特定應用的一或多種稀有氣體。在某些實施例中,用於形成電漿的氣體可在整個沈積製程中恆定地流動而僅被間歇地激活。在某些實施例中,用於形成電漿的氣體不包含氧。在某些實施例中,所吸附的矽前驅物不與由來自氧的電漿產生的反應性物質接觸。在某些實施例中,在不包含氧的氣體中產生包含反應性物質的第二反應物。舉例而言,在某些實施例中,第二反應物可包含在不包含氧的氣體中產生的電漿。在某些實施例中,可在包含小於約50原子%(at%)的氧、小於約30原子%的氧、小於約10原子%的氧、小於約5原子%的氧、小於約1原子%的氧、小於約0.1原子%的氧、小於約0.01原子%的氧或小於約0.001原子%的氧的氣體中產生第二反應物。
在某些實施例中,用於形成電漿的氣體不包含氮。在某些實施例中,所吸附的矽前驅物不與由來自氮的電漿產生的反應性物質接觸。在某些實施例中,在不包含氮的氣體中產生包含反應性物質的第二反應物。舉例而言,在某些實施例中,第二反應物可包含在不包含氮的氣體中產生的電漿。然而,在某些實施例中,用於形成電漿的氣體可包含氮。在某些其他實施例中,第二反應物可包含氮自由基、氮原子及/或氮電漿。在某些實施例中,可在包含小於約25原子%(at%)的氮、小於約20原子%的氮、小於約15原子%的氮、小於約10原子%的氮、小於約5原子%的氮、小於約1原子%的氮、小於約0.1原子%的氮、小於約0.01原子%的氮或小於約0.001原子%的氮的氣體中產生第二反應物。在某些實施例中,可在包含氫及氮的氣體中產生第二反應物,舉例而言,第二反應物可包含H 2及N 2。在某些實施例中,可在N 2對H 2的比率(N 2/H 2)小於約20%、小於約10%或小於約5%的氣體中產生第二反應物。
在某些實施例中,用於形成電漿的氣體不包含氮或氧。在某些實施例中,所吸附的矽前驅物不與由來自氮或氧的電漿產生的反應性物質接觸。在某些實施例中,在不包含氮或氧的氣體中產生包含反應性物質的第二反應物。舉例而言,在某些實施例中,第二反應物可包含在不包含氮或氧的氣體中產生的電漿。
在某些實施例中,自基板表面附近移除過量的第二反應物及任何反應副產物。藉助吹洗氣體及/或真空,可自基板表面附近移除第二反應物及任何反應副產物。在某些實施例中,藉由例如利用惰性氣體進行吹洗而自反應空間移除過量的反應物及/或反應物副產物。在某些實施例中,可將基板移動成有利於移除反應物及/或反應副產物,例如藉由將基板移動至不同的反應室。
可根據需要添加額外的階段且可移除階段來調整最終膜的組成。
可藉助例如Ar或He等載氣(carrier gas)來提供反應物中的一或多種反應物。在某些實施例中,藉助載氣來提供矽前驅物及第二反應物。
在某些實施例中,所述階段中的二者可重疊或進行組合。舉例而言,矽前驅物及第二反應物可在部分重疊或完全重疊的階段中同時接觸所述基板。另外,儘管稱作第一階段與第二階段以及第一反應物與第二反應物,然而階段的次序可有所變化,且原子層沈積循環可以階段中的任一者開始。亦即,除非明確規定,否則反應物可以任一次序接觸基板,且製程可以反應物中的任一者開始。
如以下所更詳細論述,在某些實施例中,為沈積SiOC膜,一或多個沈積循環首先使基板與矽前驅物接觸,隨後再使基板與第二前驅物接觸。在其他實施例中,沈積可首先使基板與第二前驅物接觸,隨後再使基板與矽前驅物接觸。
在某些實施例中,將期望在其上進行沈積的基板(例如,半導體工件)裝載至反應空間或反應器中。反應器可為在其中施行積體電路的形成中的各種不同製程的集束型工具(cluster tool)的一部分。在某些實施例中,使用流動型(flow-type)反應器。在某些實施例中,使用噴淋頭型(shower head type)反應器。在某些實施例中,使用分區反應器(space divided reactor)。在某些實施例中,使用能夠大量製造的單個晶圓(high-volume manufacturing-capable single wafer)原子層沈積反應器。在其他實施例中,使用包括多個基板的批式反應器(batch reactor)。對於其中使用批式原子層沈積反應器的實施例,基板的數目處於10至200的範圍內、50至150的範圍內或100至130的範圍內。
可使用的合適的反應器的實例包括以下市售設備:例如可自亞利桑納州的菲尼克斯(Phoenix, Arizona)的ASM美國公司以及荷蘭的阿爾梅勒(Almere, Netherlands)的ASM歐洲有限公司獲得的F-120®反應器、F-450®反應器、普爾薩(Pulsar®)反應器—例如Pulsar® 2000及Pulsar® 3000—愛默ALD(EmerALD®) 反應器及高級(Advance®)400系列反應器。其他市售反應器包括以商品名伊格爾(Eagle) ®XP及Eagle ®XP8自ASM日本有限公司(東京,日本)購得的反應器。
在某些實施例中,視需要,可對工件的被暴露表面進行預處理來提供反應性位點(reactive site)以伴隨原子層沈積製程的第一階段而進行反應。在某些實施例中,不需要進行單獨的預處理步驟。在某些實施例中,對基板進行預處理以提供所期望的表面終止狀態(surface termination)。在某些實施例中,利用電漿對基板進行預處理。
在各反應物接觸階段之間自基板的鄰近之處、且具體而言自基板表面移除過量的反應物及反應副產物(若存在)。在某些實施例中,藉由例如在各反應物接觸階段期間對反應室進行吹洗(例如利用惰性氣體進行吹洗)而自基板表面移除過量的反應物及反應副產物(若存在)。每一反應物的流動速率及接觸時間是能夠微調的,移除步驟亦是能夠微調的,此使得能夠對膜的品質及各種性質進行控制。
如上所述,在某些實施例中,在每一沈積循環期間或在整個原子層沈積製程期間連續地向反應室提供氣體,且藉由在位於反應室中或位於反應室上游的氣體中產生電漿來提供反應性物質。在某些實施例中,所述氣體不包含氮。在某些實施例中,氣體可包括例如氦氣或氬氣等稀有氣體。在某些實施例中,氣體是氦氣。在某些實施例中,氣體是氬氣。流動的氣體亦可充當第一反應物及/或第二反應物(或反應性物質)的吹洗氣體。舉例而言,流動的氬氣可充當第一矽前驅物的吹洗氣體且亦充當第二反應物(作為反應性物質的來源)。在某些實施例中,氬氣或氦氣可充當第一前驅物的吹洗氣體及用於將矽前驅物轉換成SiOC膜的激發物質的來源。在某些實施例中,在其中產生電漿的氣體不包含氮,且所吸附的矽前驅物不與由來自氮的電漿產生的反應性物質接觸。在某些實施例中,在其中產生電漿的氣體不包含氧,且所吸附的矽前驅物不與由來自氧的電漿產生的反應性物質接觸。在某些實施例中,在其中產生電漿的氣體不包含氧或氮,且所吸附的矽前驅物不與由來自氧或氮的電漿產生的反應性物質接觸。
重覆進行循環直至獲得具有所期望厚度及組成的膜。在某些實施例中,可在原子層沈積製程期間,在一或多個沈積循環中改變例如前驅物流動速率、接觸時間、移除時間等沈積參數及/或反應物自身,以獲得具有所期望特性的膜。
在某些實施例中,使基板的表面與反應物接觸。在某些實施例中,提供反應物的脈衝至容納基板的反應空間。所述用語「脈衝」可被理解為包括以預定的時間量將反應物饋送至反應室中。所述用語「脈衝」不對脈衝的長度或持續時間進行約束且脈衝可為任何時間長度。在某些實施例中,將基板移動至容納反應物的反應空間。在某些實施例中,隨後將基板自容納第一反應物的反應空間移動至容納第二反應物的不同的第二反應空間。
在某些實施例中,使基板首先與矽反應物接觸。在最初的表面終止狀態之後,若需要或為所期望的,則使基板與第一矽反應物接觸。在某些實施例中,向工件供應第一矽反應物脈衝。根據某些實施例,所述第一反應物脈衝包括載氣流及揮發性矽物質(例如雙(三乙氧基矽烷基)乙烷或3-甲氧基丙基三甲氧基矽烷),第一反應物脈衝對於相關工件表面具有反應性。因此,矽反應物吸附於該些工件表面上。第一反應物脈衝使具有矽反應物物質的工件表面自飽和,以使得第一反應物脈衝的任何過量的成分不會進一步與藉由此製程而形成的分子層進行反應。
可以氣態形式供應第一矽反應物脈衝。若物質在製程條件下展示出充足的氣壓(vapor pressure)以將物質以充足的濃度傳送至工件從而使被暴露表面飽和,則出於本說明的目的將矽前驅物氣體視為「揮發性的」。
在某些實施例中,矽反應物接觸表面約0.05秒至約5.0秒、約0.1秒至約3秒或者約0.2秒至約1.0秒。熟習此項技術者可基於特定情況輕易地確定最佳接觸時間。
在分子層吸附於基板表面上達充足時間之後,自基板表面移除過量的第一矽反應物及反應副產物(若存在)。在某些實施例中,移除過量的反應物及反應副產物(若存在)可包括吹洗反應室。在某些實施例中,可藉由停止第一反應物的流動並使載氣或吹洗氣體繼續流動達一充足時間來吹洗反應室,以使過量的反應物及反應物副產物(若存在)自反應空間被擴散或吹洗。在某些實施例中,藉助在整個原子層沈積循環中流動的惰性氣體(例如氦氣或氬氣)來吹洗過量的第一前驅物。在某些實施例中,可將基板自容納第一反應物的反應空間移動至不同的第二反應空間。在某些實施例中,對第一反應物移除達約0.1秒至約10秒、約0.3秒至約5秒或約0.3秒至約1秒。接觸及移除矽反應物可視為原子層沈積循環的第一階段或矽階段。
在第二階段中,向工件提供包含例如氫電漿等反應性物質的第二反應物。氫電漿可藉由以下方式形成:例如藉由使氫氣(H 2)流經遠程電漿產生器而在位於反應室中或反應室上游的氫中產生電漿。
在某些實施例中,在流動的H 2氣體中產生電漿。在某些實施例中,在點燃電漿之前向反應室提供H 2,或者形成氫原子或氫自由基。在某些實施例中,連續地向反應室提供H 2且當需要時生成或供應含氫電漿、含氫原子或含氫自由基。
通常,例如包含氫電漿的第二反應物接觸基板達約0.1秒至約10秒。在某些實施例中,例如含氫電漿等第二反應物接觸基板達約0.1秒至約10秒、0.5秒至約5秒、或0.5秒至約2.0秒。然而,視反應器類型、基板類型及基板表面積而定,第二反應物接觸時間可甚至高於約10秒。在某些實施例中,接觸時間可為大約幾分鐘。熟習此項技術者可基於特定情況輕易地確定最佳接觸時間。
在某些實施例中,以二或更多個不同的脈衝來提供所述第二反應物,而不在二或更多個脈衝中的任一者之間引入另一反應物。舉例而言,在某些實施例中,以二或更多個依序的脈衝來提供例如含氫電漿等電漿,而不在依序的脈衝之間引入Si前驅物。在某些實施例中,在提供電漿期間,藉由以下方式產生二或更多個依序的電漿脈衝:以第一時間週期提供電漿放電(plasma discharge);以第二時間週期消除電漿放電,所述第二時間週期例如為約0.1秒至約10秒、約0.5秒至約5秒、或約1.0秒至約4.0秒;及在引入另一前驅物或移除步驟之前(例如在Si前驅物或吹洗步驟之前)以第三時間週期再次激發電漿放電。可以相同的方式來引入額外的電漿脈衝。在某些實例中,在各脈衝中的每一者中以相等的時間週期點燃電漿。
在某些實施例中,可藉由施加約5瓦至約5000瓦、10瓦至約2000瓦、約50瓦至約1000瓦、或約200瓦至約800瓦的射頻功率來產生電漿(例如,含氫電漿)。在某些實施例中,射頻功率密度可為約0.02瓦/平方公分(W/cm 2)至約2.0瓦/平方公分、或約0.05瓦/平方公分至約1.5瓦/平方公分。射頻功率可被施加至在電漿接觸時間期間流動的、連續地流經所述反應室的及/或流經遠程電漿產生器的第二反應物。因此,在某些實施例中,在原位(in situ)產生電漿,而在其他實施例中,則遠程地產生電漿。在某些實施例中,利用噴淋頭反應器,且在基座(susceptor)(基板位於基座的頂部上)與噴淋頭板(showerhead plate)之間產生電漿。在某些實例中,基座與噴淋頭板之間的間隙為約0.1公分至約20公分、約0.5公分至約5公分或約0.8公分至約3.0公分。
在經過足以使矽物質的預先所吸附的分子層完全飽和且使矽物質的預先所吸附的分子層與電漿脈衝完全反應的一時間週期之後,自基板表面移除任何過量的反應物及反應副產物。
在某些實施例中,移除過量的反應物及反應副產物(若存在)可包括吹洗反應室。在某些實施例中,可藉由停止第二反應物的流動同時使載氣或吹洗氣體繼續流動達一充足時間來吹洗反應室,以使過量的反應物及反應物副產物(若存在)自反應空間被擴散或吹洗。在某些實施例中,藉助在整個原子層沈積循環中流動的惰性氣體(例如氦氣或氬氣)來吹洗過量的第二前驅物。在某些實施例中,可將基板自容納第二反應物的反應空間移動至不同的反應空間。在某些實施例中,所述移除可為約0.1秒至約10秒、約0.1秒至約4秒或約0.1秒至約0.5秒。反應性物質接觸與反應性物質移除一併地代表SiOC原子層沈積循環中的第二反應性物質階段。
此兩個階段一併地代表一個原子層沈積循環,重覆進行原子層沈積循環以形成具有所期望厚度的SiOC薄膜。儘管在本文中所述原子層沈積循環一般意指先進行矽階段,然而預期在其他實施例中,循環可先進行反應性物質階段。熟習此項技術者將認識到第一前驅物階段一般與前一循環中的最末階段餘留的終止狀態進行反應。因此,儘管若反應性物質階段為第一原子層沈積循環中的第一階段,則沒有反應物可被預先地吸附於基板表面上或存在於反應空間中,然而在後續的循環中,反應性物質階段將有效地跟隨矽階段。在某些實施例中,在沈積製程中提供一或多個不同的原子層沈積循環。
根據本發明的某些實施例,可在範圍為約25℃至約700℃、約50℃至約600℃、約100℃至約450℃、或約200℃至約400℃的溫度下執行電漿增強型原子層沈積反應。在某些實施例中,最佳反應器溫度可能受最大容許熱預算限制。因此,在某些實施例中,反應溫度為約100℃至約300℃。在某些應用中,最大溫度為約200℃左右,且因此,電漿增強型原子層沈積製程以此反應溫度運行。
在其上沈積薄膜的基板可包含各種類型的材料。在某些實施例中,基板可包括積體電路工件。在某些實施例中,基板可包含矽。在某些實施例中,基板可包含氧化矽,例如熱氧化物。在某些實施例中,基板可包含高k介電材料。在某些實施例中,基板可包含碳。舉例而言,基板可包含非晶碳層、石墨烯及/或碳奈米管。
在某些實施例中,基板可包含金屬,金屬包括但不僅限於W、Cu、Ni、Co及/或Al。在某些實施例中,基板可包含金屬氮化物,金屬氮化物包括但不僅限於TiN及/或TaN。在某些實施例中,基板可包含金屬碳化物,金屬碳化物包括但不僅限於TiC及/或TaC。在某些實施例中,基板可包含金屬硫屬化物,金屬硫屬化物包括但不僅限於MoS 2、Sb 2Te 3及/或GeTe。在某些實施例中,基板可包含將藉由暴露至氧電漿製程而非藉由本文所述的電漿增強型原子層沈積製程進行氧化的材料。
在某些實施例中,在本文中所述的電漿增強型原子層沈積製程中使用的基板可包含有機材料。舉例而言,所述基板可包含例如塑膠、聚合物及/或光阻劑等有機材料。在某些其中基板包含有機材料的實施例中,電漿增強型原子層沈積製程的反應溫度可小於約200℃。在某些實施例中,反應溫度可小於約150℃、小於約100℃、小於約75℃或小於約50℃。
在某些其中基板包含有機材料的實施例中,最大製程溫度可低至100℃。在某些其中基板包含有機材料的實施例中,不存在由氧產生的電漿可使得能夠在有機材料上沈積SiOC薄膜,所述有機材料在包含由氧產生的電漿的沈積製程中原本可能會劣化。
根據本發明的某些實施例,反應室的壓力在處理期間維持在約0.01托(Torr)至約50托或約0.1托至約10托。在某些實施例中,反應室的壓力大於約6托或約20托。在某些實施例中,可在約20托至約500托、約20托至約50托或約20托至約30托的壓力下執行SiOC沈積製程。
在某些實施例中,SiOC沈積製程可包括多個沈積循環,其中至少一個沈積循環是在高壓機制(elevated pressure regime)中執行。舉例而言,電漿增強型原子層沈積製程的沈積循環可包括在高壓條件下使基板與矽前驅物及第二反應物交替地及依序地接觸。在某些實施例中,可在約6托至約500托、約6托至約50托或約6托至約100托的製程壓力下執行電漿增強型原子層沈積製程的一或多個沈積循環。在某些實施例中,可在大於約20托(包括約20托至約500托、約30托至約500托、約40托至約500托或約50托至約500托)的製程壓力下執行所述一或多個沈積循環。在某些實施例中,可在約20托至約30托、約20托至約100托、約30托至約100托、約40托至約100托或約50托至約100托的製程壓力下執行所述一或多個沈積循環。 SiOC的電漿增強型原子層沈積
如上所述,且如以下所更詳細論述,在某些實施例中,可藉由電漿增強型原子層沈積(PEALD)製程而在反應空間中在基板上沈積SiOC薄膜。根據某些實施例,利用電漿增強型原子層沈積製程在具有例如鰭型場效電晶體應用中的三維特徵的基板上沈積SiOC薄膜。在某些實施例中,可在各種應用中使用本文所述的電漿增強型原子層沈積製程。舉例而言,可在硬遮罩層、犧牲層、保護層或低k間隔壁的形成中使用本文所述的電漿增強型原子層沈積製程。可在例如記憶體裝置應用中使用本文所述的電漿增強型原子層沈積製程。
在某些實施例中,可藉由本文所述的不包含氧電漿的電漿增強型原子層沈積製程在無法不被損壞的情況下承受O電漿的基板(例如,包含有機材料及/或光阻劑材料的基板)上沈積SiOC薄膜。在某些實施例中,可藉由其中矽前驅物及第二反應物不包含氮的電漿增強型原子層沈積製程來沈積SiOC薄膜。
參考圖1且根據某些實施例,藉由PEALD沈積製程100而在反應空間中在基板上沈積SiOC薄膜,PEALD沈積製程100包括至少一個循環,所述循環包括:
在步驟120中,使基板與不包含氮的氣相含矽前驅物接觸,以使得矽物質吸附至所述基板的表面上;
在步驟130中,自基板表面移除過量的含矽前驅物及反應副產物(若存在);
在步驟140中,使基板與包含由電漿產生的包含氫的反應性物質的第二反應物接觸,由此將所吸附的矽物質轉換成SiOC;
在步驟150中,自基板表面移除過量的第二反應物及反應副產物(若存在);以及
在步驟160中,視需要重覆進行各接觸步驟及移除步驟,以形成具有所期望厚度及組成的SiOC薄膜。
在某些實施例中,步驟140可包括在使基板與第二反應物接觸之前遠程地產生或形成電漿或反應性物質。
根據某些實施例,可使用SiOC電漿增強型原子層沈積沈積循環來沈積SiOC薄膜。在某些實施例中,藉由包括多個SiOC沈積循環的原子層沈積型製程來在基板上形成SiOC薄膜,每一SiOC沈積循環包括:
使基板與不包含氮的氣相矽反應物接觸,以使得矽化合物吸附於基板表面上;
將基板暴露至吹洗氣體及/或真空;
使基板與反應性物質接觸,所述反應性物質藉由在包含氫的第二反應物中形成電漿而產生;以及
將基板暴露至吹洗氣體及/或真空;
視需要重覆進行各接觸步驟及暴露步驟直至獲得具有所期望厚度及組成的SiOC薄膜。
在某些實施例中,將基板暴露至吹洗氣體及/或真空的步驟可包括在停止前驅物或反應物的流動的同時使惰性載氣繼續流動。在某些實施例中,將基板暴露至吹洗氣體及/或真空的步驟可包括停止使前驅物及載氣向反應室中流動並例如藉由真空幫浦排空反應室。在某些實施例中,將基板暴露至吹洗氣體及/或真空的步驟可包括使基板自第一反應室移動至容納吹洗氣體的不同的第二反應室。在某些實施例中,將基板暴露至吹洗氣體及/或真空的步驟可包括使基板自第一反應室移動至處於真空狀態下的不同的第二反應室。在某些實施例中,反應性物質可不包含氮。
根據某些實施例,藉由PEALD沈積製程而在反應空間中在基板上沈積SiOC薄膜,PEALD沈積製程包括至少一個循環,所述循環包括:
使基板與雙(三乙氧基矽烷基)乙烷接觸,以使得矽物質吸附至基板的表面上;
自基板表面移除過量的雙(三乙氧基矽烷基)乙烷及反應副產物(若存在);
使基板與包含由電漿產生的反應性物質的第二反應物接觸,其中反應性物質包含氫;
自基板表面移除過量的第二反應物及反應副產物(若存在);以及
視需要重覆進行各接觸步驟及移除步驟,以形成具有所期望厚度及組成的SiOC薄膜。
在某些實施例中,使基板與第二反應物接觸可包括在使基板與第二反應物接觸之前遠程地產生或形成電漿或反應性物質。在某些實施例中,反應性物質可不包含氮。
在某些實施例中,藉由包括多個SiOC沈積循環的原子層沈積型製程在基板上形成SiOC薄膜,每一SiOC沈積循環包括:使基板與不包含氮的第一氣相矽前驅物及包含反應性物質的第二反應物交替地及依序地接觸。在某些實施例中,矽前驅物可包含雙(三乙氧基矽烷基)乙烷且第二反應性物質可包含氫。在某些實施例中,第二反應性物質可不包含氮。在某些實施例中,第二反應性物質可如上所述包含相對少量的氮。
根據某些實施例,藉由PEALD沈積製程而在反應空間中在基板上沈積SiOC薄膜,PEALD沈積製程包括至少一個循環,所述循環包括:
使基板與3-甲氧基丙基三甲氧基矽烷接觸,以使得矽物質吸附至基板的表面上;
自基板表面移除過量的3-甲氧基丙基三甲氧基矽烷及反應副產物(若存在);
使基板與包含由電漿產生的反應性物質的第二反應物接觸,其中反應性物質包含氫;
自基板表面移除過量的第二反應物及反應副產物(若存在);以及
視需要重覆進行各接觸步驟及移除步驟,以形成具有所期望厚度及組成的SiOC薄膜。
在某些實施例中,使基板與第二反應物接觸可包括在使基板與第二反應物接觸之前遠程地產生或形成電漿或反應性物質。在某些實施例中,反應性物質可不包含氮。
在某些實施例中,藉由包括多個SiOC沈積循環的原子層沈積型製程在基板上形成SiOC薄膜,每一SiOC沈積循環包括:使基板與不包含氮的第一氣相矽前驅物及包含反應性物質的第二反應物交替地及依序地接觸。在某些實施例中,矽前驅物可包含雙(三乙氧基矽烷基)乙烷且第二反應性物質可包含氫。在某些實施例中,第二反應性物質可不包含氮。在某些實施例中,第二反應性物質可如上所述包含相對少量的氮。
根據某些實施例,可使用SiOC電漿增強型原子層沈積沈積循環來沈積SiOC薄膜。在某些實施例中,藉由包括多個SiOC沈積循環的原子層沈積型製程來在基板上形成SiOC薄膜,每一SiOC沈積循環包括:
使基板與不包含氮的氣相矽反應物接觸,以使得矽化合物吸附於基板表面上;
將基板暴露至吹洗氣體及/或真空;
使基板與反應性物質接觸,所述反應性物質藉由在包含氫且亦可包含氮的第二反應物中形成電漿而產生;以及
將基板暴露至吹洗氣體及/或真空;
視需要重覆進行各接觸步驟及暴露步驟直至獲得具有所期望厚度及組成的SiOC薄膜。
在某些實施例中,藉由包括多個SiOC沈積循環的原子層沈積型製程在基板上形成SiOC薄膜,每一SiOC沈積循環包括:使基板與不包含氮的第一氣相矽前驅物及包含反應性物質的第二反應物交替地及依序地接觸。
在某些實施例中,在介於約100℃至約650℃、約100℃至約550℃、約100℃至約450℃、約200℃至約600℃之間的溫度下或在約200℃至約400℃的溫度下執行所述電漿增強型原子層沈積製程。在某些實施例中,溫度為約300℃。在某些實施例中,溫度為約200℃。在某些例如其中基板包含有機材料(例如有機光阻劑)的實施例中,可在低於約100℃的溫度下執行電漿增強型原子層沈積製程。在某些實施例中,在低於約75℃或低於約50℃的溫度下執行電漿增強型原子層沈積製程。在某些實施例中,可藉由向第二反應物施加射頻功率來產生電漿。射頻功率可被施加至第二反應物以由此產生反應性物質。在某些實施例中,射頻功率可被施加至連續地流經反應室及/或流經遠程電漿產生器的第二反應物。因此,在某些實施例中,在原位產生電漿,而在其他實施例中,則遠程地產生所述電漿。在某些實施例中,被施加至第二反應物的射頻功率為約5瓦至約5000瓦、10瓦至約2000瓦、約100瓦至約1000瓦或約200瓦至約800瓦。在某些實施例中,被施加至第二反應物的射頻功率為約200瓦。在某些實施例中,被施加至第二反應物的射頻功率為約400瓦。在某些實施例中,被施加至第二反應物的射頻功率為約800瓦。
如以下所更詳細論述,在某些實施例中,為沈積SiOC膜,一或多個PEALD沈積循環首先提供矽前驅物,隨後再提供第二反應物。在其他實施例中,沈積可首先提供第二反應物,隨後再提供矽前驅物。熟習此項技術者將認識到第一前驅物階段一般與前一循環中的最末階段餘留的終止狀態進行反應。因此,儘管若反應性物質階段為第一電漿增強型原子層沈積循環中的第一階段,則沒有反應物可被預先地吸附於基板表面上或存在於反應空間中,然而在後續的電漿增強型原子層沈積循環中,反應性物質階段將有效地跟隨矽階段。在某些實施例中,在用於形成SiOC薄膜的製程中提供一或多個不同的電漿增強型原子層沈積子循環。 Si前驅物
在當前揭露的電漿增強型原子層沈積製程中可使用多種不同的合適的Si前驅物。在某些實施例中,合適的Si前驅物可不包含氮。在某些實施例中,合適的Si前驅物可包含矽烷。
在某些實施例中,合適的Si前驅物可包含由至少一個烴基連接或與至少一個烴基鍵結的兩個Si原子。在某些實施例中,合適的Si前驅物可包含由至少一個烷基連接或與至少一個烷基鍵結的兩個Si原子。在某些實施例中,合適的Si前驅物可包含由至少一個烷氧基連接或與至少一個烷氧基鍵結的兩個Si原子。在某些實施例中,合適的Si前驅物可包含由至少一個矽烷基連接或與至少一個矽烷基鍵結的兩個Si原子。在某些實施例中,合適的Si前驅物可包含由至少一個矽烷基醚基連接或與至少一個矽烷基醚基鍵結的兩個Si原子。在某些實施例中,合適的Si前驅物可包含至少一個-SH基,其中所述-SH可鍵結至烷基鏈或矽原子。在某些實施例中,合適的Si前驅物可包含至少一個巰基。在某些實施例中,合適的Si前驅物可包含至少一個-R-SH結構,其中R可為C 1-C 5烷基。在某些實施例中,合適的Si前驅物可包含位於烷基鏈上的至少一個-SH基以及鍵結至矽原子的一或多個烷氧基。
在某些實施例中,合適的Si前驅物可包含與一或多個烷氧基附接或鍵結的至少一個Si原子。在某些實施例中,合適的Si前驅物可包含與一或多個烷基附接或鍵結的至少一個Si原子。在某些實施例中,合適的Si前驅物可包含與至少烷基及烷氧基附接或鍵結的至少一個Si原子。
在某些實施例中,至少某些適合用於藉由電漿增強型原子層沈積製程沈積SiOC的Si前驅物可包含具有以下通式的橋接烷氧基矽烷:
(1)  (R IIO) 3Si-R I-Si(OR II) 3
其中R I及R II中的每一者可為獨立選擇的烷基。在某些實施例中,R I及R II中的每一者為獨立選擇的C 1-C 5烷基配位體,例如甲基、乙基、正丙基、異丙基、第三丁基或戊基。
根據某些實施例,某些Si前驅物可包含具有以下通式的橋接烷氧基烷基矽烷:
(2)  R III y(OR II) xSi-R I-Si(OR II) xR III y
其中R I、R II及R III中的每一者可為獨立選擇的烷基,且x+y=3。在某些實施例中,R I及R II中的每一者為獨立選擇的C 1-C 5烷基配位體,例如甲基、乙基、正丙基、異丙基、第三丁基或戊基。在某些實施例中,R III可為獨立選擇的C 1-C 8烷基配位體。
根據某些實施例,某些Si前驅物可包含具有以下通式的環狀烷氧基矽烷:
(3)  (R IIO) 2Si–R I 2–Si(OR II) 2
通式(3)可作為另一選擇由結構式表示:
其中R I及R II中的每一者可為獨立選擇的烷基。在某些實施例中,R I及R II中的每一者為獨立選擇的C 1-C 5烷基配位體,例如甲基、乙基、正丙基、異丙基、第三丁基或戊基。
根據某些實施例,某些Si前驅物可包含具有以下通式的環狀烷氧基烷基矽烷:
(4)  R III y(OR II) xSi–R I 2-Si(OR II) xR III y
通式(4)可作為另一選擇由結構式表示:
其中R I、R II及R III中的每一者可為獨立選擇的烷基,且x+y=2。在某些實施例中,R I及R II中的每一者為獨立選擇的C 1-C 5烷基配位體,例如甲基、乙基、正丙基、異丙基、第三丁基或戊基。在某些實施例中,R III可為獨立選擇的C 1-C 8烷基配位體。
根據某些實施例,某些Si前驅物可包含具有以下通式的直鏈烷氧基矽烷:
(5)  (R IIO) 3Si–(O-Si-R I 2) n–O-Si(OR II) 3
其中R I可為獨立選擇的烷基或氫,R II可為獨立選擇的烷基,且n=1-4。在某些實施例中,R I及R II中的每一者為獨立選擇的C 1-C 5烷基配位體,例如甲基、乙基、正丙基、異丙基、第三丁基或戊基。在某些實施例中,R I可為氫,且R II可為獨立選擇的C 1-C 5烷基配位體。
根據某些實施例,某些Si前驅物可包含具有以下通式的直鏈烷氧基矽烷:
(6)  R III y(OR II) xSi–(-R I-Si) n-Si(OR II) xR III y
其中R I、R II及R III中的每一者可為獨立選擇的烷基,x+y=2,且n可大於或等於1。在某些實施例中,R I及R II為獨立選擇的C 1-C 5烷基配位體,例如甲基、乙基、正丙基、異丙基、第三丁基、或戊基。在某些實施例中,R III可為獨立選擇的C 1-C 8烷基配位體。
根據某些實施例,某些Si前驅物可包含具有以下通式的烷氧基矽烷:
(7)  Si(OR I) 4
其中R I可為獨立選擇的烷基。在某些實施例中,R I可為獨立選擇的C 1-C 5烷基配位體,例如甲基、乙基、正丙基、異丙基、第三丁基或戊基。
根據某些實施例,某些Si前驅物可包含具有以下通式的烷氧基烷基矽烷:
(8)  Si(OR I) 4-xR II x
其中R I及R II中的每一者可為獨立選擇的烷基,且x=1-3。在某些實施例中,R I可為獨立選擇的C 1-C 5烷基配位體,例如甲基、乙基、正丙基、異丙基、第三丁基或戊基。在某些實施例中,R II可為獨立選擇的C 1-C 8烷基配位體。
根據某些實施例,某些Si前驅物可包含不含氮且具有以下通式的烷氧基矽烷:
(9)  Si(OR I) 4-xR II x
其中R I可為獨立選擇的烷基,R II可為包含碳、氫、及/或氧但不包含氮的任何配位體,且x=1-3。在某些實施例中,R I可為獨立選擇的C 1-C 5烷基配位體,例如甲基、乙基、正丙基、異丙基、第三丁基或戊基。在某些實施例中,R II可包含例如烯基、炔基、苯基、羰基、醛、酯、醚、羧基、過氧基、氫過氧基、硫醇、丙烯酸酯或甲基丙烯酸酯配位體。
根據某些實施例,某些Si前驅物可具有以下通式:
(10)  Si(OR I) 4-xR II x
其中x=0-3,R I可為獨立選擇的C 1-C 7或C 1-C 5烷基配位體,且R II可為獨立選擇的由碳、及/或氫、及/或氧組成的配位體。舉例而言,在某些實施例中,R II可為烷氧基烷基。在某些實施例中,R II可為例如烯基、炔基、苯基、羰基、醛、酯、醚、羧基、過氧基或氫過氧基。在某些實施例中,舉例而言,R I為甲基,R II為3-甲氧基丙基配位體,且x為1。
根據某些實施例,某些Si前驅物可具有以下通式:
(11)  (R IO) 4-xSi-(R II-O-R III) x
其中x=0-3,R I及R II中的每一者可為獨立選擇的C 1-C 7或C 1-C 5烷基配位體,且R III可為獨立選擇的由碳、及/或氫、及/或氧組成的配位體。舉例而言,在某些實施例中,R III可為例如烯基、炔基、苯基、羰基、醛、酯、醚、羧基、過氧基或氫過氧基。在某些實施例中,舉例而言,R I、R II、及R III可分別為獨立地選自甲基、乙基、異丙基、正丙基、正丁基、異丁基及第三丁基的基。
根據某些實施例,某些Si前驅物可具有以下通式:
(12)    Si(R I) 4-x-yR II xR III y
其中x+y=0-4,R I為具有1至5個碳原子的烷氧化物配位體或鹵化物,R II為包含硫的任何配位體,且R III由以下中的一者組成:氫硫基、硫化物、二硫化物、亞磺醯基、磺醯基、亞磺基、磺基、硫氰酸酯、異硫氰酸酯、或硫羰基官能性。在某些實施例中,R I、R II及R III可分別獨立地選擇。在某些實施例中,R I可包含甲氧基配位體,R II可包含3-巰基丙基,x=1,且y=0。亦即,在某些實施例中,某些Si前驅物可包含Si(OCH 3) 3C 3H 6SH。在某些實施例中,Si前驅物可包含巰基甲基甲基二乙氧基矽烷、3-巰基丙基甲基二甲氧基矽烷、及/或3-巰基丙基三乙氧基矽烷。
在某些實施例中,矽前驅物不包含鹵素。在某些實施例中,矽前驅物不包含氮。在某些實施例中,碳鏈可為不飽和的且含有碳碳雙鍵。在某些其他實施例中,碳鏈可含有除碳及氫之外的其他原子。根據某些實施例,合適的矽前驅物可至少包括具有通式(1)至通式(11)中的任一者的化合物。圖2說明根據上述通式(1)至通式(11)的合適的Si前驅物的示例性分子結構。在某些實施例中,矽前驅物可包含雙(三乙氧基矽烷基)乙烷(BTESE)。在某些實施例中,矽前驅物可包含3-甲氧基丙基三甲氧基矽烷(MPTMS或Si(OCH 3) 3C 3H 6OCH 3)。在某些實施例中,矽前驅物可包含(3-巰基丙基)三甲氧基矽烷。
在某些實施例中,多於一種矽前驅物可在原子層沈積階段期間同時接觸基板表面。在某些實施例中,矽前驅物可包括多於一種本文中所述的矽前驅物。在某些實施例中,在第一原子層沈積循環中使用第一矽前驅物且在隨後的原子層沈積循環中使用不同的第二原子層沈積前驅物。在某些實施例中,可在單個原子層沈積階段期間使用多種矽前驅物,例如以使所沈積的SiOC膜的某些性質最佳化。在某些實施例中,僅一種矽前驅物可在沈積期間接觸基板。在某些實施例中,在沈積製程中可僅存在一種矽前驅物及一種第二反應物或第二反應物的組成物。在某些實施例中,在沈積製程中不存在金屬前驅物。在某些實施例中,不使用矽前驅物作為矽烷化劑(silylating agent)。在某些實施例中,選擇矽前驅物接觸步驟的沈積溫度及/或持續時間,以使得矽前驅物不分解。在某些實施例中,矽前驅物可在矽前驅物接觸步驟期間分解。在某些實施例中,矽前驅物不包含例如氯或氟等鹵素。 第二反應物
如以上所論述,用於沈積根據本發明的SiOC的第二反應物可包含氫前驅物,氫前驅物可包含反應性物質。在某些實施例中,反應性物質包括但不僅限於自由基、電漿及/或激發原子或物質。此類反應性物質可藉由例如電漿放電方法、熱線(hot-wire)方法或其他合適的方法來產生。在某些實施例中,反應性物質可遠離反應室遠程地(例如,在相對於反應室的上游)產生(「遠程電漿」)。在某些實施例中,反應性物質可產生於反應室中或基板的緊鄰之處或直接地產生於基板上方(「直接電漿」)。
電漿增強型原子層沈積製程的合適的電漿組成包含氫反應性物質,即呈一種形式或另一種形式的氫電漿、氫自由基或氫原子。在某些實施例中,第二反應物可包含至少部分地自H 2形成的反應性物質。在某些實施例中,電漿亦可含有呈電漿形式、作為自由基或呈原子形式的例如He、Ne、Ar、Kr及Xe或者Ar或He等稀有氣體。
在某些實施例中,第二反應物可包含自H 2形成的反應性物質。在某些實施例中,第二反應物可自包含大於約25原子%(at%)的氫、大於約50原子%的氫、大於約75原子%的氫、大於約85原子%的氫、大於約90原子%的氫、大於約95原子%的氧、大於約96原子%、97原子%、98原子%或大於約99原子%的氫的氣體產生。
在某些實施例中,用於產生例如電漿等反應性物質的氣體可本質上由氫氣組成。因此,在某些實施例中,第二反應物可本質上由氫電漿、氫自由基、或氫原子組成。在某些實施例中,第二反應物可包含大於約25原子%的氫、大於約50原子%的氫、75原子%、大於約85%、大於約90原子%、大於約95原子%、大於約96原子%、97原子%、98原子%、或大於約99原子%的氫電漿、氫自由基、或氫原子。在某些實施例中,第二反應物可至少部分地由H 2以及一或多種其他氣體形成,其中H 2以及一或多種其他氣體以約1:1000至約1000:1或大於1000:1的流動比(H 2/一或多種其他氣體)提供。在某些實施例中,流動比(H 2/一或多種其他氣體)可大於約1:1000、大於約1:100、大於約1:50、大於約1:20、大於約1:10、大於約1:6、大於約1:3、大於約1:1、大於約3:1、大於約6:1、大於約10:1、大於約20:1、50:1、100:1、或1000:1或者大於1000:1。
在某些實施例中,第二反應物不包含自氧產生的任何物質。因此,在某些實施例中,反應性物質並非自含氧氣體產生。在某些實施例中,包含反應性物質的第二反應物自不含有氧的氣體產生。舉例而言,在某些實施例中,第二反應物可包含自不含有氧的氣體的產生的電漿。在某些其他實施例中,第二反應物可自包含小於約50原子%(at%)的氧、小於約30原子%的氧、小於約10原子%的氧、小於約5原子%的氧、小於約1原子%的氧、小於約0.1原子%的氧、小於約0.01原子%的氧或小於約0.001原子%的氧的氣體產生。在某些實施例中,第二反應物不包含O 2、H 2O或O 3
在某些實施例中,氫電漿可無或實質上無含氧物質(例如,氧離子、氧自由基、氧原子)。舉例而言,含氧氣體不被用於產生氫電漿。在某些實施例中,含氧氣體(例如,O 2氣體)不在氫電漿步驟期間流動至所述反應室中。
在某些實施例中,含氧氣體不被用於產生氫電漿。在某些實施例中,含氧氣體(例如,O 2氣體)不在氫電漿步驟期間流動至所述反應室中。
在某些實施例中,第二反應物不包含自氮產生的任何物質。因此,在某些實施例中,反應性物質並非自含氮氣體產生。在某些實施例中,包含反應性物質的第二反應物自不含有氮的氣體產生。舉例而言,在某些實施例中,第二反應物可包含自不含有氮的氣體產生的電漿。在某些實施中,第二反應物可自包含小於約25原子(at%)的氮、小於約20原子%的氮、小於約15原子%的氮、小於約10原子%的氮、小於約5原子%的氮、小於約1原子%的氮、小於約0.1原子%的氮、小於約0.01原子%的氮、或小於約0.001原子%的氮的氣體產生。在某些實施例中,第二反應物不包含N 2、NH 3或N 2H 4
在某些實施例中,氫電漿可無或實質上無含氮物質(例如,氮離子、氮自由基、氮原子)。舉例而言,含氮氣體不被用於產生氫電漿。在某些實施例中,含氮氣體(例如,N 2氣體)不在氫電漿步驟期間流動至所述反應室中。
然而,在某些其他實施例中,亦提供呈一種形式或另一種形式的氮電漿、氮自由基或氮原子的氮反應性物質。因此,在某些實施例中,第二反應物可包含由具有N及H二者的化合物(例如NH 3及N 2H 4)、N 2/H 2的混合物或其他具有N-H鍵的前驅物而形成的反應性物質。在某些實施例中,第二反應物可至少部分地由N 2形成。在某些實施例中,所述第二反應物可至少部分地由H 2及N 2形成,其中H 2及N 2是以約100:1至約1:100、約20:1至約1:20、約10:1至約1:10、約5:1至約1:5、及/或約2:1至約4:1及在某些情形中1:1的流動比(H 2/N 2)來提供。舉例而言,可以本文中所述的一或多個比率利用N 2及H 2二者來產生用於沈積SiOC的含氫電漿。
在某些實施例中,用於產生例如電漿等反應性物質的氣體可本質上由氬氣或另一稀有氣體組成。在某些實施例中,用於產生含氫電漿的電漿功率可為約5瓦(W)至約5000瓦、10瓦至約2,000瓦、約50瓦至約1000瓦、約100瓦至約1000瓦或約100瓦至約500瓦。在某些實施例中,用於產生含氫電漿的電漿功率可為約100瓦至約300瓦。在某些實施例中,含氫電漿亦可包含氬氣或另一稀有氣體。 SiOC膜特性
根據本文中所論述的實施例中的某些實施例而沈積的SiOC薄膜可達成低於約3原子%、低於約1原子%、低於約0.5原子%或低於約0.1原子%的雜質能階(impurity level)或濃度。在某些薄膜中,除氫之外的總雜質能階可低於約5原子%、低於約2原子%、低於約1原子%或低於約0.2原子%。並且在某些薄膜中,氫能階可低於約30原子%、低於約20原子%、低於約15原子%或低於約10原子%。本文所用的雜質可被視為除Si、O、及/或C以外的任何元素。在某些實施例中,薄膜不包含氬。
在某些實施例中,所沈積的SiOC膜不包含可觀的量的氫。然而,在某些實施例中,沈積包含氫的SiOC膜。在某些實施例中,所沈積的SiOC膜包含小於約30原子%、小於約20原子%、小於約15原子%、小於約10原子%或小於約5原子%的氫。在某些實施例中,薄膜不包含氬。
根據某些實施例,所述SiOC薄膜可展示出大於約50%、大於約80%、大於約90%或大於約95%的台階覆蓋率(step coverage)及圖案加載效應(pattern loading effect)。在某些情形中,台階覆蓋率及圖案加載效應可大於約98%,且在某些情形中為約100%(在量測工具或量測方法的準確度之內)。在某些實施例中,台階覆蓋率及圖案加載效應可大於約100%、大於約110%、大於約120%、大於約130%或大於約140%。該些值可於具有為2或大於2的縱橫比、在某些實施例中以約3或大於3的縱橫比、在某些實施例中以約5或大於5的縱橫比且在某些實施例中以約8或大於8的縱橫比的特徵中達成。
在某些實施例中,所述台階覆蓋率可在約50%與約110%之間、約80%與約110%之間、約90%與約110%之間、約95%與110%之間、約98%與110%之間或約100%與110%之間。在某些實施例中,所述台階覆蓋率可在約50%與約100%之間、約80%與約100%之間、約90%與約100%之間、約95%與100%之間或約98%與100%之間。
在某些實施例中,膜的生長速率為約0.01埃(Å)/循環至約5埃/循環、約0.05埃/循環至約2埃/循環。在某些實施例中,膜的生長速率大於約0.05埃/循環、大於約0.1埃/循環、大於約0.15埃/循環、大於約0.3埃/循環、大於約0.3埃/循環、大於約0.4埃/循環。本文中所使用的「圖案加載效應」是根據此領域中的通常意義來使用。儘管可看出「圖案加載效應」是關於雜質含量、密度、電性質及蝕刻速率,然而除非另外指出,否則當在本文中使用時,用語「圖案加載效應」指代在基板的存在結構的區域中膜厚度的變化。因此,圖案加載效應可被表示為三維結構內的特徵的側壁或底部中的膜厚度相對於面對開放領域的三維結構/特徵的側壁或底部上的膜厚度的比率。本文中所使用的100%圖案加載效應(或比率1)將表示關於整個基板的完全均勻的膜性質而不論特徵如何,即,換言之,不存在圖案加載效應(特徵中的特定膜性質(例如厚度)相對於開放領域中的特定膜性質(例如厚度)的變化)。
在某些實施例中,SiOC膜被沈積至約3奈米至約50奈米、約5奈米至約30奈米、約5奈米至約20奈米的厚度。該些厚度可達成低於約100奈米、約50奈米、低於約30奈米、低於約20奈米,且在某些情形中低於約15奈米的特徵大小(寬度)。根據某些實施例,SiOC膜沈積於三維結構上且側壁處的厚度可甚至稍微大於10奈米。在某些實施例中,可沈積大於50奈米的SiOC膜。在某些實施例中,可沈積大於100奈米的SiOC膜。在某些實施例中,SiOC膜被沈積至大於約1奈米、大於約2奈米、大於約3奈米、大於約5奈米、大於約10奈米的厚度。
根據某些實施例,可沈積具有各種濕式蝕刻速率(wet etch rate,WER)的SiOC膜。當使用在0.5重量%(wt%)的dHF中的全面性(blanket)濕式蝕刻速率(奈米/分鐘)時,SiOC膜可具有小於約5、小於約4、小於約2或小於約1的濕式蝕刻速率值。在某些實施例中,SiOC膜可具有顯著地小於1的濕式蝕刻速率值。在某些實施例中,SiOC膜可具有小於約0.3、小於約0.2或小於約0.1的濕式蝕刻速率值。在某些實施例中,SiOC膜可具有小於約0.05、小於約0.025或小於約0.02的濕式蝕刻速率值。
0.5重量%的dHF中的全面性濕式蝕刻速率(奈米/分鐘)相對於熱氧化物的濕式蝕刻速率的比率(WERR)可小於約3、小於約2、小於約1及小於約0.5。在某些實施例中,0.5重量%的dHF中的全面性濕式蝕刻速率相對於熱氧化物的濕式蝕刻速率的比率可小於約0.1。
在某些其中在低於約100℃的溫度下施行電漿增強型原子層沈積製程的實施例中,0.5重量%的dHF中的全面性濕式蝕刻速率(奈米/分鐘)相對於熱氧化物的濕式蝕刻速率的比率可小於約10、小於約5、小於約3、及小於約2或小於約1。
並且在某些實施例中,在0.5重量%的dHF中,側壁蝕刻速率(例如,在實質上垂直的三維特徵(例如鰭片或溝槽)上沈積的SiOC膜的濕式蝕刻速率)相對於在實質上水平的表面(例如三維特徵(例如鰭片或溝槽)的頂表面)上沈積的SiOC膜的蝕刻速率的比率可為約1至約2、約2至約5、約5至約10、約10至約20或在某些情形中大於或等於約20。在某些實施例中,在三維特徵的垂直表面上沈積的SiOC膜的濕式蝕刻速率對在三維特徵的頂表面上沈積的SiOC膜的濕式蝕刻速率的比率可等於或大於約2、等於或大於約5、等於或大於約10、等於或大於約15或者等於或大於約20。
在某些實施例中,在三維特徵的實質上垂直的表面(例如側壁表面)上或三維特徵的實質上垂直的表面(例如側壁表面)中沈積的SiOC膜的濕式蝕刻速率對在三維特徵的實質上水平的表面(例如頂表面)上或三維特徵的實質上水平的表面(例如頂表面)中沈積的SiOC膜的濕式蝕刻速率的比率可為約1至約0.5、約0.5至約0.2、約0.2至約0.1、約0.1至約0.05或在某些情形中小於約0.05。在某些實施例中,在三維特徵的實質上垂直的表面上沈積的SiOC膜的濕式蝕刻速率對在三維特徵的實質上水平的表面上沈積的SiOC膜的濕式蝕刻速率的比率可等於或小於約0.5、等於或小於約0.2、等於或小於約0.1或者等於或小於約0.05。
在某些實施例中,在三維特徵的實質上垂直的表面(例如側壁表面)上或在三維特徵的實質上垂直的表面(例如側壁表面)中沈積的SiOC膜的濕式蝕刻速率對熱氧化物的濕式蝕刻速率的比率可介於約5至約10之間、約2至約5之間、約1至約2之間、約0.5至約1之間或約0.1至約0.5之間。在某些實施例中,在三維特徵的實質上垂直表面(例如側壁表面)上或在三維特徵的實質上垂直表面(例如側壁表面)中沈積的SiOC膜的濕式蝕刻速率對熱氧化物的濕式蝕刻速率的比率可大於或等於約0.1、大於或等於約0.5、大於或等於約1、大於或等於約2、大於或等於約5或者大於或等於約10。
在某些實施例中,根據本文中所述的一或多個製程而形成的SiOC例如在0.5重量%的dHF中可有利地展現出為約1的實質上垂直的區的濕式蝕刻速率對實質上水平的區的濕式蝕刻速率的比率。舉例而言,形成於基板表面上的三維結構的實質上垂直的表面(例如,側壁表面)之上的SiOC薄膜的濕式蝕刻速率對形成於基板表面上的三維結構的實質上水平的表面(例如,頂表面)之上的SiOC薄膜的濕式蝕刻速率的比率可為相同的或實質上相同的。在某些實施例中,所述比率可為約4至約0.5、約2至約0.75、約1.25至約0.8或約1.1至約0.9。該些比率可於具有約2或大於2、約3或大於3、約5或大於5或者甚至約8或大於8的縱橫比的特徵中達成。
在某些實施例中,根據本文所述的一或多個製程形成的SiOC例如在0.5重量%的dHF中可有利地展示出約1的水平區對垂直區濕式蝕刻速率比率。舉例而言,形成於基板表面上的三維結構的水平表面(例如,頂表面)之上的SiOC薄膜的濕式蝕刻速率對形成於基板表面上的三維結構的垂直表面(例如,側壁表面)之上的SiOC薄膜的濕式蝕刻速率的比率可為相同的或實質上相同的。在某些實施例中,所述比率可為約0.25至約2、約0.5至約1.5、約0.75至約1.25或約0.9至約1.1。該些比率可於具有約2或大於2、約3或大於3、約5或大於5或者甚至約8或大於8的縱橫比的特徵中達成。
在某些實施例中,根據本發明的SiOC膜的蝕刻量可較0.5重量%HF浸漬製程中的熱SiO 2(熱氧化物)的所觀察到的蝕刻量小約1倍、2倍、5倍、10倍或更多倍(例如在其中約2奈米至約3奈米的熱氧化物被移除的製程中,當根據本文中所揭露的方法進行沈積時,移除小1倍、2倍、5倍、10倍或更多倍的SiOC)。
在某些實施例中,可在蝕刻時間為5分鐘的0.5重量%HF浸漬製程中移除小於約2奈米的SiOC膜。在某些實施例中,可在蝕刻時間為60分鐘的0.5重量%HF浸漬製程中移除小於約2奈米的SiOC膜。
在某些實施例中,根據本發明的SiOC膜的蝕刻量可較0.5重量%HF浸漬製程中的熱SiO 2(熱氧化物)的所觀察到的蝕刻量小約1倍、2倍、5倍、10倍或更多倍(例如在其中約2奈米至約3奈米的熱氧化物被移除的製程中,當根據本文中所揭露的方法進行沈積時,移除小1倍、2倍、5倍、10倍或更多倍的SiOC)。
在某些實施例中,可在蝕刻時間為5分鐘的0.5重量%HF漬製程中移除小於約2奈米的SiOC膜。在某些實施例中,可在蝕刻時間為60分鐘的0.5重量%HF浸漬製程中移除小於約2奈米的SiOC膜。
為簡潔起見且由於難以對氫進行準確地量化分析,因此除非另外指出,否則本文中所提供的所有原子百分比(即,原子%)值排除了氫。然而,在某些實施例中,若可以合理的準確性來分析氫,則所述膜的氫含量小於約20原子%、小於約10原子%或小於約5原子%。在某些實施例中,按原子基準(原子%),所沈積的SiOC薄膜可含有達約70%的氧。在某些實施例中,按原子基準,SiOC膜可包含約10%至約70%、約15%至約50%或約20%至約40%的氧。在某些實施例中,按原子基準,SiOC膜可包含至少約20%、約40%或約50%的氧。
在某些實施例中,按原子基準(原子%),所沈積的SiOC薄膜可含有達約40%的碳。在某些實施例中,按原子基準,SiOC膜可包含約0.1%至約40%、約0.5%至約40%、約1%至約30%或約5%至約20%的碳。在某些實施例中,按原子基準,SiOC膜可包含至少約1%、約10%或約20%的碳。
在某些實施例中,按原子基準(原子%),所沈積的SiOC薄膜可含有達約50%的矽。在某些實施例中,按原子基準,SiOC膜可包含約10%至約50%、約15%至約40%或約20%至約35%的矽。在某些實施例中,按原子基準,SiOC膜可包含至少約15%、約20%、約25%或約30%的矽。
在某些實施例中,按原子基準(原子%),所沈積的SiOC薄膜可含有達約40%的硫。在某些實施例中,按原子基準,SiOC膜可包含約0.01%至約40%、約0.1%至約40%、約0.5%至約30%或約1%至約20%的硫。在某些實施例中,按原子基準,SiOC膜可包含至少約1%、約10%或約20%的硫。在某些實施例中,所沈積的SiOC膜不包含可觀的量的氮。然而,在某些實施例中,沈積包含氮的SiOC膜。在某些實施例中,所沈積的SiOC膜包含小於約30原子%、小於約20原子%、小於約15原子%、小於約10原子%、小於約5原子%的氮、小於約1原子%的氮、或小於約0.1原子%的氮。在某些實施例中,SiOC薄膜不包含氮。
如以上所論述,在某些實施例中,SiOC膜可包含Si-C鍵及/或Si-O鍵。在某些實施例中,SiOC膜可另外包含Si-N鍵。在某些實施例中,SiOC膜可另外包含Si-S鍵。在某些實施例中,SiOC膜可包含Si-C鍵及Si-O鍵且可不包含Si-N鍵。在某些實施例中,SiOC膜可包含Si-N鍵及Si-O鍵且可不包含Si-C鍵。在某些實施例中,SiOC膜可包含Si-N鍵及Si-C鍵且可不包含Si-O鍵。在某些實施例中,SiOC膜可包含Si-S鍵、Si-C鍵及Si-O鍵且可不包含Si-N鍵。在某些實施例中,SiOC膜可包含Si-S鍵及Si-C鍵且可不包含Si-O鍵。在某些實施例中,SiOC膜可包含Si-S鍵及Si-O鍵且可不包含Si-C鍵。在某些實施例中,所述SiOC膜可包含多於Si-C鍵的Si-O鍵,舉例而言,Si-O鍵對Si-C鍵的比率可為約1:1至約10:1。在某些實施例中,所沈積的SiOC膜可包含SiN、SiO、SiC、SiCN、SiON、SiOSC、SiSC、SiOS及/或SiOC中的一或多者。
在某些實施例中,SiOC膜不是低k膜,舉例而言,SiOC膜不是多孔膜。在某些實施例中,SiOC是連續的膜。在某些實施例中,SiOC膜具有小於約10的k值。在某些實施例中,SiOC膜具有小於約7的k值。在某些實施例中,SiOC膜具有約2至約10的k值。在某些實施例中,SiOC膜具有小於約5.0、小於約4.5、小於約4.3、小於約4.1的k值。在某些實施例中,SiOC膜具有約3.0至約7、約3.0至約5.5、約3.0至約5.0、約3.5至約4.8、約3.5至約4.7的k值。在某些實施例中,SiOC膜具有較任何低k膜的k值大的k值。在某些實施例中,SiOC膜具有較純SiO 2大的k值。
在某些實施例中,根據本發明而沈積的SiOC膜不包括積層(laminate)結構或奈米積層(nanolaminate)結構。
在某些實施例中,根據本發明而沈積的SiOC膜不是自組裝單層(self-assembled monolayer,SAM)。在某些實施例中,根據本發明而沈積的SiOC膜不由彼此不進行鍵接的單獨的個別分子組成。在某些實施例中,根據本發明而沈積的SiOC膜包含實質上鍵接於一起或連接於一起的材料。在某些實施例中,根據本發明而沈積的SiOC膜不是功能性層、不是胺基官能化的(amino-functionalized)及/或不被用作功能性表面。在某些實施例中,根據本發明而沈積的SiOC膜不以-NH 2基終止。在某些實施例中,根據本發明而沈積的SiOC膜不含有大量-NH 2基。 實例
藉由本文所述的電漿增強型原子層沈積製程而沈積了示例性SiOC薄膜。使用雙(三乙氧基矽烷基)乙烷作為矽前驅物同時使瓶溫度自80℃變成110℃。使用H 2作為第二反應物,且藉由對第二反應物施加200瓦的射頻功率而產生了電漿。使用200℃的基板或200℃的沈積溫度對某些SiOC樣本進行了沈積,同時使用300℃的沈積溫度對其他SiOC樣本進行了沈積。
對於某些SiOC樣本,前驅物脈衝時間為4秒,前驅物吹洗時間為4秒,電漿脈衝時間為4秒,且電漿吹洗時間為0.5秒。對於其他樣本,前驅物脈衝時間為10秒,前驅物吹洗時間為4秒,電漿脈衝時間為4秒,且電漿吹洗時間為0.5秒。對於其他樣本,前驅物脈衝時間為4秒,前驅物吹洗時間為10秒,電漿脈衝時間為4秒,且電漿吹洗時間為0.5秒。
圖3說明藉由本文所述的電漿增強型原子層沈積製程而沈積的SiOC膜的、每循環生長率(埃/循環)與前驅物瓶溫度的關係。如在圖3中可見,生長速率隨著瓶溫度升高而增大,且利用200℃的沈積溫度而沈積的樣本的生長速率較利用300℃的沈積溫度而沈積的樣本的生長速率高。對於110℃的瓶溫度及200℃的沈積溫度,生長速率在約0.3埃/循環處達到飽和。
圖4說明藉由本文所述的電漿增強型原子層沈積製程而沈積的SiOCN膜的、隨著電漿功率而變化的每循環生長率(埃/循環)、折射率及在dHF(0.5重量%)中相對於熱氧化物的濕式蝕刻速率比率。使用雙(三乙氧基矽烷基)乙烷作為矽前驅物且使用H 2作為第二反應物。藉由對第二反應物施加200瓦至800瓦的射頻功率而產生了電漿。沈積溫度為200℃,同時前驅物脈衝時間為4秒,前驅物吹洗時間為4秒,電漿脈衝時間為4秒,且電漿吹洗時間為0.5秒。
如在圖4中可見,SiOC膜的生長速率隨著電漿功率增加而減小。所沈積膜的折射率隨著電漿功率增加而增大。觀察到所沈積SiOC膜的濕蝕刻速率對熱氧化物的濕蝕刻速率的比率(相對於熱氧化物的濕式蝕刻速率比率)隨著電漿功率增加而減小。亦即,觀察到耐濕式蝕刻性隨著電漿功率增加而愈來愈高,在800瓦的電漿功率下達成了為0.2的相對於熱氧化物的濕式蝕刻速率比率。
圖5說明根據本文所述的電漿增強型原子層沈積製程而沈積的SiOC膜的每循環生長率(埃/循環)與第二反應物氣體混合物比率(N 2/(N 2+H 2))之間的關係。使用雙(三乙氧基矽烷基)乙烷作為矽前驅物且沈積溫度為200℃。對於每一循環,前驅物脈衝時間為4秒,前驅物吹洗時間為4秒,電漿脈衝時間為4秒,且電漿吹洗時間為0.5秒。在Ar載氣為600標準立方公分/分鐘時,第二反應物氣體流為100標準立方公分/分鐘。對於3個SiOC樣本,第二反應物氣體的組成分別自本質上由H 2組成至H 2與N 2的混合物至本質上由N 2組成變化。僅使用Ar載氣作為第二反應物氣體亦製備了樣本。如在圖5中可見,使用本質上由H 2及Ar載氣組成的第二反應物氣體達成了最高的生長速率(約0.25埃/循環)。觀察到,在使用包含H 2與N 2的混合物的第二反應物氣體、本質上由N 2組成的第二反應物氣體、及本質上由Ar載氣組成的第二反應物氣體時,生長速率不佳。因此,不受任一種理論的限制,認為向第二反應物氣體中添加N 2會抑制SiOC膜生長。
本文中使用的用語「約」可指代在給定值的15%以內、10%以內、5%以內或1%以內的值。
為簡潔起見,本文中使用用語「膜」及「薄膜」。「膜」及「薄膜」意欲意指藉由本文中所揭露的方法而沈積的任何連續的或不連續的結構及材料。舉例而言,「膜」及「薄膜」可包括2D材料、奈米棒(nanorod)、奈米管、或奈米顆粒、或甚至單個的局部分子層或整個分子層、或者局部原子層或整個原子層、或者原子及/或分子的集簇。「膜」及「薄膜」可包括具有針孔(pinhole)、但仍至少局部連續的材料或層。
熟習此項技術者應理解,可在不背離本發明的精神的條件下作出諸多各種各樣的潤飾。所闡述的特徵、結構、特性、及前驅物可以任何合適的方式進行組合。因此,應清楚地理解,本發明的形式僅為說明性的且不旨在限制本發明的範圍。所有潤飾及改變皆旨在落於由隨附申請專利範圍所界定的本發明的範圍內。
100:PEALD沈積製程 120、130、140、150、160:步驟
圖1是根據本發明某些實施例的藉由電漿增強型原子層沈積(PEALD)製程來沈積碳氧化矽(SiOC)薄膜的製程流程圖。 圖2說明根據某些實施例的示例性矽前驅物的分子結構。 圖3說明根據某些實施例所沈積的SiOC樣本膜的前驅物瓶(precursor bottle)溫度對SiOC膜生長速率的關係點圖(plot)。 圖4繪示根據某些實施例所沈積的膜的隨著電漿功率而變化的SiOC膜生長速率、折射率及在稀釋的氫氟酸(dHF)(0.5重量%)中相對於熱氧化矽的濕式蝕刻速率比率(相對於TOX的WERR)。 圖5繪示根據某些實施例所沈積的膜的SiOC膜生長速率與第二反應物氣體組成的關係。
100:PEALD沈積製程
120、130、140、150、160:步驟

Claims (20)

  1. 一種形成碳氧化矽(SiOC)薄膜的製程,所述SiOC薄膜是藉由多個沉積循環在反應空間中的基板上形成,其中至少一個沉積循環包括使所述基板的表面與不包含氮的氣相矽前驅物及包含反應性物質的第二反應物接觸,其中所述反應性物質是藉由在所述至少一個沉積循環中連續流向所述反應空間的氣體產生,且其中所述SiOC薄膜的濕式蝕刻速率對熱氧化矽的濕式蝕刻速率的比率小於5。
  2. 如請求項1所述的形成碳氧化矽(SiOC)薄膜的製程,其中所述反應性物質包含氫。
  3. 如請求項1所述的形成碳氧化矽(SiOC)薄膜的製程,其中所述氣體不包含氧。
  4. 如請求項1所述的形成碳氧化矽(SiOC)薄膜的製程,其中所述氣體不包含氮。
  5. 如請求項1所述的形成碳氧化矽(SiOC)薄膜的製程,其中所述氣體包含惰性氣體。
  6. 如請求項5所述的形成碳氧化矽(SiOC)薄膜的製程,其中所述氣體包含氦氣或氬氣。
  7. 如請求項1所述的形成碳氧化矽(SiOC)薄膜的製程,其中所述氣體為氬氣。
  8. 如請求項1所述的形成碳氧化矽(SiOC)薄膜的製程,其中在整個所述多個沉積循環中所述氣體連續流向所述反應空間。
  9. 如請求項1所述的形成碳氧化矽(SiOC)薄膜的製程,其中所述矽前驅物具有通式: (R IIO) 3Si-R I-Si(OR II) 3; 其中R I及R II為獨立選擇的C 1-C 5烷基配位體。
  10. 如請求項1所述的形成碳氧化矽(SiOC)薄膜的製程,其中所述矽前驅物包含雙(三乙氧基矽烷基)乙烷(BTESE)。
  11. 如請求項1所述的形成碳氧化矽(SiOC)薄膜的製程,其中所述矽前驅物具有通式: Si(OR I) 4-xR II x, 其中x為0至3的整數,R I為獨立選擇的C 1-C 7烷基配位體,且R II為獨立選擇的由碳、及/或氫、及/或氧組成的配位體。
  12. 如請求項1所述的形成碳氧化矽(SiOC)薄膜的製程,其中所述矽前驅物包含3-甲氧基丙基三甲氧基矽烷(MPTMS)。
  13. 如請求項1所述的形成碳氧化矽(SiOC)薄膜的製程,其中所述矽前驅物具有通式: (R IO) 4-xSi-(R II-O-R III) x其中x為0至3的整數,R I及R II為分別獨立選擇的C 1-C 7烷基配位體,且R III為獨立選擇的由碳、及/或氫、及/或氧組成的配位體。
  14. 如請求項1所述的形成碳氧化矽(SiOC)薄膜的製程,其中所述至少一個沉積循環為電漿增強型原子層沈積(PEALD)循環。
  15. 如請求項1所述的形成碳氧化矽(SiOC)薄膜的製程,其中所述反應性物質是藉由向所述氣體施加5瓦(W)至約5000瓦的射頻功率而產生。
  16. 如請求項1所述的形成碳氧化矽(SiOC)薄膜的製程,其中在100℃至300℃的製程溫度下施行所述至少一個沉積循環。
  17. 如請求項1所述的形成碳氧化矽(SiOC)薄膜的製程,其中在低於100℃的製程溫度下施行所述至少一個沈積循環。
  18. 如請求項1所述的形成碳氧化矽(SiOC)薄膜的製程,其中所述基板包含有機材料。
  19. 如請求項1所述的形成碳氧化矽(SiOC)薄膜的製程,其中所述SiOC薄膜沈積於所述基板上的三維結構上。
  20. 如請求項19所述的形成碳氧化矽(SiOC)薄膜的製程,其中在0.5重量%稀釋的HF中,形成於所述三維結構的垂直表面上的SiOC的濕式蝕刻速率對形成於所述三維結構的水平表面上的所述SiOC的濕式蝕刻速率的濕式蝕刻速率比率為1:20至20:1。
TW112124362A 2016-05-06 2017-05-05 形成碳氧化矽薄膜的製程 TW202341414A (zh)

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
US201662332975P 2016-05-06 2016-05-06
US62/332,975 2016-05-06
US201662427077P 2016-11-28 2016-11-28
US62/427,077 2016-11-28

Publications (1)

Publication Number Publication Date
TW202341414A true TW202341414A (zh) 2023-10-16

Family

ID=60243963

Family Applications (3)

Application Number Title Priority Date Filing Date
TW112124362A TW202341414A (zh) 2016-05-06 2017-05-05 形成碳氧化矽薄膜的製程
TW110127528A TWI810617B (zh) 2016-05-06 2017-05-05 形成及沈積碳氧化矽薄膜的方法
TW106114905A TWI737723B (zh) 2016-05-06 2017-05-05 形成及沈積碳氧化矽薄膜的方法

Family Applications After (2)

Application Number Title Priority Date Filing Date
TW110127528A TWI810617B (zh) 2016-05-06 2017-05-05 形成及沈積碳氧化矽薄膜的方法
TW106114905A TWI737723B (zh) 2016-05-06 2017-05-05 形成及沈積碳氧化矽薄膜的方法

Country Status (4)

Country Link
US (3) US10600637B2 (zh)
JP (3) JP6923355B2 (zh)
KR (3) KR102378021B1 (zh)
TW (3) TW202341414A (zh)

Families Citing this family (227)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
US10804094B2 (en) 2016-05-06 2020-10-13 Applied Materials, Inc. Methods of depositing SiCON with C, O and N compositional control
US11549181B2 (en) 2013-11-22 2023-01-10 Applied Materials, Inc. Methods for atomic layer deposition of SiCO(N) using halogenated silylamides
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US9786492B2 (en) 2015-11-12 2017-10-10 Asm Ip Holding B.V. Formation of SiOCN thin films
US9786491B2 (en) 2015-11-12 2017-10-10 Asm Ip Holding B.V. Formation of SiOCN thin films
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
KR102378021B1 (ko) * 2016-05-06 2022-03-23 에이에스엠 아이피 홀딩 비.브이. SiOC 박막의 형성
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
US10186420B2 (en) * 2016-11-29 2019-01-22 Asm Ip Holding B.V. Formation of silicon-containing thin films
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
KR20180070971A (ko) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
US10847529B2 (en) 2017-04-13 2020-11-24 Asm Ip Holding B.V. Substrate processing method and device manufactured by the same
US10504901B2 (en) 2017-04-26 2019-12-10 Asm Ip Holding B.V. Substrate processing method and device manufactured using the same
US11501965B2 (en) 2017-05-05 2022-11-15 Asm Ip Holding B.V. Plasma enhanced deposition processes for controlled formation of metal oxide thin films
KR20240010760A (ko) * 2017-05-05 2024-01-24 에이에스엠 아이피 홀딩 비.브이. 산소 함유 박막의 형성을 제어하기 위한 플라즈마 강화 증착 공정
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
US11639811B2 (en) 2017-11-27 2023-05-02 Asm Ip Holding B.V. Apparatus including a clean mini environment
KR102597978B1 (ko) 2017-11-27 2023-11-06 에이에스엠 아이피 홀딩 비.브이. 배치 퍼니스와 함께 사용하기 위한 웨이퍼 카세트를 보관하기 위한 보관 장치
TWI761636B (zh) * 2017-12-04 2022-04-21 荷蘭商Asm Ip控股公司 電漿增強型原子層沉積製程及沉積碳氧化矽薄膜的方法
US10843618B2 (en) * 2017-12-28 2020-11-24 Lam Research Corporation Conformality modulation of metal oxide films using chemical inhibition
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
KR20200108016A (ko) 2018-01-19 2020-09-16 에이에스엠 아이피 홀딩 비.브이. 플라즈마 보조 증착에 의해 갭 충진 층을 증착하는 방법
TW202325889A (zh) 2018-01-19 2023-07-01 荷蘭商Asm 智慧財產控股公司 沈積方法
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US11685991B2 (en) 2018-02-14 2023-06-27 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
CN111954921A (zh) 2018-04-09 2020-11-17 应用材料公司 用于图案化应用的碳硬掩模及相关的方法
TW202344708A (zh) 2018-05-08 2023-11-16 荷蘭商Asm Ip私人控股有限公司 藉由循環沉積製程於基板上沉積氧化物膜之方法及相關裝置結構
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
JP7066534B2 (ja) * 2018-06-04 2022-05-13 キヤノン株式会社 液体吐出ヘッドの製造方法
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
WO2020002995A1 (en) 2018-06-27 2020-01-02 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
WO2020003000A1 (en) 2018-06-27 2020-01-02 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
JP2020096183A (ja) 2018-12-14 2020-06-18 エーエスエム・アイピー・ホールディング・ベー・フェー 窒化ガリウムの選択的堆積を用いてデバイス構造体を形成する方法及びそのためのシステム
TWI819180B (zh) 2019-01-17 2023-10-21 荷蘭商Asm 智慧財產控股公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
KR20200102357A (ko) 2019-02-20 2020-08-31 에이에스엠 아이피 홀딩 비.브이. 3-d nand 응용의 플러그 충진체 증착용 장치 및 방법
TW202044325A (zh) 2019-02-20 2020-12-01 荷蘭商Asm Ip私人控股有限公司 填充一基板之一表面內所形成的一凹槽的方法、根據其所形成之半導體結構、及半導體處理設備
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
JP2020136677A (ja) 2019-02-20 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための周期的堆積方法および装置
TW202100794A (zh) 2019-02-22 2021-01-01 荷蘭商Asm Ip私人控股有限公司 基材處理設備及處理基材之方法
KR20200108248A (ko) * 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOCN 층을 포함한 구조체 및 이의 형성 방법
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
KR20200116033A (ko) 2019-03-28 2020-10-08 에이에스엠 아이피 홀딩 비.브이. 도어 개방기 및 이를 구비한 기판 처리 장치
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
KR20200123380A (ko) 2019-04-19 2020-10-29 에이에스엠 아이피 홀딩 비.브이. 층 형성 방법 및 장치
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141002A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 배기 가스 분석을 포함한 기상 반응기 시스템을 사용하는 방법
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP2021015791A (ja) 2019-07-09 2021-02-12 エーエスエム アイピー ホールディング ビー.ブイ. 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
CN112242296A (zh) 2019-07-19 2021-01-19 Asm Ip私人控股有限公司 形成拓扑受控的无定形碳聚合物膜的方法
CN112309843A (zh) 2019-07-29 2021-02-02 Asm Ip私人控股有限公司 实现高掺杂剂掺入的选择性沉积方法
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
KR20210018759A (ko) 2019-08-05 2021-02-18 에이에스엠 아이피 홀딩 비.브이. 화학물질 공급원 용기를 위한 액체 레벨 센서
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
US11186909B2 (en) * 2019-08-26 2021-11-30 Applied Materials, Inc. Methods of depositing low-K films
KR20210028093A (ko) * 2019-08-29 2021-03-11 에이에스엠 아이피 홀딩 비.브이. 유전체 층을 포함하는 구조체 및 이를 형성하는 방법
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
TW202129060A (zh) 2019-10-08 2021-08-01 荷蘭商Asm Ip控股公司 基板處理裝置、及基板處理方法
KR20210043460A (ko) 2019-10-10 2021-04-21 에이에스엠 아이피 홀딩 비.브이. 포토레지스트 하부층을 형성하기 위한 방법 및 이를 포함한 구조체
KR20210045930A (ko) 2019-10-16 2021-04-27 에이에스엠 아이피 홀딩 비.브이. 실리콘 산화물의 토폴로지-선택적 막의 형성 방법
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
US11139163B2 (en) * 2019-10-31 2021-10-05 Asm Ip Holding B.V. Selective deposition of SiOC thin films
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
KR20210065848A (ko) 2019-11-26 2021-06-04 에이에스엠 아이피 홀딩 비.브이. 제1 유전체 표면과 제2 금속성 표면을 포함한 기판 상에 타겟 막을 선택적으로 형성하기 위한 방법
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
JP2021097227A (ja) 2019-12-17 2021-06-24 エーエスエム・アイピー・ホールディング・ベー・フェー 窒化バナジウム層および窒化バナジウム層を含む構造体を形成する方法
US11527403B2 (en) 2019-12-19 2022-12-13 Asm Ip Holding B.V. Methods for filling a gap feature on a substrate surface and related semiconductor structures
KR20210089077A (ko) 2020-01-06 2021-07-15 에이에스엠 아이피 홀딩 비.브이. 가스 공급 어셈블리, 이의 구성 요소, 및 이를 포함하는 반응기 시스템
US20210225634A1 (en) * 2020-01-17 2021-07-22 Asm Ip Holding B.V. FORMATION OF SiCN THIN FILMS
KR20210095050A (ko) 2020-01-20 2021-07-30 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법 및 박막 표면 개질 방법
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
KR20210100010A (ko) 2020-02-04 2021-08-13 에이에스엠 아이피 홀딩 비.브이. 대형 물품의 투과율 측정을 위한 방법 및 장치
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
US11781243B2 (en) 2020-02-17 2023-10-10 Asm Ip Holding B.V. Method for depositing low temperature phosphorous-doped silicon
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
US11876356B2 (en) 2020-03-11 2024-01-16 Asm Ip Holding B.V. Lockout tagout assembly and system and method of using same
KR20210117157A (ko) 2020-03-12 2021-09-28 에이에스엠 아이피 홀딩 비.브이. 타겟 토폴로지 프로파일을 갖는 층 구조를 제조하기 위한 방법
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
TW202146831A (zh) 2020-04-24 2021-12-16 荷蘭商Asm Ip私人控股有限公司 垂直批式熔爐總成、及用於冷卻垂直批式熔爐之方法
CN113555279A (zh) 2020-04-24 2021-10-26 Asm Ip私人控股有限公司 形成含氮化钒的层的方法及包含其的结构
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
TW202147383A (zh) 2020-05-19 2021-12-16 荷蘭商Asm Ip私人控股有限公司 基材處理設備
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202219628A (zh) 2020-07-17 2022-05-16 荷蘭商Asm Ip私人控股有限公司 用於光微影之結構與方法
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
KR20220027026A (ko) 2020-08-26 2022-03-07 에이에스엠 아이피 홀딩 비.브이. 금속 실리콘 산화물 및 금속 실리콘 산질화물 층을 형성하기 위한 방법 및 시스템
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
KR20220053482A (ko) 2020-10-22 2022-04-29 에이에스엠 아이피 홀딩 비.브이. 바나듐 금속을 증착하는 방법, 구조체, 소자 및 증착 어셈블리
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
US11447865B2 (en) 2020-11-17 2022-09-20 Applied Materials, Inc. Deposition of low-κ films
TW202235675A (zh) 2020-11-30 2022-09-16 荷蘭商Asm Ip私人控股有限公司 注入器、及基板處理設備
CN114639631A (zh) 2020-12-16 2022-06-17 Asm Ip私人控股有限公司 跳动和摆动测量固定装置
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate

Family Cites Families (300)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
FI117944B (fi) 1999-10-15 2007-04-30 Asm Int Menetelmä siirtymämetallinitridiohutkalvojen kasvattamiseksi
FI119941B (fi) 1999-10-15 2009-05-15 Asm Int Menetelmä nanolaminaattien valmistamiseksi
US3925337A (en) 1969-07-31 1975-12-09 Air Prod & Chem Post chlorinated vinyl chloride copolymers
US3708728A (en) 1971-06-10 1973-01-02 Int Standard Electric Corp Electrolytic capacitor electrode comprising semiconductor core with film-forming metal coating
SE393967B (sv) 1974-11-29 1977-05-31 Sateko Oy Forfarande och for utforande av stroleggning mellan lagren i ett virkespaket
US4282267A (en) 1979-09-20 1981-08-04 Western Electric Co., Inc. Methods and apparatus for generating plasmas
US4389973A (en) 1980-03-18 1983-06-28 Oy Lohja Ab Apparatus for performing growth of compound thin films
JPS5833841A (ja) 1981-08-24 1983-02-28 Hitachi Ltd 半導体装置
DE3463641D1 (en) 1983-11-11 1987-06-19 Japan Res Dev Corp Boron nitride containing titanium nitride, method of producing the same and composite ceramics produced therefrom
US5693139A (en) 1984-07-26 1997-12-02 Research Development Corporation Of Japan Growth of doped semiconductor monolayers
GB8516537D0 (en) 1985-06-29 1985-07-31 Standard Telephones Cables Ltd Pulsed plasma apparatus
US5769950A (en) 1985-07-23 1998-06-23 Canon Kabushiki Kaisha Device for forming deposited film
US4761269A (en) 1986-06-12 1988-08-02 Crystal Specialties, Inc. Apparatus for depositing material on a substrate
US4747367A (en) 1986-06-12 1988-05-31 Crystal Specialties, Inc. Method and apparatus for producing a constant flow, constant pressure chemical vapor deposition
US4767494A (en) 1986-07-04 1988-08-30 Nippon Telegraph & Telephone Corporation Preparation process of compound semiconductor
US5221556A (en) 1987-06-24 1993-06-22 Epsilon Technology, Inc. Gas injectors for reaction chambers in CVD systems
US5166092A (en) 1988-01-28 1992-11-24 Fujitsu Limited Method of growing compound semiconductor epitaxial layer by atomic layer epitaxy
US4851095A (en) 1988-02-08 1989-07-25 Optical Coating Laboratory, Inc. Magnetron sputtering apparatus and process
US4981724A (en) 1988-10-27 1991-01-01 Hochberg Arthur K Deposition of silicon oxide films using alkylsilane liquid sources
JPH0831454B2 (ja) 1989-04-21 1996-03-27 日本電気株式会社 半導体装置の製造方法
US5549937A (en) 1989-10-11 1996-08-27 U.S. Philips Corporation Method of plasma-activated reactive deposition of electrically conducting multicomponent material from a gas phase
JP2822536B2 (ja) 1990-02-14 1998-11-11 住友電気工業株式会社 立方晶窒化ホウ素薄膜の形成方法
US5071670A (en) 1990-06-11 1991-12-10 Kelly Michael A Method for chemical vapor deposition under a single reactor vessel divided into separate reaction chambers each with its own depositing and exhausting means
US5225366A (en) 1990-06-22 1993-07-06 The United States Of America As Represented By The Secretary Of The Navy Apparatus for and a method of growing thin films of elemental semiconductors
US5316793A (en) 1992-07-27 1994-05-31 Texas Instruments Incorporated Directed effusive beam atomic layer epitaxy system and method
US5270247A (en) 1991-07-12 1993-12-14 Fujitsu Limited Atomic layer epitaxy of compound semiconductor
US5242530A (en) 1991-08-05 1993-09-07 International Business Machines Corporation Pulsed gas plasma-enhanced chemical vapor deposition of silicon
CA2059818C (en) 1991-08-21 1995-01-03 Gokichi Hatouchi Apparatus for storing articles
DE4242292C2 (de) 1991-12-20 2002-06-27 Ntn Toyo Bearing Co Ltd Selbsttätige hydraulische Spannvorrichtung
JPH05335257A (ja) 1992-06-03 1993-12-17 Showa Shell Sekiyu Kk p型シリコンカーバイドの形成方法
US5342652A (en) 1992-06-15 1994-08-30 Materials Research Corporation Method of nucleating tungsten on titanium nitride by CVD without silane
JPH0637041A (ja) 1992-07-15 1994-02-10 Hitachi Ltd 配線部材の形成方法
US5306666A (en) 1992-07-24 1994-04-26 Nippon Steel Corporation Process for forming a thin metal film by chemical vapor deposition
JPH0669157A (ja) 1992-08-21 1994-03-11 Sony Corp チタン膜の形成方法
FR2695944B1 (fr) 1992-09-24 1994-11-18 Onera (Off Nat Aerospatiale) Appareil de dépôt chimique en phase vapeur activé par un plasma micro-ondes.
JP3356531B2 (ja) 1994-02-15 2002-12-16 東京エレクトロン株式会社 ボロン含有ポリシリコン膜の形成方法
US6200389B1 (en) 1994-07-18 2001-03-13 Silicon Valley Group Thermal Systems Llc Single body injector and deposition chamber
FI97731C (fi) 1994-11-28 1997-02-10 Mikrokemia Oy Menetelmä ja laite ohutkalvojen valmistamiseksi
FI97730C (fi) 1994-11-28 1997-02-10 Mikrokemia Oy Laitteisto ohutkalvojen valmistamiseksi
FI100409B (fi) 1994-11-28 1997-11-28 Asm Int Menetelmä ja laitteisto ohutkalvojen valmistamiseksi
EP0742847B1 (en) 1994-11-30 2000-05-24 Micron Technology, Inc. A method of depositing tungsten nitride using a source gas comprising silicon
WO1996018756A1 (en) 1994-12-16 1996-06-20 Nkt Research Center A/S A PA-CVD PROCESS FOR DEPOSITION OF A SOLID METAL-CONTAINING FILM ONTO A SUBSTRATE CONTAINING AT LEAST 50 % of Fe or WC
WO1996020298A1 (de) 1994-12-27 1996-07-04 Siemens Aktiengesellschaft Verfahren zum herstellen von mit bor dotiertem, einkristallinem siliciumcarbid
US6006763A (en) 1995-01-11 1999-12-28 Seiko Epson Corporation Surface treatment method
JPH08264530A (ja) 1995-03-20 1996-10-11 Fujitsu Ltd 半導体装置の製造方法及び半導体装置の製造装置
DE19514081A1 (de) 1995-04-13 1996-10-17 Siemens Ag Verfahren zum Herstellen eines elektrischen Kontakts auf einer SiC-Oberfläche
US5744254A (en) 1995-05-24 1998-04-28 Virginia Tech Intellectual Properties, Inc. Composite materials including metallic matrix composite reinforcements
US5595784A (en) 1995-08-01 1997-01-21 Kaim; Robert Titanium nitride and multilayers formed by chemical vapor deposition of titanium halides
JPH0955365A (ja) * 1995-08-10 1997-02-25 Hewlett Packard Co <Hp> 半導体基板の表面清浄方法
JPH0987857A (ja) 1995-09-27 1997-03-31 Res Dev Corp Of Japan プラズマcvdによる炭化物コーティング方法
TW328971B (en) 1995-10-30 1998-04-01 Dow Corning Method for depositing Si-O containing coatings
KR0155918B1 (ko) 1995-11-03 1998-12-01 김광호 선택적 텅스텐질화박막을 이용한 반도체장치의 캐패시터 형성방법
US6509098B1 (en) 1995-11-17 2003-01-21 Massachusetts Institute Of Technology Poly(ethylene oxide) coated surfaces
US5672054A (en) 1995-12-07 1997-09-30 Carrier Corporation Rotary compressor with reduced lubrication sensitivity
US5946594A (en) 1996-01-02 1999-08-31 Micron Technology, Inc. Chemical vapor deposition of titanium from titanium tetrachloride and hydrocarbon reactants
US5965004A (en) 1996-03-13 1999-10-12 Sterling Pulp Chemicals, Ltd. Chlorine dioxide generation for water treatment
US5789024A (en) 1996-05-15 1998-08-04 New Jersey Institute Of Technology Subnanoscale composite, N2-permselective membrane for the separation of volatile organic compounds
US6342277B1 (en) 1996-08-16 2002-01-29 Licensee For Microelectronics: Asm America, Inc. Sequential chemical vapor deposition
US5916365A (en) 1996-08-16 1999-06-29 Sherman; Arthur Sequential chemical vapor deposition
FI100758B (fi) 1996-09-11 1998-02-13 Planar Internat Oy Ltd Menetelmä ZnS:Mn-loisteainekerroksen kasvattamiseksi ohutkalvoelektrol uminenssikomponentteja varten
JP3353874B2 (ja) 1996-09-24 2002-12-03 シャープ株式会社 半導体装置及びその製造方法
EP0841690B1 (en) 1996-11-12 2006-03-01 Samsung Electronics Co., Ltd. Tungsten nitride (WNx) layer manufacturing method and metal wiring manufacturing method
TW320752B (en) 1996-11-18 1997-11-21 United Microelectronics Corp Metal gate electrode process
US6174377B1 (en) 1997-03-03 2001-01-16 Genus, Inc. Processing chamber for atomic layer deposition processes
US6156382A (en) 1997-05-16 2000-12-05 Applied Materials, Inc. Chemical vapor deposition process for depositing tungsten
US6287965B1 (en) 1997-07-28 2001-09-11 Samsung Electronics Co, Ltd. Method of forming metal layer using atomic layer deposition and semiconductor device having the metal layer as barrier metal layer or upper or lower electrode of capacitor
KR100269306B1 (ko) 1997-07-31 2000-10-16 윤종용 저온처리로안정화되는금속산화막으로구성된완충막을구비하는집적회로장치및그제조방법
KR100261017B1 (ko) 1997-08-19 2000-08-01 윤종용 반도체 장치의 금속 배선층을 형성하는 방법
US5913145A (en) 1997-08-28 1999-06-15 Texas Instruments Incorporated Method for fabricating thermally stable contacts with a diffusion barrier formed at high temperatures
US6348376B2 (en) 1997-09-29 2002-02-19 Samsung Electronics Co., Ltd. Method of forming metal nitride film by chemical vapor deposition and method of forming metal contact and capacitor of semiconductor device using the same
KR100274603B1 (ko) 1997-10-01 2001-01-15 윤종용 반도체장치의제조방법및그의제조장치
US5972430A (en) 1997-11-26 1999-10-26 Advanced Technology Materials, Inc. Digital chemical vapor deposition (CVD) method for forming a multi-component oxide layer
US6099904A (en) 1997-12-02 2000-08-08 Applied Materials, Inc. Low resistivity W using B2 H6 nucleation step
FI104383B (fi) 1997-12-09 2000-01-14 Fortum Oil & Gas Oy Menetelmä laitteistojen sisäpintojen päällystämiseksi
US6104074A (en) 1997-12-11 2000-08-15 Apa Optics, Inc. Schottky barrier detectors for visible-blind ultraviolet detection
KR100269328B1 (ko) 1997-12-31 2000-10-16 윤종용 원자층 증착 공정을 이용하는 도전층 형성방법
US6015917A (en) 1998-01-23 2000-01-18 Advanced Technology Materials, Inc. Tantalum amide precursors for deposition of tantalum nitride on a substrate
US6303523B2 (en) 1998-02-11 2001-10-16 Applied Materials, Inc. Plasma processes for depositing low dielectric constant films
KR100287174B1 (ko) 1998-03-17 2001-04-16 윤종용 다원자계산화물 및 질화물의박막제조방법
US6159871A (en) * 1998-05-29 2000-12-12 Dow Corning Corporation Method for producing hydrogenated silicon oxycarbide films having low dielectric constant
US6380627B1 (en) 1998-06-26 2002-04-30 The Regents Of The University Of California Low resistance barrier layer for isolating, adhering, and passivating copper metal in semiconductor fabrication
DE19832245A1 (de) 1998-07-17 2000-01-20 Heidelberger Druckmasch Ag Verfahren zum Bedienen von Geräten in der Reproduktionstechnik
JP2960928B1 (ja) 1998-07-24 1999-10-12 スタンレー電気株式会社 車両用信号灯具
KR100275738B1 (ko) 1998-08-07 2000-12-15 윤종용 원자층 증착법을 이용한 박막 제조방법
US6188134B1 (en) 1998-08-20 2001-02-13 The United States Of America As Represented By The Secretary Of The Navy Electronic devices with rubidium barrier film and process for making same
US6727190B2 (en) 1998-09-03 2004-04-27 Micron Technology, Inc. Method of forming fluorine doped boron-phosphorous silicate glass (F-BPSG) insulating materials
MXPA01008146A (es) 1999-02-11 2003-07-21 Hardide Ltd Revestimientos de carburo de tungsteno, y metodo para producir los mismos.
AU3229600A (en) 1999-02-12 2000-08-29 Gelest, Inc. Chemical vapor deposition of tungsten nitride
US6200893B1 (en) 1999-03-11 2001-03-13 Genus, Inc Radical-assisted sequential CVD
KR20000060438A (ko) 1999-03-16 2000-10-16 이경수 산화알루미늄 막의 형성 방법
KR100273473B1 (ko) 1999-04-06 2000-11-15 이경수 박막 형성 방법
US6124158A (en) 1999-06-08 2000-09-26 Lucent Technologies Inc. Method of reducing carbon contamination of a thin dielectric film by using gaseous organic precursors, inert gas, and ozone to react with carbon contaminants
US6613383B1 (en) 1999-06-21 2003-09-02 Regents Of The University Of Colorado Atomic layer controlled deposition on particle surfaces
US6391785B1 (en) 1999-08-24 2002-05-21 Interuniversitair Microelektronica Centrum (Imec) Method for bottomless deposition of barrier layers in integrated circuit metallization schemes
US6511539B1 (en) 1999-09-08 2003-01-28 Asm America, Inc. Apparatus and method for growth of a thin film
US6576053B1 (en) 1999-10-06 2003-06-10 Samsung Electronics Co., Ltd. Method of forming thin film using atomic layer deposition method
US6475276B1 (en) 1999-10-15 2002-11-05 Asm Microchemistry Oy Production of elemental thin films using a boron-containing reducing agent
AU1208201A (en) 1999-10-15 2001-04-30 Asm America, Inc. Method for depositing nanolaminate thin films on sensitive surfaces
KR100737901B1 (ko) 1999-10-15 2007-07-10 에이에스엠 인터내셔널 엔.브이. 민감한 표면에 나노적층박막을 증착하는 방법
KR100795534B1 (ko) 1999-10-15 2008-01-16 에이에스엠 인터내셔널 엔.브이. 상감법 금속화를 위한 균일한 라이닝층
US6727169B1 (en) 1999-10-15 2004-04-27 Asm International, N.V. Method of making conformal lining layers for damascene metallization
US6203613B1 (en) 1999-10-19 2001-03-20 International Business Machines Corporation Atomic layer deposition with nitrate containing precursors
FI118804B (fi) 1999-12-03 2008-03-31 Asm Int Menetelmä oksidikalvojen kasvattamiseksi
US6780704B1 (en) 1999-12-03 2004-08-24 Asm International Nv Conformal thin films over textured capacitor electrodes
FI20000099A0 (fi) 2000-01-18 2000-01-18 Asm Microchemistry Ltd Menetelmä metalliohutkalvojen kasvattamiseksi
KR20010075977A (ko) 2000-01-21 2001-08-11 윤덕용 부가 단량체 단일선구물질을 이용한 13 족 질화물 박막의제조방법
WO2001066832A2 (en) 2000-03-07 2001-09-13 Asm America, Inc. Graded thin films
DE10018156A1 (de) 2000-04-12 2001-10-25 Bosch Gmbh Robert Elektromotor
US6410462B1 (en) * 2000-05-12 2002-06-25 Sharp Laboratories Of America, Inc. Method of making low-K carbon doped silicon oxide
TW508658B (en) 2000-05-15 2002-11-01 Asm Microchemistry Oy Process for producing integrated circuits
US6482733B2 (en) 2000-05-15 2002-11-19 Asm Microchemistry Oy Protective layers prior to alternating layer deposition
US6194310B1 (en) 2000-06-01 2001-02-27 Sharp Laboratories Of America, Inc. Method of forming amorphous conducting diffusion barriers
US6713177B2 (en) 2000-06-21 2004-03-30 Regents Of The University Of Colorado Insulating and functionalizing fine metal-containing particles with conformal ultra-thin films
US20040224504A1 (en) 2000-06-23 2004-11-11 Gadgil Prasad N. Apparatus and method for plasma enhanced monolayer processing
US7405158B2 (en) 2000-06-28 2008-07-29 Applied Materials, Inc. Methods for depositing tungsten layers employing atomic layer deposition techniques
US7101795B1 (en) 2000-06-28 2006-09-05 Applied Materials, Inc. Method and apparatus for depositing refractory metal layers employing sequential deposition techniques to form a nucleation layer
US6355561B1 (en) 2000-11-21 2002-03-12 Micron Technology, Inc. ALD method to improve surface coverage
US20020104481A1 (en) 2000-12-06 2002-08-08 Chiang Tony P. System and method for modulated ion-induced atomic layer deposition (MII-ALD)
US6583048B2 (en) * 2001-01-17 2003-06-24 Air Products And Chemicals, Inc. Organosilicon precursors for interlayer dielectric films with low dielectric constants
US6464779B1 (en) 2001-01-19 2002-10-15 Novellus Systems, Inc. Copper atomic layer chemical vapor desposition
JP4160732B2 (ja) 2001-03-13 2008-10-08 富士フイルム株式会社 ハロゲン化銀写真乳剤
FI109770B (fi) 2001-03-16 2002-10-15 Asm Microchemistry Oy Menetelmä metallinitridiohutkalvojen valmistamiseksi
US6884719B2 (en) 2001-03-20 2005-04-26 Mattson Technology, Inc. Method for depositing a coating having a relatively high dielectric constant onto a substrate
US6861334B2 (en) 2001-06-21 2005-03-01 Asm International, N.V. Method of fabricating trench isolation structures for integrated circuits using atomic layer deposition
US7043133B2 (en) * 2001-07-12 2006-05-09 Little Optics, Inc. Silicon-oxycarbide high index contrast, low-loss optical waveguides and integrated thermo-optic devices
US7211144B2 (en) 2001-07-13 2007-05-01 Applied Materials, Inc. Pulsed nucleation deposition of tungsten layers
US20040206008A1 (en) 2001-07-16 2004-10-21 Chien-Min Sung SiCN compositions and methods
WO2003023835A1 (en) 2001-08-06 2003-03-20 Genitech Co., Ltd. Plasma enhanced atomic layer deposition (peald) equipment and method of forming a conducting thin film using the same thereof
WO2003025243A2 (en) 2001-09-14 2003-03-27 Asm International N.V. Metal nitride deposition by ald using gettering reactant
US20030049931A1 (en) 2001-09-19 2003-03-13 Applied Materials, Inc. Formation of refractory metal nitrides using chemisorption techniques
US6960537B2 (en) 2001-10-02 2005-11-01 Asm America, Inc. Incorporation of nitrogen into high k dielectric film
TW589684B (en) 2001-10-10 2004-06-01 Applied Materials Inc Method for depositing refractory metal layers employing sequential deposition techniques
US20030119305A1 (en) 2001-12-21 2003-06-26 Huang Robert Y. S. Mask layer and dual damascene interconnect structure in a semiconductor device
US6809026B2 (en) 2001-12-21 2004-10-26 Applied Materials, Inc. Selective deposition of a barrier layer on a metal film
US20030123216A1 (en) 2001-12-27 2003-07-03 Yoon Hyungsuk A. Deposition of tungsten for the formation of conformal tungsten silicide
KR20030057938A (ko) 2001-12-29 2003-07-07 주식회사 하이닉스반도체 반도체 소자의 실리콘 질화막 제조방법
US6827978B2 (en) 2002-02-11 2004-12-07 Applied Materials, Inc. Deposition of tungsten films
US20030157760A1 (en) 2002-02-20 2003-08-21 Applied Materials, Inc. Deposition of tungsten films for dynamic random access memory (DRAM) applications
US6833161B2 (en) 2002-02-26 2004-12-21 Applied Materials, Inc. Cyclical deposition of tungsten nitride for metal oxide gate electrode
US6972267B2 (en) 2002-03-04 2005-12-06 Applied Materials, Inc. Sequential deposition of tantalum nitride using a tantalum-containing precursor and a nitrogen-containing precursor
JP4108999B2 (ja) 2002-03-26 2008-06-25 大日本印刷株式会社 積層フィルム
US20030194825A1 (en) 2002-04-10 2003-10-16 Kam Law Deposition of gate metallization for active matrix liquid crystal display (AMLCD) applications
US20030203616A1 (en) 2002-04-24 2003-10-30 Applied Materials, Inc. Atomic layer deposition of tungsten barrier layers using tungsten carbonyls and boranes for copper metallization
US20040129212A1 (en) 2002-05-20 2004-07-08 Gadgil Pradad N. Apparatus and method for delivery of reactive chemical precursors to the surface to be treated
JP4170669B2 (ja) * 2002-05-24 2008-10-22 大日本印刷株式会社 積層体およびその製造方法
KR20030093575A (ko) 2002-06-03 2003-12-11 주식회사 하이닉스반도체 고선택성 질화막을 이용한 캐패시터 제조방법
US7045406B2 (en) 2002-12-03 2006-05-16 Asm International, N.V. Method of forming an electrode with adjusted work function
KR20040060402A (ko) 2002-12-30 2004-07-06 주식회사 하이닉스반도체 반도체소자의 콘택 형성방법
KR20030016346A (ko) 2003-01-29 2003-02-26 윤태식 차량 부재 운행 자동 관리 시스템
US7198820B2 (en) 2003-02-06 2007-04-03 Planar Systems, Inc. Deposition of carbon- and transition metal-containing thin films
KR100511913B1 (ko) 2003-03-06 2005-09-02 주식회사 하이닉스반도체 반도체 소자의 비트라인 형성 방법
KR100494129B1 (ko) 2003-03-06 2005-06-10 주식회사 하이닉스반도체 반도체 소자의 전극 형성방법
JP2004288979A (ja) 2003-03-24 2004-10-14 L'air Liquide Sa Pour L'etude & L'exploitation Des Procede S Georges Claude 絶縁膜の成膜方法
JP4423914B2 (ja) 2003-05-13 2010-03-03 東京エレクトロン株式会社 処理装置及びその使用方法
US7115534B2 (en) * 2003-05-19 2006-10-03 Applied Materials, Inc. Dielectric materials to prevent photoresist poisoning
KR20040100767A (ko) 2003-05-24 2004-12-02 주식회사 하이닉스반도체 저압 실리콘 질화막 형성 방법
US20040238876A1 (en) 2003-05-29 2004-12-02 Sunpil Youn Semiconductor structure having low resistance and method of manufacturing same
US7211508B2 (en) 2003-06-18 2007-05-01 Applied Materials, Inc. Atomic layer deposition of tantalum based barrier materials
KR100543517B1 (ko) 2003-06-23 2006-01-20 주식회사 포스코 수처리 설비의 원격 전력량 계측 시스템
US20050271893A1 (en) 2004-06-04 2005-12-08 Applied Microstructures, Inc. Controlled vapor deposition of multilayered coatings adhered by an oxide layer
US7030430B2 (en) 2003-08-15 2006-04-18 Intel Corporation Transition metal alloys for use as a gate electrode and devices incorporating these alloys
US7074690B1 (en) 2004-03-25 2006-07-11 Novellus Systems, Inc. Selective gap-fill process
US7105430B2 (en) 2004-03-26 2006-09-12 Freescale Semiconductor, Inc. Method for forming a semiconductor device having a notched control electrode and structure thereof
US7115974B2 (en) * 2004-04-27 2006-10-03 Taiwan Semiconductor Manfacturing Company, Ltd. Silicon oxycarbide and silicon carbonitride based materials for MOS devices
US20050252449A1 (en) 2004-05-12 2005-11-17 Nguyen Son T Control of gas flow and delivery to suppress the formation of particles in an MOCVD/ALD system
JP2006040936A (ja) 2004-07-22 2006-02-09 L'air Liquide Sa Pour L'etude & L'exploitation Des Procede S Georges Claude 絶縁膜の成膜方法および絶縁膜成膜装置
US7422776B2 (en) 2004-08-24 2008-09-09 Applied Materials, Inc. Low temperature process to produce low-K dielectrics with low stress by plasma-enhanced chemical vapor deposition (PECVD)
US7491658B2 (en) 2004-10-13 2009-02-17 International Business Machines Corporation Ultra low k plasma enhanced chemical vapor deposition processes using a single bifunctional precursor containing both a SiCOH matrix functionality and organic porogen functionality
KR100714269B1 (ko) 2004-10-14 2007-05-02 삼성전자주식회사 반도체 소자 제조에 사용되는 금속층 형성방법
US7015153B1 (en) 2004-10-20 2006-03-21 Freescale Semiconductor, Inc. Method for forming a layer using a purging gas in a semiconductor process
US7892648B2 (en) 2005-01-21 2011-02-22 International Business Machines Corporation SiCOH dielectric material with improved toughness and improved Si-C bonding
KR100640550B1 (ko) 2005-01-26 2006-10-31 주식회사 아이피에스 플라즈마 ald 박막증착방법
US7438949B2 (en) 2005-01-27 2008-10-21 Applied Materials, Inc. Ruthenium containing layer deposition method
US20060240187A1 (en) 2005-01-27 2006-10-26 Applied Materials, Inc. Deposition of an intermediate catalytic layer on a barrier layer for copper metallization
JP4258518B2 (ja) 2005-03-09 2009-04-30 東京エレクトロン株式会社 成膜方法、成膜装置及び記憶媒体
JP2006261434A (ja) 2005-03-17 2006-09-28 L'air Liquide Sa Pour L'etude & L'exploitation Des Procede S Georges Claude シリコン酸化膜の形成方法
US8486845B2 (en) 2005-03-21 2013-07-16 Tokyo Electron Limited Plasma enhanced atomic layer deposition system and method
US7220671B2 (en) 2005-03-31 2007-05-22 Intel Corporation Organometallic precursors for the chemical phase deposition of metal films in interconnect applications
FR2887252A1 (fr) 2005-06-21 2006-12-22 Air Liquide Procede de formation d'un film dielectrique et nouveaux precurseurs pour la mise en oeuvre de ce procede
US20070054046A1 (en) 2005-09-06 2007-03-08 Tokyo Electron Limited Method of forming a tantalum-containing layer from a metalorganic precursor
JP4864402B2 (ja) 2005-09-29 2012-02-01 株式会社東芝 半導体装置の製造方法
MY158548A (en) 2005-09-29 2016-10-14 Praxair Technology Inc Organometallic compounds and methods of use thereof
US8993055B2 (en) 2005-10-27 2015-03-31 Asm International N.V. Enhanced thin film deposition
DE102006004430B4 (de) * 2006-01-31 2010-06-10 Advanced Micro Devices, Inc., Sunnyvale Verfahren und System für eine fortschrittliche Prozesssteuerung in einem Ätzsystem durch Gasflusssteuerung auf der Grundlage von CD-Messungen
US7407876B2 (en) 2006-03-20 2008-08-05 Tokyo Electron Limited Method of plasma enhanced atomic layer deposition of TaC and TaCN films having good adhesion to copper
US7601651B2 (en) 2006-03-31 2009-10-13 Applied Materials, Inc. Method to improve the step coverage and pattern loading for dielectric films
FR2900276B1 (fr) 2006-04-25 2008-09-12 St Microelectronics Sa Depot peald d'un materiau a base de silicium
US8530361B2 (en) 2006-05-23 2013-09-10 Air Products And Chemicals, Inc. Process for producing silicon and oxide films from organoaminosilane precursors
WO2008007770A1 (en) * 2006-07-14 2008-01-17 Dai Nippon Printing Co., Ltd. Transparent conducting layer coated film and its use
US7939455B2 (en) 2006-09-29 2011-05-10 Tokyo Electron Limited Method for forming strained silicon nitride films and a device containing such films
US8268409B2 (en) 2006-10-25 2012-09-18 Asm America, Inc. Plasma-enhanced deposition of metal carbide films
US7727864B2 (en) * 2006-11-01 2010-06-01 Asm America, Inc. Controlled composition using plasma-enhanced atomic layer deposition
US7611751B2 (en) 2006-11-01 2009-11-03 Asm America, Inc. Vapor deposition of metal carbide films
US7598170B2 (en) 2007-01-26 2009-10-06 Asm America, Inc. Plasma-enhanced ALD of tantalum nitride films
CN101668879B (zh) * 2007-03-28 2012-05-09 陶氏康宁公司 含硅和碳的阻挡层的卷到卷等离子体增强化学气相沉积方法
US8080483B2 (en) * 2007-04-05 2011-12-20 Purdue Research Foundation Double gyroid structure nanoporous films and nanowire networks
US7713874B2 (en) 2007-05-02 2010-05-11 Asm America, Inc. Periodic plasma annealing in an ALD-type process
US7585762B2 (en) 2007-09-25 2009-09-08 Applied Materials, Inc. Vapor deposition processes for tantalum carbide nitride materials
US7794798B2 (en) 2007-09-29 2010-09-14 Tel Epion Inc. Method for depositing films using gas cluster ion beam processing
US7943531B2 (en) 2007-10-22 2011-05-17 Applied Materials, Inc. Methods for forming a silicon oxide layer over a substrate
KR100920033B1 (ko) 2007-12-10 2009-10-07 (주)피앤테크 에스아이오씨 박막 제조용 프리커서를 이용한 박막 형성방법
WO2009129332A2 (en) 2008-04-16 2009-10-22 Asm America, Inc. Atomic layer deposition of metal carbide films using aluminum hydrocarbon compounds
US8298628B2 (en) 2008-06-02 2012-10-30 Air Products And Chemicals, Inc. Low temperature deposition of silicon-containing films
KR101444707B1 (ko) 2008-06-03 2014-09-26 에어 프로덕츠 앤드 케미칼스, 인코오포레이티드 실리콘 함유 막의 저온 증착
US8129555B2 (en) * 2008-08-12 2012-03-06 Air Products And Chemicals, Inc. Precursors for depositing silicon-containing films and methods for making and using same
JP5364342B2 (ja) 2008-11-10 2013-12-11 株式会社東芝 不揮発性半導体記憶装置、及びその製造方法
US8637396B2 (en) * 2008-12-01 2014-01-28 Air Products And Chemicals, Inc. Dielectric barrier deposition using oxygen containing precursor
US20100148903A1 (en) * 2008-12-12 2010-06-17 General Electric Company Electrical energy transformation apparatus
JP5083200B2 (ja) 2008-12-25 2012-11-28 東洋製罐株式会社 蒸着膜を備えたプラスチック成形品
US8703624B2 (en) * 2009-03-13 2014-04-22 Air Products And Chemicals, Inc. Dielectric films comprising silicon and methods for making same
US8465812B2 (en) * 2009-03-23 2013-06-18 The Boeing Company Durable transparent intelligent coatings for polymeric transparencies
KR101183831B1 (ko) * 2009-05-25 2012-09-18 (주)석경에이티 단분산의 복합 실리카 미세입자의 제조방법
FR2949111B1 (fr) * 2009-08-13 2013-03-22 Essilor Int Procede de fabrication d'un substrat revetu d'un film antistatique mesoporeux et son application en optique ophtalmique
US10513772B2 (en) 2009-10-20 2019-12-24 Asm International N.V. Process for passivating dielectric films
US20110159202A1 (en) * 2009-12-29 2011-06-30 Asm Japan K.K. Method for Sealing Pores at Surface of Dielectric Layer by UV Light-Assisted CVD
US9076646B2 (en) 2010-04-15 2015-07-07 Lam Research Corporation Plasma enhanced atomic layer deposition with pulsed plasma exposure
US8637411B2 (en) 2010-04-15 2014-01-28 Novellus Systems, Inc. Plasma activated conformal dielectric film deposition
US9390909B2 (en) 2013-11-07 2016-07-12 Novellus Systems, Inc. Soft landing nanolaminates for advanced patterning
KR20120003677A (ko) 2010-07-05 2012-01-11 삼성전자주식회사 반도체 장치 및 그의 형성 방법
EP2598441B1 (en) * 2010-07-26 2021-01-06 Waters Technologies Corporation Superficially porous materials comprising a substantially nonporous core having narrow particle size distribution; process for the preparation thereof; and use thereof for chromatographic separations
KR101778287B1 (ko) 2010-08-30 2017-09-14 삼성전자주식회사 반도체 메모리 소자 및 그 제조방법
KR20120030193A (ko) 2010-09-17 2012-03-28 삼성전자주식회사 3차원 반도체 장치의 제조 방법
KR101787041B1 (ko) 2010-11-17 2017-10-18 삼성전자주식회사 식각방지막이 구비된 반도체 소자 및 그 제조방법
US20120208347A1 (en) 2011-02-11 2012-08-16 Samsung Electronics Co., Ltd. Three-dimensional semiconductor memory devices and methods of fabricating the same
JP2012169408A (ja) * 2011-02-14 2012-09-06 Taiyo Nippon Sanso Corp マスク用材料、マスクの形成方法、パターン形成方法、及びエッチング保護膜
US8647993B2 (en) 2011-04-11 2014-02-11 Novellus Systems, Inc. Methods for UV-assisted conformal film deposition
TWI504701B (zh) * 2011-04-28 2015-10-21 Fujifilm Corp 導電性構件、其製造方法、組成物、觸控面板及太陽電池
US8771807B2 (en) * 2011-05-24 2014-07-08 Air Products And Chemicals, Inc. Organoaminosilane precursors and methods for making and using same
WO2013016594A2 (en) * 2011-07-26 2013-01-31 Virginia Commonwealth University Abhesive coatings
KR20130015145A (ko) 2011-08-02 2013-02-13 삼성전자주식회사 반도체 소자의 미세 패턴 형성 방법
JP6199292B2 (ja) 2011-09-23 2017-09-20 ノベラス・システムズ・インコーポレーテッドNovellus Systems Incorporated プラズマ活性化されるコンフォーマル誘電体膜
WO2013043501A1 (en) 2011-09-23 2013-03-28 Applied Materials, Inc. Metal-aluminum alloy films from metal amidinate precursors and aluminum precursors
US8569184B2 (en) 2011-09-30 2013-10-29 Asm Japan K.K. Method for forming single-phase multi-element film by PEALD
US9478413B2 (en) * 2011-10-14 2016-10-25 Hitachi Kokusai Electric Inc. Method of manufacturing semiconductor device, substrate processing method, substrate processing apparatus, and recording medium
TWI541377B (zh) 2011-11-04 2016-07-11 Asm國際股份有限公司 形成摻雜二氧化矽薄膜的方法
DE102011117859A1 (de) 2011-11-08 2013-05-08 Fachhochschule Köln Prüfkörper und Verfahren zur Überprüfung der Übertragungseigenschaften von Volumentomographen
FR2982608B1 (fr) * 2011-11-16 2013-11-22 Saint Gobain Couche barriere aux metaux alcalins a base de sioc
JP5694129B2 (ja) 2011-11-29 2015-04-01 株式会社東芝 半導体装置及びその製造方法
US9200167B2 (en) 2012-01-27 2015-12-01 Air Products And Chemicals, Inc. Alkoxyaminosilane compounds and applications thereof
US9111746B2 (en) 2012-03-22 2015-08-18 Tokyo Electron Limited Method for reducing damage to low-k gate spacer during etching
US10787591B2 (en) * 2012-04-30 2020-09-29 The Boeing Company Composites including silicon-oxy-carbide layers and methods of making the same
US10211310B2 (en) 2012-06-12 2019-02-19 Novellus Systems, Inc. Remote plasma based deposition of SiOC class of films
US10325773B2 (en) 2012-06-12 2019-06-18 Novellus Systems, Inc. Conformal deposition of silicon carbide films
US20180330945A1 (en) * 2012-06-12 2018-11-15 Lam Research Corporation Remote plasma based deposition of silicon carbide films using silicon-containing and carbon-containing precursors
US10832904B2 (en) 2012-06-12 2020-11-10 Lam Research Corporation Remote plasma based deposition of oxygen doped silicon carbide films
TWI506734B (zh) 2012-07-13 2015-11-01 Macronix Int Co Ltd 嵌鑲字元線
JP2014022657A (ja) * 2012-07-20 2014-02-03 Fujifilm Corp エッチング方法、これを用いた半導体基板製品および半導体素子の製造方法、ならびにエッチング液調製用キット
TWI579322B (zh) * 2012-07-25 2017-04-21 國立交通大學 多孔性材料之製備方法
US9243324B2 (en) 2012-07-30 2016-01-26 Air Products And Chemicals, Inc. Methods of forming non-oxygen containing silicon-based films
NL2009306C2 (en) * 2012-08-09 2014-02-11 Stichting Energie Membranes for dewatering acid mixtures.
US9355839B2 (en) 2012-10-23 2016-05-31 Lam Research Corporation Sub-saturated atomic layer deposition and conformal film deposition
SG2013083654A (en) 2012-11-08 2014-06-27 Novellus Systems Inc Methods for depositing films on sensitive substrates
JP6415808B2 (ja) 2012-12-13 2018-10-31 株式会社Kokusai Electric 半導体装置の製造方法、基板処理装置およびプログラム
US9824881B2 (en) 2013-03-14 2017-11-21 Asm Ip Holding B.V. Si precursors for deposition of SiN at low temperatures
US9564309B2 (en) 2013-03-14 2017-02-07 Asm Ip Holding B.V. Si precursors for deposition of SiN at low temperatures
JP5998101B2 (ja) * 2013-05-24 2016-09-28 株式会社日立国際電気 半導体装置の製造方法、基板処理装置及びプログラム
JP5788448B2 (ja) 2013-09-09 2015-09-30 株式会社日立国際電気 半導体装置の製造方法、基板処理装置及びプログラム
US10453675B2 (en) 2013-09-20 2019-10-22 Versum Materials Us, Llc Organoaminosilane precursors and methods for depositing films comprising same
US9847222B2 (en) 2013-10-25 2017-12-19 Lam Research Corporation Treatment for flowable dielectric deposition on substrate surfaces
JP6246558B2 (ja) * 2013-10-29 2017-12-13 東京エレクトロン株式会社 シリコン酸炭窒化物膜、シリコン酸炭化物膜、シリコン酸窒化物膜の成膜方法および成膜装置
TWI654336B (zh) 2013-12-30 2019-03-21 美商蘭姆研究公司 具有脈衝式電漿曝露之電漿輔助式原子層沉積
JP6129756B2 (ja) 2014-01-24 2017-05-17 株式会社東芝 半導体装置及びその製造方法
TWI686499B (zh) 2014-02-04 2020-03-01 荷蘭商Asm Ip控股公司 金屬、金屬氧化物與介電質的選擇性沉積
US9171736B2 (en) 2014-03-03 2015-10-27 Tokyo Electron Limited Spacer material modification to improve K-value and etch properties
JP5883049B2 (ja) 2014-03-04 2016-03-09 株式会社日立国際電気 半導体装置の製造方法、基板処理装置、プログラムおよび記録媒体
US20150252477A1 (en) 2014-03-06 2015-09-10 Applied Materials, Inc. In-situ carbon and oxide doping of atomic layer deposition silicon nitride films
US20150275355A1 (en) 2014-03-26 2015-10-01 Air Products And Chemicals, Inc. Compositions and methods for the deposition of silicon oxide films
US10643925B2 (en) 2014-04-17 2020-05-05 Asm Ip Holding B.V. Fluorine-containing conductive films
US9418889B2 (en) * 2014-06-30 2016-08-16 Lam Research Corporation Selective formation of dielectric barriers for metal interconnects in semiconductor devices
US9401309B2 (en) 2014-08-26 2016-07-26 Sandisk Technologies Llc Multiheight contact via structures for a multilevel interconnect structure
JP6438038B2 (ja) * 2014-09-19 2018-12-12 株式会社Kokusai Electric 半導体装置の製造方法、基板処理装置および記録媒体
US9478414B2 (en) * 2014-09-26 2016-10-25 Asm Ip Holding B.V. Method for hydrophobization of surface of silicon-containing film by ALD
KR102332415B1 (ko) * 2014-10-24 2021-12-01 버슘머트리얼즈 유에스, 엘엘씨 실리콘-함유 막을 증착시키기 위한 조성물 및 이를 사용하는 방법
US10354860B2 (en) * 2015-01-29 2019-07-16 Versum Materials Us, Llc Method and precursors for manufacturing 3D devices
US9777025B2 (en) * 2015-03-30 2017-10-03 L'Air Liquide, Société pour l'Etude et l'Exploitation des Procédés Georges Claude Si-containing film forming precursors and methods of using the same
US9425097B1 (en) 2015-04-29 2016-08-23 Globalfoundries Inc. Cut first alternative for 2D self-aligned via
JP6968701B2 (ja) * 2015-05-02 2021-11-17 アプライド マテリアルズ インコーポレイテッドApplied Materials, Incorporated 低誘電率かつ低湿式エッチング速度の誘電体薄膜を堆積させるための方法
KR102307059B1 (ko) 2015-05-13 2021-10-05 삼성전자주식회사 반도체 장치
US9455138B1 (en) 2015-11-10 2016-09-27 Asm Ip Holding B.V. Method for forming dielectric film in trenches by PEALD using H-containing gas
US9786491B2 (en) 2015-11-12 2017-10-10 Asm Ip Holding B.V. Formation of SiOCN thin films
US9786492B2 (en) 2015-11-12 2017-10-10 Asm Ip Holding B.V. Formation of SiOCN thin films
US10283348B2 (en) * 2016-01-20 2019-05-07 Versum Materials Us, Llc High temperature atomic layer deposition of silicon-containing films
US10699897B2 (en) 2016-01-24 2020-06-30 Applied Materials, Inc. Acetylide-based silicon precursors and their use as ALD/CVD precursors
US10475642B2 (en) 2016-04-21 2019-11-12 Applied Materials, Inc. Doped and undoped vanadium oxides for low-k spacer applications
KR102378021B1 (ko) * 2016-05-06 2022-03-23 에이에스엠 아이피 홀딩 비.브이. SiOC 박막의 형성
US20170323785A1 (en) * 2016-05-06 2017-11-09 Lam Research Corporation Method to deposit conformal and low wet etch rate encapsulation layer using pecvd
KR102600997B1 (ko) 2016-06-02 2023-11-14 삼성전자주식회사 메모리 장치
US10062563B2 (en) 2016-07-01 2018-08-28 Lam Research Corporation Selective atomic layer deposition with post-dose treatment
EP3266790B1 (en) 2016-07-05 2019-11-06 Samsung Electronics Co., Ltd Organometallic compound, organic light-emitting device including the same, and diagnostic composition including the organometallic compound
KR20180046964A (ko) 2016-10-28 2018-05-10 삼성전자주식회사 반도체 메모리 소자
US10249489B2 (en) 2016-11-02 2019-04-02 Versum Materials Us, Llc Use of silyl bridged alkyl compounds for dense OSG films
US10134757B2 (en) 2016-11-07 2018-11-20 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by using the method
US10186420B2 (en) 2016-11-29 2019-01-22 Asm Ip Holding B.V. Formation of silicon-containing thin films
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10847529B2 (en) 2017-04-13 2020-11-24 Asm Ip Holding B.V. Substrate processing method and device manufactured by the same
US10504901B2 (en) 2017-04-26 2019-12-10 Asm Ip Holding B.V. Substrate processing method and device manufactured using the same
US11501965B2 (en) * 2017-05-05 2022-11-15 Asm Ip Holding B.V. Plasma enhanced deposition processes for controlled formation of metal oxide thin films
KR20240010760A (ko) 2017-05-05 2024-01-24 에이에스엠 아이피 홀딩 비.브이. 산소 함유 박막의 형성을 제어하기 위한 플라즈마 강화 증착 공정
CN115233183A (zh) 2017-05-16 2022-10-25 Asm Ip 控股有限公司 电介质上氧化物的选择性peald
TWI761636B (zh) 2017-12-04 2022-04-21 荷蘭商Asm Ip控股公司 電漿增強型原子層沉積製程及沉積碳氧化矽薄膜的方法

Also Published As

Publication number Publication date
US20200273697A1 (en) 2020-08-27
JP2017201692A (ja) 2017-11-09
US20230132743A1 (en) 2023-05-04
KR102378021B1 (ko) 2022-03-23
JP2021184478A (ja) 2021-12-02
JP7479334B2 (ja) 2024-05-08
US10600637B2 (en) 2020-03-24
US11562900B2 (en) 2023-01-24
KR20220039696A (ko) 2022-03-29
TW201740539A (zh) 2017-11-16
US20170323782A1 (en) 2017-11-09
TWI737723B (zh) 2021-09-01
KR20230044381A (ko) 2023-04-04
KR20170125748A (ko) 2017-11-15
JP6923355B2 (ja) 2021-08-18
KR102515145B1 (ko) 2023-03-29
JP2023113827A (ja) 2023-08-16
TWI810617B (zh) 2023-08-01
TW202141743A (zh) 2021-11-01

Similar Documents

Publication Publication Date Title
US11562900B2 (en) Formation of SiOC thin films
KR102627238B1 (ko) 산소 함유 박막의 형성을 제어하기 위한 플라즈마 강화 증착 공정
JP6950012B2 (ja) SiOCN薄膜の形成
US10186420B2 (en) Formation of silicon-containing thin films
US20200075322A1 (en) FORMATION OF SiOCN THIN FILMS
TWI835151B (zh) 在基板上形成碳氧化矽的方法