JP2023113827A - SiOC薄膜の形成 - Google Patents

SiOC薄膜の形成 Download PDF

Info

Publication number
JP2023113827A
JP2023113827A JP2023093216A JP2023093216A JP2023113827A JP 2023113827 A JP2023113827 A JP 2023113827A JP 2023093216 A JP2023093216 A JP 2023093216A JP 2023093216 A JP2023093216 A JP 2023093216A JP 2023113827 A JP2023113827 A JP 2023113827A
Authority
JP
Japan
Prior art keywords
sioc
reactant
substrate
plasma
hydrogen
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
JP2023093216A
Other languages
English (en)
Inventor
俊哉 鈴木
Toshiya Suzuki
ヴィジャミ ジェイ. ポア
J Pore Viljami
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
ASM IP Holding BV
Original Assignee
ASM IP Holding BV
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by ASM IP Holding BV filed Critical ASM IP Holding BV
Publication of JP2023113827A publication Critical patent/JP2023113827A/ja
Pending legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02126Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing Si, O, and at least one of H, N, C, F, or other non-metal elements, e.g. SiOC, SiOC:H or SiONC
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/32Carbides
    • C23C16/325Silicon carbide
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/40Oxides
    • C23C16/401Oxides containing silicon
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/4401Means for minimising impurities, e.g. dust, moisture or residual gas, in the reaction chamber
    • C23C16/4408Means for minimising impurities, e.g. dust, moisture or residual gas, in the reaction chamber by purging residual gases from the reaction chamber or gas lines
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45527Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations
    • C23C16/45536Use of plasma, radiation or electromagnetic fields
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45553Atomic layer deposition [ALD] characterized by the use of precursors specially adapted for ALD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02205Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
    • H01L21/02208Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02205Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
    • H01L21/02208Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si
    • H01L21/02214Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound comprising silicon and oxygen
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/02274Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition in the presence of a plasma [PECVD]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/0228Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition deposition by cyclic CVD, e.g. ALD, ALE, pulsed CVD

Abstract

【課題】シリコンオキシカーバイド(SiOC)薄膜を反応空間において基板上に堆積させる半導体素子製造方法を提供する。【解決手段】方法は、窒素を含まないケイ素前駆体及び酸素を含まない第2の反応物に、基板を交互に順次接触させるステップ120を含む、少なくとも1回のプラズマエンハンスト原子層堆積(PEALD)サイクルを含むステップ160を含む。方法はまた、耐酸性ウェットエッチ性が改善されたSiOC膜の堆積を可能にする。【選択図】図1

Description

本開示は、一般に、半導体素子製造の分野に関し、より詳細には、望ましい耐薬品性を有するシリコンオキシカーバイド(SiOC)膜の形成に関する。
誘電率(k)値が比較的低く、酸性ウェットエッチ速度が比較的遅い誘電材料がますます必要とされている。シリコンオキシカーバイド又はシリコンオキシカーボナイトライド(SiOCN)は、これらの要求の幾つかを満たし得る。一般に、SiOC又はSiOCNの堆積プロセスは、酸素プラズマを必要とする。さらに、SiOCN膜の窒素は、処理中に問題を生じる恐れがあり、例えば、SiOCN膜はフォトレジスト中毒を引き起こす恐れがある。
一部の実施形態においては、反応空間において基板上にシリコンオキシカーバイド(SiOC)薄膜を形成するプラズマエンハンスト原子層堆積プロセスを提供する。一部の実施形態においては、該プロセス又は方法は、窒素を含まない気相ケイ素前駆体に基板の表面を接触させるステップと、水素を含む第2の反応物から形成されたプラズマによって生成された少なくとも1種の反応種に吸着ケイ素種を接触させるステップであって、第2の反応物が酸素を含まないステップと、所望の厚さのSiOC膜が形成されるまで前記接触させるステップを任意選択で繰り返すステップとを含む少なくとも1回の堆積サイクルを含むことができる。
一部の実施形態においては、SiOC薄膜のウェットエッチ速度と熱酸化ケイ素のウェットエッチ速度との比が約5未満である。一部の実施形態においては、SiOC薄膜のウェットエッチ速度と熱酸化ケイ素のウェットエッチ速度との比が約0.3未満である。一部の実施形態においては、SiOC薄膜のウェットエッチ速度と熱酸化ケイ素のウェットエッチ速度との比が約0.1未満である。一部の実施形態においては、SiOC薄膜が基板上の3次元構造体上に堆積する。一部の実施形態においては、3次元構造体の上面に形成されたSiOCのウェットエッチ速度と3次元構造体の側壁表面に形成されたSiOCのウェットエッチ速度とのウェットエッチ速度比が、希釈HF中で約1:1である。
一部の実施形態においては、気相ケイ素前駆体は、ハロゲンを含まない。一部の実施形態においては、気相ケイ素前駆体は、ビス(トリエトキシシリル)エタン(BTESE)を含む。一部の実施形態においては、気相ケイ素前駆体は、3-メトキシプロピルトリメトキシシラン(MPTMS)を含む。一部の実施形態においては、気相ケイ素前駆体は、(3-メルカプトプロピル)トリメトキシシランを含む。一部の実施形態においては、反応種は、水素プラズマ、水素原子、水素ラジカル又は水素イオンを含む。一部の実施形態においては、反応種は、貴ガスを含む第2の反応物から生成する。一部の実施形態においては、第2の反応物は、Hを含む。一部の実施形態においては、反応種は、約20原子%未満の窒素を含む第2の反応物から生成する。一部の実施形態においては、第2の反応物は、本質的にHからなる。
一部の実施形態においては、SiOC薄膜は、少なくとも20原子%の酸素を含む。一部の実施形態においては、SiOC薄膜は、少なくとも0.1原子%の炭素を含む。一部の実施形態においては、SiOC薄膜は、少なくとも1原子%の炭素を含む。一部の実施形態においては、SiOC薄膜は、少なくとも5原子%の炭素を含む。一部の実施形態においては、SiOC薄膜は、約10原子%未満の窒素を含む。
一部の実施形態においては、反応空間において基板上にシリコンオキシカーバイド(SiOC)薄膜を形成する方法を提供する。一部の実施形態においては、こうした方法は、複数の堆積サイクルを含むことができ、少なくとも1回の堆積サイクルは、窒素を含まないケイ素前駆体及び水素を含む少なくとも1種の反応種を含む第2の反応物に基板の表面を交互に順次接触させるステップを含む。一部の実施形態においては、堆積サイクルを2回以上繰り返して、SiOC薄膜を形成する。
一部の実施形態においては、少なくとも1種の反応種は、酸素を含まないガスから形成されたプラズマによって生成する。一部の実施形態においては、少なくとも1種の反応種は、窒素を含まないガスから形成されたプラズマによって生成する。一部の実施形態においては、ケイ素前駆体は、一般式(RIIO)Si-R-Si(ORIIを有し、式中、R及びRIIは、独立に選択されるC~Cアルキルリガンドである。一部の実施形態においては、ケイ素前駆体は、BTESEを含む。一部の実施形態においては、ケイ素前駆体は、一般式Si(OR4-xII を有し、式中、xは0~3の整数であり、Rは、独立に選択されるC~Cアルキルリガンドであり、RIIは、炭素及び/又は水素及び/又は酸素からなる独立に選択されるリガンドである。一部の実施形態においては、ケイ素前駆体は、MPTMSを含む。一部の実施形態においては、ケイ素前駆体は、一般式(RO)4-xSi-(RII-O-RIIIを有し、式中、xは0~3の整数であり、R及びRIIは、各々独立に選択されるC~Cアルキルリガンドであり、RIIIは、炭素及び/又は水素及び/又は酸素からなる独立に選択されるリガンドである。
一部の実施形態においては、少なくとも1回の堆積サイクルは、PEALDサイクルである。一部の実施形態においては、反応種は、5ワット(W)~約5000WのRFパワーを第2の反応物に印加することによって生成する。一部の実施形態においては、堆積サイクルを約100℃~約300℃のプロセス温度で実施する。一部の実施形態においては、堆積サイクルを約100℃未満のプロセス温度で実施する。一部の実施形態においては、基板は、有機材料を含む。
一部の実施形態においては、反応空間において基板上にシリコンオキシカーバイド(SiOC)薄膜を形成する方法を提供する。一部の実施形態においては、該方法は、窒素を含まないケイ素前駆体に基板の表面を接触させるステップと、基板をパージガス及び/又は真空にさらして、過剰のケイ素前駆体及び反応副生物がもしあればそれらを除去するステップと、水素を含む第2の反応物に基板の表面を接触させるステップであって、第2の反応物が、プラズマによって生成された少なくとも1種の反応種を含むステップと、基板をパージガス及び/又は真空にさらして、過剰の第2の反応物及び反応副生物がもしあればそれらを除去するステップと、所望の厚さのSiOC薄膜が形成されるまで前記接触させるステップを繰り返すステップとを含むことができる。
本開示の一部の実施形態に係るプラズマエンハンスト原子層堆積(PEALD:plasma enhanced atomic layer deposition)プロセスによってシリコンオキシカーバイド(SiOC)薄膜を堆積させるプロセスフロー図である。 一部の実施形態に係る例示的なケイ素前駆体の分子構造を示す図である。 一部の実施形態に従って堆積したSiOC試料膜についての前駆体ボトル温度とSiOC膜成長速度のプロットである。 一部の実施形態に従って堆積した膜についてのプラズマ出力の関数としてのSiOC膜成長速度、屈折率、及びdHF(0.5重量%)中の熱酸化ケイ素に対するウェットエッチ速度比(TOXに対するWERR:wet etch rate ratio)を示す図である。 一部の実施形態に従って堆積した膜についてのSiOC膜成長速度と第2の反応物ガス組成を示す図である。
シリコンオキシカーバイド(SiOC)膜は、当業者には明らかなように、例えば、集積回路製作において、多種多様な適用例がある。より具体的には、エッチ速度が遅いSiOC膜は、半導体産業と半導体産業の外部の両方で多種多様な適用例がある。SiOC膜は、例えば、エッチストップ層、犠牲層、low‐kスペーサ、反射防止層(ARL:anti-reflection layer)及び不動態化層として有用であり得る。
本開示の一部の実施形態によれば、種々のSiOC膜、前駆体、及び前記膜を堆積させる方法が提供される。一部の実施形態においては、SiOC膜は、例えばdHF中で、ウェットエッチ速度が比較的遅い。
一部の実施形態においては、SiOC薄膜をプラズマエンハンスト原子層堆積(PEALD)プロセスによって基板上に堆積させる。一部の実施形態においては、SiOC薄膜を液相法で堆積させない。一部の実施形態においては、SiOC薄膜をフィン型FET素子の形成におけるフィンなどの3次元構造体上に堆積させる。
シリコンオキシカーバイド膜の式を本明細書では便宜上かつ簡潔にするために一般にSiOCと称する。本明細書では、SiOCは、膜中のSi、O、C及び/又は任意の他の元素のいずれかの結合又は化学状態、例えば、酸化状態を限定、制限又は規定することを意図したものではない。さらに、一部の実施形態においては、SiOC薄膜は、Si、O及び/又はCに加えてSなどの1種以上の元素を含むことができる。一部の実施形態においては、SiOC膜は、Si-C結合及び/又はSi-O結合を含むことができる。一部の実施形態においては、SiOC膜は、Si-C結合及びSi-O結合を含むことができ、Si-N結合を含まなくてもよい。一部の実施形態においては、SiOC膜は、Si-C及び/又はSi-O結合に加えてSi-S結合を含むことができる。一部の実施形態においては、SiOC膜は、Si-C結合よりも多くのSi-O結合を含むことができ、例えば、Si-O結合とSi-C結合の比を約1:1~約10:1とすることができる。一部の実施形態においては、SiOCは、原子基準で約0%~約40%の炭素を含むことができる。一部の実施形態においては、SiOCは、原子基準で約0.1%~約40%、約0.5%~約30%、約1%~約30%、又は約5%~約20%の炭素を含むことができる。一部の実施形態においては、SiOC膜は、原子基準で約0%~約70%の酸素を含むことができる。一部の実施形態においては、SiOCは、原子基準で約10%~約70%、約15%~約50%、又は約20%~約40%の酸素を含むことができる。一部の実施形態においては、SiOC膜は、原子基準で約0%~約50%のケイ素を含むことができる。一部の実施形態においては、SiOCは、原子基準で約10%~約50%、約15%~約40%、又は約20%~約35%のケイ素を含むことができる。一部の実施形態においては、SiOCは、原子基準で約0.1%~約40%、約0.5%~約30%、約1%~約30%、又は約5%~約20%の硫黄を含むことができる。一部の実施形態においては、SiOC膜は窒素を含まなくてもよい。幾つかの他の実施形態においては、SiOC膜は、原子基準(at%)で約0%~約5%の窒素を含むことができる。
ALD型プロセスは、制御された一般に自己制限的な表面反応に基づく。気相反応は、一般に、基板を反応物と交互に順次接触させることによって回避される。気相反応物は、例えば、過剰の反応物及び/又は反応副生物を反応物パルスの間に除去することによって、反応室中で互いに分離される。反応物は、基板表面の近くからパージガス及び/又は真空を利用して除去することができる。一部の実施形態においては、過剰の反応物及び/又は反応副生物を、例えば、不活性ガスでパージして、反応空間から除去する。
一部の実施形態においては、プラズマエンハンストALD(PEALD)プロセスを使用して、SiOC膜を堆積させる。一部の実施形態においては、本明細書に記載のPEALDプロセスは、酸素プラズマを使用しない。一部の実施形態においては、本明細書に記載のPEALDプロセスは、酸素プラズマを含む反応物を含まない。一部の実施形態においては、本明細書に記載のPEALDプロセスは、窒素プラズマを使用しない。一部の実施形態においては、本明細書に記載のPEALDプロセスは、窒素プラズマを含む反応物を含まない。一部の実施形態においては、本明細書に記載のPEALDプロセスは、水素プラズマを使用することができる。一部の実施形態においては、本明細書に記載のPEALDプロセスは、水素プラズマを含む反応物を含むことができる。手短に述べると、基板又は被処理体を反応室内に配置し、交互に繰り返す表面反応に供する。一部の実施形態においては、薄いSiOC膜を自己制限的なALDサイクルの反復によって形成する。一部の実施形態においては、SiOC膜を形成するために、各ALDサイクルは、少なくとも2つの異なる段階を含む。反応物又は前駆体の接触及び基板からの除去を1段階と考えることができる。第1の段階においては、ケイ素を含む気相第1反応物又は前駆体が基板に接触し、基板表面に約1つ以下の単層を形成する。この反応物を本明細書では「ケイ素前駆体」、「ケイ素含有前駆体」又は「ケイ素反応物」とも称し、例えば、ビス(トリエトキシシリル)エタン(BTESE)又は3-メトキシプロピルトリメトキシシラン(MPTMS)とすることができる。一部の実施形態においては、過剰の第1の気相反応物及びどんな反応副生物でも基板表面の近くから除去する。第1の気相反応物及びどんな反応副生物でも、パージガス及び/又は真空を利用して、基板表面の近くから除去することができる。一部の実施形態においては、過剰の反応物及び/又は反応副生物を、例えば、不活性ガスでパージして、反応空間から除去する。一部の実施形態においては、反応物及び/又は反応副生物の除去を容易にするために、例えば、基板を異なる反応室に移すことによって、基板を移すことができる。
第2の段階においては、反応種を含む第2の反応物が基板に接触し、吸着ケイ素種をSiOCに転化させることができる。一部の実施形態においては、第2の反応物は水素前駆体を含む。一部の実施形態においては、反応種は励起種を含む。一部の実施形態においては、第2の反応物は、水素含有プラズマ由来の種を含む。一部の実施形態においては、第2の反応物は、水素ラジカル、水素原子及び/又は水素プラズマを含む。第2の反応物は、水素前駆体ではない別の種を含むことができる。一部の実施形態においては、第2の反応物は、He、Ne、Ar、Kr又はXeの1種以上などの貴ガス由来の種を、例えば、ラジカルとして、プラズマの形で、又は元素の形で含むことができる。貴ガス由来のこれらの反応種は、必ずしも材料を堆積膜に与えないが、一部の状況においては、膜成長に寄与し、プラズマの形成及び点火に役立つことができる。一部の実施形態においては、貴ガスから生成する反応種は、下地基板の損傷の量又は程度に影響し得る。当業者は、特定の用途に適切な貴ガス(単数又は複数)を選択することができる。一部の実施形態においては、プラズマの形成に使用されるガスは、堆積プロセスを通して常に流すことができるが、断続的にしか活性化することができない。一部の実施形態においては、プラズマの形成に使用されるガスは、酸素を含まない。一部の実施形態においては、吸着ケイ素前駆体は、酸素からプラズマによって生成された反応種と接触しない。一部の実施形態においては、反応種を含む第2の反応物は、酸素を含まないガス中で生成する。例えば、一部の実施形態においては、第2の反応物は、酸素を含まないガス中で発生したプラズマを含むことができる。一部の実施形態においては、第2の反応物は、約50原子%(at%)未満の酸素、約30原子%未満の酸素、約10原子%未満の酸素、約5原子%未満の酸素、約1原子%未満の酸素、約0.1原子%未満の酸素、約0.01原子%未満の酸素、又は約0.001原子%未満の酸素を含むガス中で生成することができる。
一部の実施形態においては、プラズマの形成に使用されるガスは、窒素を含まない。一部の実施形態においては、吸着ケイ素前駆体は、窒素からプラズマによって生成された反応種と接触しない。一部の実施形態においては、反応種を含む第2の反応物は、窒素を含まないガス中で生成する。例えば、一部の実施形態においては、第2の反応物は、窒素を含まないガス中で発生したプラズマを含むことができる。しかし、一部の実施形態においては、プラズマの形成に使用されるガスは、窒素を含むことができる。幾つかの他の実施形態においては、第2の反応物は、窒素ラジカル、窒素原子及び/又は窒素プラズマを含むことができる。一部の実施形態においては、第2の反応物は、約25原子%(at%)未満の窒素、約20原子%未満の窒素、約15原子%未満の窒素、約10原子%未満の窒素、約5原子%未満の窒素、約1原子%未満の窒素、約0.1原子%未満の窒素、約0.01原子%未満の窒素、又は約0.001原子%未満の窒素を含むガス中で生成することができる。一部の実施形態においては、第2の反応物は、水素及び窒素を含むガス中で生成することができ、例えば、第2の反応物は、H及びNを含むことができる。一部の実施形態においては、第2の反応物は、NとHの比(N/H)が約20%未満、約10%未満又は約5%未満であるガス中で生成することができる。
一部の実施形態においては、プラズマの形成に使用されるガスは、窒素も酸素も含まない。一部の実施形態においては、吸着ケイ素前駆体は、窒素又は酸素からプラズマによって生成された反応種と接触しない。一部の実施形態においては、反応種を含む第2の反応物は、窒素も酸素も含まないガス中で生成する。例えば、一部の実施形態においては、第2の反応物は、窒素も酸素も含まないガス中で発生したプラズマを含むことができる。
一部の実施形態においては、過剰の第2の反応物及びどんな反応副生物でも基板表面の近くから除去する。第2の反応物及びどんな反応副生物でも、パージガス及び/又は真空を利用して、基板表面の近くから除去することができる。一部の実施形態においては、過剰の反応物及び/又は反応副生物を、例えば、不活性ガスでパージして、反応空間から除去する。一部の実施形態においては、反応物及び/又は反応副生物の除去を容易にするために、例えば、基板を異なる反応室に移動することによって、基板を移動することができる。
更なる段階を追加し、また、段階を必要に応じて省略して、最終膜の組成を調節することができる。
Ar、Heなどのキャリアガスを利用して1種以上の反応物を供給することができる。一部の実施形態においては、ケイ素前駆体及び第2の反応物をキャリアガスを利用して供給する。
一部の実施形態においては、2つの段階が重複しても、組み合わされてもよい。例えば、ケイ素前駆体と第2の反応物を、部分的又は完全に重複する段階で同時に基板に接触させることができる。さらに、第1及び第2の段階、並びに第1及び第2の反応物と称するとはいえ、段階の順序を変えることができ、ALDサイクルを段階の任意の一つから開始することができる。すなわち、別段の記載がない限り、反応物を基板と任意の順序で接触させることができ、プロセスを反応物のどれからでも開始することができる。
以下でより詳細に考察するように、SiOC膜を堆積させる一部の実施形態においては、基板をケイ素前駆体、続いて第2の前駆体に接触させることによって1回以上の堆積サイクルを開始する。別の実施形態においては、基板を第2の前駆体、続いてケイ素前駆体に接触させることによって堆積を開始することができる。
一部の実施形態においては、半導体被処理体などの堆積させようとする基板を反応空間又は反応器内に搬入する。反応器は、集積回路の形成において種々のプロセスがその中で実施されるクラスタツールの一部とすることができる。一部の実施形態においては、フロー式反応器を利用する。一部の実施形態においては、シャワーヘッド型の反応器を利用する。一部の実施形態においては、空間分割型反応器を利用する。一部の実施形態においては、大量生産可能な枚葉式ALD反応器を使用する。別の実施形態においては、複数の基板を含むバッチ式反応器を使用する。バッチ式ALD反応器を使用する実施形態の場合、基板の数は、10~200個の範囲、50~150個の範囲、又は100~130個の範囲である。
使用することができる適切な反応器の例としては、アリゾナ州フェニックスのASM America,Inc.及びオランダ、アルメア(Almere)のASM Europe B.V.から入手可能なF-120(登録商標)反応器、F-450(登録商標)反応器、Pulsar(登録商標)2000、Pulsar(登録商標)3000などのPulsar(登録商標)反応器、EmerALD(登録商標)反応器、Advance(登録商標)400シリーズ反応器などの市販装置が挙げられる。他の市販反応器としては、商品名Eagle(登録商標)XP及びXP8のASM Japan K.K(東京、日本)製反応器が挙げられる。
一部の実施形態においては、必要に応じて、被処理体の露出表面を前処理して、ALDプロセスの第1の段階と反応する反応部位を用意することができる。一部の実施形態においては、別個の前処理ステップが不要である。一部の実施形態においては、基板を前処理して、所望の表面終端を施す。一部の実施形態においては、基板をプラズマで前処理する。
過剰の反応物及び反応副生物があれば、それらを反応物接触段階の間に基板の近傍から、特に基板表面から除去する。一部の実施形態においては、過剰の反応物及び反応副生物があれば、例えば、不活性ガスでパージするなど、反応物接触段階の間に反応室をパージすることによって、それらを基板表面から除去する。各反応物の流量及び接触時間は、調節可能であり、除去ステップも同様であり、膜の品質及び諸性質の制御が可能である。
上述したように、一部の実施形態においては、各堆積サイクル中又は全ALDプロセス中にガスを反応室に連続的に供給し、反応室又は反応室の上流においてガス中でプラズマを発生させることによって反応種を供給する。一部の実施形態においては、ガスは窒素を含まない。一部の実施形態においては、ガスは、ヘリウム、アルゴンなどの貴ガスを含むことができる。一部の実施形態においては、ガスはヘリウムである。一部の実施形態においては、ガスはアルゴンである。流動ガスは、第1及び/又は第2の反応物(又は反応種)のパージガスとしても働くことができる。例えば、流動アルゴンは、第1のケイ素前駆体のパージガスとして働くことができ、第2の反応物として(反応種の供給源として)も働くことができる。一部の実施形態においては、アルゴン又はヘリウムは、第1の前駆体のパージガス、及びケイ素前駆体をSiOC膜に転化させるための励起種の供給源として働くことができる。一部の実施形態においては、プラズマがその中で生成するガスは、窒素を含まず、吸着ケイ素前駆体は、窒素からプラズマによって生成された反応種と接触しない。一部の実施形態においては、プラズマがその中で生成するガスは、酸素を含まず、吸着ケイ素前駆体は、酸素からプラズマによって生成された反応種と接触しない。一部の実施形態においては、プラズマがその中で生成するガスは、酸素も窒素も含まず、吸着ケイ素前駆体は、酸素又は窒素からプラズマによって生成された反応種と接触しない。
サイクルは、所望の厚さ及び組成の膜が得られるまで繰り返される。一部の実施形態においては、前駆体流量、接触時間、除去時間及び/又は反応物自体などの堆積パラメータは、所望の特性を有する膜を得るために、ALDプロセス中に1回以上の堆積サイクルにおいて変更することができる。
一部の実施形態においては、基板の表面を反応物に接触させる。一部の実施形態においては、1パルスの反応物を、基板を含む反応空間に供給する。「パルス」という用語は、反応物を反応室に所定の時間供給することを含むと理解することができる。「パルス」という用語は、パルスの長さ又は持続時間を限定せず、パルスを任意の時間とすることができる。一部の実施形態においては、反応物を含む反応空間に基板を移す。一部の実施形態においては、続いて、基板を第1の反応物を含む反応空間から第2の反応物を含む第2の異なる反応空間に移す。
一部の実施形態においては、基板を最初にケイ素反応物に接触させる。最初の表面終端後、必要に応じて、又は所望であれば、基板を第1のケイ素反応物に接触させる。一部の実施形態においては、第1のケイ素反応物パルスを被処理体に供給する。一部の実施形態によれば、第1の反応物パルスは、キャリアガス流、及び目的とする被処理体表面と反応しやすいBTESE、MPTMSなどの揮発性ケイ素種を含む。したがって、ケイ素反応物は、これらの被処理体表面に吸着する。第1の反応物パルスは、被処理体表面をケイ素反応物種で自己飽和させて、第1の反応物パルスの過剰の成分がこのプロセスによって形成された分子層と更に反応しないようにする。
第1のケイ素反応物パルスは、ガス状で供給することができる。ケイ素前駆体ガスは、プロセス条件下で露出表面を飽和させるのに十分な濃度で被処理体に該種を移送するのに十分な蒸気圧を示す場合、本明細書では「揮発性」とみなす。
一部の実施形態においては、ケイ素反応物は、表面と約0.05秒~約5.0秒、約0.1秒~約3秒、又は約0.2秒~約1.0秒間接触する。最適接触時間は、個々の状況に基づいて当業者が容易に決定することができる。
約1つの分子層が基板表面に吸着するのに十分な時間の後、過剰の第1のケイ素反応物、及び反応副生物があれば、それらを基板表面から除去する。一部の実施形態においては、過剰の反応物及び反応副生物があればそれらの除去は、反応室のパージを含むことができる。一部の実施形態においては、過剰の反応物及び反応副生物があれば、それらを反応空間から拡散させる又はパージするのに十分な時間キャリアガス又はパージガスを流し続けながら、第1の反応物の流れを停止することによって、反応室をパージすることができる。一部の実施形態においては、過剰の第1の前駆体を、ALDサイクル全体を通して流れるヘリウム、アルゴンなどの不活性ガスを利用してパージする。一部の実施形態においては、基板を第1の反応物を含む反応空間から第2の異なる反応空間に移すことができる。一部の実施形態においては、第1の反応物を約0.1秒~約10秒、約0.3秒~約5秒、又は約0.3秒~約1秒間除去する。ケイ素反応物の接触及び除去をALDサイクルの第1又はケイ素段階とみなすことができる。
第2の段階においては、水素プラズマなどの反応種を含む第2の反応物を被処理体に供給する。水素プラズマは、反応室又は反応室の上流において水素中でプラズマを発生させることによって、例えば、水素(H)をリモートプラズマ発生装置に流すことによって、形成することができる。
一部の実施形態においては、プラズマは、流動Hガス中で発生する。一部の実施形態においては、プラズマが点火される前に、又は水素原子若しくはラジカルが形成される前に、Hを反応室に供給する。一部の実施形態においては、Hを反応室に連続的に供給し、水素含有プラズマ、原子又はラジカルを必要に応じて生成又は供給する。
一般に、例えば水素プラズマを含む、第2の反応物を基板と約0.1秒~約10秒間接触させる。一部の実施形態においては、水素含有プラズマなどの第2の反応物を基板と約0.1秒~約10秒、0.5秒~約5秒、又は0.5秒~約2.0秒間接触させる。しかしながら、反応器タイプ、基板タイプ及びその表面積に応じて、第2の反応物接触時間を約10秒よりも更に長くすることができる。一部の実施形態においては、接触時間を数分間にすることができる。最適接触時間は、個々の状況に基づいて当業者が容易に決定することができる。
一部の実施形態においては、第2の反応物を2つ以上の異なるパルスで供給し、2つ以上のパルスのどの間でも他の反応物を導入しない。例えば、一部の実施形態においては、水素含有プラズマなどのプラズマを2つ以上の連続したパルスで供給し、連続したパルスの間にSi前駆体を導入しない。一部の実施形態においては、プラズマ放電を第1の時間供給し、プラズマ放電を第2の時間、例えば、約0.1秒~約10秒、約0.5秒~約5秒、又は約1.0秒~約4.0秒間消し、Si前駆体又はパージステップの前などの別の前駆体又は除去ステップの導入の前にそれを再度第3の時間励起させることによって、プラズマの供給中に2つ以上の連続したプラズマパルスを発生させる。追加のパルスのプラズマを同様に導入することができる。一部の実施形態においては、プラズマをパルスの各々において同じ時間点火する。
一部の実施形態においては、約5W~約5000W、10W~約2000W、約50W~約1000W、又は約200W~約800WのRFパワーを印加することによって、プラズマ、例えば、水素含有プラズマを発生させることができる。一部の実施形態においては、RFパワー密度を約0.02W/cm~約2.0W/cm、又は約0.05W/cm~約1.5W/cmとすることができる。RFパワーは、プラズマ接触時間中に流れる、反応室を通って連続的に流れる、及び/又はリモートプラズマ発生装置を通って流れる第2の反応物に印加することができる。したがって、一部の実施形態においては、プラズマをその場所で発生させ、別の実施形態においては、プラズマをリモートで発生させる。一部の実施形態においては、シャワーヘッド反応器を利用し、プラズマをサセプタ(その上に基板が位置する)とシャワーヘッドプレートの間で発生させる。一部の実施形態においては、サセプタとシャワーヘッドプレートの間隔は、約0.1cm~約20cm、約0.5cm~約5cm、又は約0.8cm~約3.0cmである。
以前に吸着したケイ素種の分子層をプラズマパルスで完全に飽和させ、プラズマパルスと反応させるのに十分な時間の後に、過剰の反応物及び反応副生物を基板表面から除去する。
一部の実施形態においては、過剰の反応物及び反応副生物があればそれらの除去は、反応室のパージを含むことができる。一部の実施形態においては、過剰の反応物及び反応副生物があれば、それらを反応空間から拡散させる又はパージするのに十分な時間キャリアガス又はパージガスを流し続けながら、第2の反応物の流れを停止することによって、反応室をパージすることができる。一部の実施形態においては、過剰の第2の前駆体を、ALDサイクル全体を通して流れるヘリウム、アルゴンなどの不活性ガスを利用してパージする。一部の実施形態においては、基板を第2の反応物を含む反応空間から異なる反応空間に移すことができる。除去は、一部の実施形態においては、約0.1秒~約10秒、約0.1秒~約4秒、又は約0.1秒~約0.5秒とすることができる。全体として、反応種の接触と除去は、SiOC原子層堆積サイクルにおける第2の反応種段階である。
2つの段階は一緒に1つのALDサイクルを成し、繰り返されて、所望の厚さのSiOC薄膜を形成する。ALDサイクルは一般に本明細書ではケイ素段階で始まるとするが、別の実施形態においては、サイクルを反応種段階で開始し得ることが企図される。当業者は、第1の前駆体段階が、一般に、前のサイクルの最終段階によって残された終端と反応することを認識するはずである。したがって、反応種段階が第1のALDサイクルにおける第1段階であれば、反応物は、恐らく、基板表面に前もって吸着せず、反応空間にも存在しないが、それに続くサイクルにおいては、反応種段階はケイ素段階に効果的に続く。一部の実施形態においては、1回以上の異なるALDサイクルが堆積プロセスにおいて用意される。
本開示の一部の実施形態によれば、PEALD反応を約25℃~約700℃、約50℃~約600℃、約100℃~約450℃、又は約200℃~約400℃の温度で実施することができる。一部の実施形態においては、最適反応器温度を最大許容熱履歴によって制限することができる。したがって、一部の実施形態においては、反応温度は約100℃~約300℃である。一部の適用例においては、最高温度が約200℃であり、したがって、PEALDプロセスはその反応温度で行われる。
薄膜が堆積する基板は、種々のタイプの材料を含むことができる。一部の実施形態においては、基板は、集積回路被処理体を含むことができる。一部の実施形態においては、基板はケイ素を含むことができる。一部の実施形態においては、基板は、酸化ケイ素、例えば、熱酸化物を含むことができる。一部の実施形態においては、基板は、高k誘電材料を含むことができる。一部の実施形態においては、基板は炭素を含むことができる。例えば、基板は、無定形炭素層、グラフェン及び/又はカーボンナノチューブを含むことができる。
一部の実施形態においては、基板は、W、Cu、Ni、Co及び/又はAlを含めて、ただしそれだけに限定されない金属を含むことができる。一部の実施形態においては、基板は、TiN及び/又はTaNを含めて、ただしそれだけに限定されない金属窒化物を含むことができる。一部の実施形態においては、基板は、TiC及び/又はTaCを含めて、ただしそれだけに限定されない金属炭化物を含むことができる。一部の実施形態においては、基板は、MoS、SbTe及び/又はGeTeを含めて、ただしそれだけに限定されない金属カルコゲニドを含むことができる。一部の実施形態においては、基板は、酸素プラズマプロセスへの暴露によって酸化されるが、本明細書に記載のPEALDプロセスによって酸化されない材料を含むことができる。
一部の実施形態においては、本明細書に記載のPEALDプロセスに使用される基板は、有機材料を含むことができる。例えば、基板は、プラスチック、ポリマー及び/又はフォトレジストなどの有機材料を含むことができる。基板が有機材料を含む一部の実施形態においては、PEALDプロセスの反応温度を約200℃未満とすることができる。一部の実施形態においては、反応温度を約150℃未満、約100℃未満、約75℃未満又は約50℃未満とすることができる。
基板が有機材料を含む一部の実施形態においては、最高プロセス温度を100℃もの低温とすることができる。基板が有機材料を含む一部の実施形態においては、酸素から発生するプラズマがないと、さもなければ酸素から発生するプラズマを含む堆積プロセスにおいて劣化するかもしれない有機材料上でのSiOC薄膜の堆積を可能にすることができる。
本開示の一部の実施形態によれば、処理中の反応室の圧力を約0.01Torr~約50Torr、又は約0.1Torr~約10Torrに維持する。一部の実施形態においては、反応室の圧力は、約6Torr又は約20Torrを超える。一部の実施形態においては、SiOC堆積プロセスを約20Torr~約500Torr、約20Torr~約50Torr、又は約20Torr~約30Torrの圧力で実施することができる。
一部の実施形態においては、SiOC堆積プロセスは、複数の堆積サイクルを含むことができ、少なくとも1回の堆積サイクルを高圧領域で行う。例えば、PEALDプロセスの堆積サイクルは、基板をケイ素前駆体及び第2の反応物と高圧下で交互に順次接触させるステップを含むことができる。一部の実施形態においては、PEALDプロセスの1回以上の堆積サイクルを約6Torr~約500Torr、約6Torr~約50Torr、又は約6Torr~約100Torrのプロセス圧力で実施することができる。一部の実施形態においては、1回以上の堆積サイクルを、約20Torr~約500Torr、約30Torr~約500Torr、約40Torr~約500Torr、又は約50Torr~約500Torrを含めて、約20Torrを超えるプロセス圧力で実施することができる。一部の実施形態においては、1回以上の堆積サイクルを約20Torr~約30Torr、約20Torr~約100Torr、約30Torr~約100Torr、約40Torr~約100Torr、又は約50Torr~約100Torrのプロセス圧力で実施することができる。
SiOCのPEALD
上述したように、また、以下でより詳細に考察するように、一部の実施形態においては、SiOC薄膜をプラズマエンハンスト原子層堆積(plasma enhanced atomic deposition layer)(PEALD)プロセスによって反応空間において基板上に堆積させることができる。一部の実施形態によれば、SiOC薄膜をPEALDプロセスによってフィン型FET適用例などにおける3次元的形状を有する基板上に堆積させる。一部の実施形態においては、本明細書に記載のPEALDプロセスを種々の用途に使用することができる。例えば、本明細書に記載のPEALDプロセスをハードマスク層、犠牲層、保護層又はlow‐kスペーサの形成に使用することができる。本明細書に記載のPEALDプロセスを、例えば、メモリ素子用途に使用することができる。
一部の実施形態においては、Oプラズマに損傷なしに耐えることができない基板、例えば、有機及び/又はフォトレジスト材料を含む基板上に、本明細書に記載の酸素プラズマを含まないPEALDプロセスによって、SiOC薄膜を堆積させることができる。一部の実施形態においては、ケイ素前駆体及び第2の反応物が窒素を含まないPEALDプロセスによって、SiOC薄膜を堆積させることができる。
図1を参照すると、また、一部の実施形態によれば、
ステップ120において、ケイ素種が基板の表面に吸着するように、窒素を含まない気相ケイ素含有前駆体に基板を接触させるステップと、
ステップ130において、過剰のケイ素含有前駆体及び反応副生物があればそれらを基板表面から除去するステップと、
ステップ140において、プラズマによって生成された水素を含む反応種を含む第2の反応物に基板を接触させ、それによって吸着ケイ素種をSiOCに転化させるステップと、
ステップ150において、過剰の第2の反応物及び反応副生物があればそれらを基板表面から除去するステップと、
ステップ160において、任意選択で、接触及び除去ステップを繰り返して、所望の厚さ及び組成のSiOC薄膜を形成するステップと
を含む少なくとも1回のサイクルを含むPEALD堆積プロセス100によって、SiOC薄膜を反応空間において基板上に堆積させる。
一部の実施形態においては、ステップ140は、基板を第2の反応物に接触させる前に、プラズマ又は反応種をリモートで生成又は形成するステップを含むことができる。
一部の実施形態によれば、SiOCプラズマALD堆積サイクルを使用して、SiOC薄膜を堆積させることができる。ある実施形態においては、複数のSiOC堆積サイクルを含むALD型プロセスによって、SiOC薄膜を基板上に形成する。各SiOC堆積サイクルは、
ケイ素化合物が基板表面に吸着するように、窒素を含まない気相ケイ素反応物に基板を接触させるステップと、
基板をパージガス及び/又は真空に暴露するステップと、
水素を含む第2の反応物中でプラズマを形成することによって生成された反応種に基板を接触させるステップと、
基板をパージガス及び/又は真空に暴露するステップと、
所望の厚さ及び組成のSiOC薄膜が得られるまで、接触及び暴露ステップを任意選択で繰り返すステップと
を含む。
一部の実施形態においては、基板をパージガス及び/又は真空に暴露するステップは、前駆体又は反応物の流れを停止している間に不活性キャリアガスの流れを継続するステップを含むことができる。一部の実施形態においては、基板をパージガス及び/又は真空に暴露するステップは、反応室への前駆体及びキャリアガスの流れを停止するステップと、例えば真空ポンプによって、反応室を排気するステップとを含むことができる。一部の実施形態においては、基板をパージガス及び/又は真空に暴露するステップは、基板を第1の反応室からパージガスを含む第2の異なる反応室に移すステップを含むことができる。一部の実施形態においては、基板をパージガス及び/又は真空に暴露するステップは、基板を第1の反応室から減圧下の第2の異なる反応室に移すステップを含むことができる。一部の実施形態においては、反応種は、窒素を含まなくてもよい。
一部の実施形態によれば、
ケイ素種が基板の表面に吸着するように基板をBTESEに接触させるステップと、
過剰のBTESE及び反応副生物があればそれらを基板表面から除去するステップと、
プラズマによって生成された反応種を含む第2の反応物に基板を接触させ、反応種が水素を含むステップと、
過剰の第2の反応物及び反応副生物があればそれらを基板表面から除去するステップと、
任意選択で接触及び除去ステップを繰り返して、所望の厚さ及び組成のSiOC薄膜を形成するステップと
を含む少なくとも1回のサイクルを含むPEALD堆積プロセスによって、SiOC薄膜を反応空間において基板上に堆積させる。
一部の実施形態においては、基板を第2の反応物に接触させるステップは、基板を第2の反応物に接触させる前にプラズマ又は反応種をリモートで生成又は形成するステップを含むことができる。一部の実施形態においては、反応種は、窒素を含まなくてもよい。
ある実施形態においては、複数のSiOC堆積サイクルを含むALD型プロセスによってSiOC薄膜を基板上に形成し、各SiOC堆積サイクルは、窒素を含まない第1の気相ケイ素前駆体及び反応種を含む第2の反応物に基板を交互に順次接触させるステップを含む。一部の実施形態においては、ケイ素前駆体はBTESEを含むことができ、第2の反応種は水素を含むことができる。一部の実施形態においては、第2の反応種は、窒素を含まなくてもよい。一部の実施形態においては、第2の反応種は、上述したように、比較的少量の窒素を含むことができる。
一部の実施形態によれば、
ケイ素種が基板の表面に吸着するように基板をMPTMSに接触させるステップと、
過剰のMPTMS及び反応副生物があればそれらを基板表面から除去するステップと、
プラズマによって生成された反応種を含む第2の反応物に基板を接触させ、反応種が水素を含むステップと、
過剰の第2の反応物及び反応副生物があればそれらを基板表面から除去するステップと、
任意選択で接触及び除去ステップを繰り返して、所望の厚さ及び組成のSiOC薄膜を形成するステップと
を含む少なくとも1回のサイクルを含むPEALD堆積プロセスによって、SiOC薄膜を反応空間において基板上に堆積させる。
一部の実施形態においては、基板を第2の反応物に接触させるステップは、基板を第2の反応物に接触させる前にプラズマ又は反応種をリモートで生成又は形成するステップを含むことができる。一部の実施形態においては、反応種は、窒素を含まなくてもよい。
ある実施形態においては、複数のSiOC堆積サイクルを含むALD型プロセスによってSiOC薄膜を基板上に形成し、各SiOC堆積サイクルは、窒素を含まない第1の気相ケイ素前駆体及び反応種を含む第2の反応物に基板を交互に順次接触させるステップを含む。一部の実施形態においては、ケイ素前駆体はBTESEを含むことができ、第2の反応種は水素を含むことができる。一部の実施形態においては、第2の反応種は、窒素を含まなくてもよい。一部の実施形態においては、第2の反応種は、上述したように、比較的少量の窒素を含むことができる。
一部の実施形態によれば、SiOCプラズマALD堆積サイクルを使用して、SiOC薄膜を堆積させることができる。ある実施形態においては、複数のSiOC堆積サイクルを含むALD型プロセスによって、SiOC薄膜を基板上に形成する。各SiOC堆積サイクルは、
ケイ素化合物が基板表面に吸着するように、窒素を含まない気相ケイ素反応物に基板を接触させるステップと、
基板をパージガス及び/又は真空に暴露するステップと、
水素を含み、窒素も含むことができる第2の反応物中でプラズマを形成することによって生成された反応種に基板を接触させるステップと、
基板をパージガス及び/又は真空に暴露するステップと、
所望の厚さ及び組成のSiOC薄膜が得られるまで、接触及び暴露ステップを任意選択で繰り返すステップと
を含む。
ある実施形態においては、複数のSiOC堆積サイクルを含むALD型プロセスによってSiOC薄膜を基板上に形成し、各SiOC堆積サイクルは、窒素を含まない第1の気相ケイ素前駆体及び反応種を含む第2の反応物に基板を交互に順次接触させるステップを含む。
一部の実施形態においては、PEALDプロセスを約100℃~約650℃、約100℃~約550℃、約100℃~約450℃、約200℃~約600℃、又は約200℃~約400℃の温度で実施する。一部の実施形態においては、温度は約300℃である。一部の実施形態においては、温度は約200℃である。一部の実施形態においては、例えば、基板が有機フォトレジストなどの有機材料を含む場合、PEALDプロセスを約100℃未満の温度で実施することができる。一部の実施形態においては、PEALDプロセスを約75℃未満又は約50℃未満の温度で実施する。一部の実施形態においては、RFパワーを第2の反応物に印加することによってプラズマを発生させることができる。RFパワーを第2の反応物に印加し、それによって反応種を生成させることができる。一部の実施形態においては、反応室を連続的に流れる、及び/又はリモートプラズマ発生装置を流れる第2の反応物にRFパワーを印加することができる。したがって、一部の実施形態においては、プラズマをその場所で発生させ、別の実施形態においては、プラズマをリモートで発生させる。一部の実施形態においては、第2の反応物に印加されるRFパワーは、約5W~約5000W、10W~約2000W、約100W~約1000W、又は約200W~約800Wである。一部の実施形態においては、第2の反応物に印加されるRFパワーは、約200Wである。一部の実施形態においては、第2の反応物に印加されるRFパワーは、約400Wである。一部の実施形態においては、第2の反応物に印加されるRFパワーは、約800Wである。
以下でより詳細に考察するように、SiOC膜を堆積させる一部の実施形態においては、1回以上のPEALD堆積サイクルをケイ素前駆体、続いて第2の反応物の供給によって開始する。別の実施形態においては、第2の反応物、続いてケイ素前駆体の供給によって堆積を開始することができる。当業者は、第1の前駆体段階が、一般に、前のサイクルの最終段階によって残された終端と反応することを認識するはずである。したがって、反応種段階が第1のPEALDサイクルにおける第1段階であれば、反応物は、恐らく、基板表面に前もって吸着せず、反応空間にも存在しないが、それに続くPEALDサイクルにおいては、反応種段階はケイ素段階に効果的に続く。一部の実施形態においては、1回以上の異なるPEALDサブサイクルが、SiOC薄膜を形成するプロセスにおいて用意される。
Si前駆体
幾つかの異なる適切なSi前駆体を、本開示のPEALDプロセスに使用することができる。一部の実施形態においては、適切なSi前駆体は、窒素を含まなくてもよい。一部の実施形態においては、適切なSi前駆体は、シランを含むことができる。
一部の実施形態においては、適切なSi前駆体は、少なくとも1個の炭化水素基によって連結された、又は少なくとも1個の炭化水素基に結合した、2個のSi原子を含むことができる。一部の実施形態においては、適切なSi前駆体は、少なくとも1個のアルキル基によって連結された、又は少なくとも1個のアルキル基に結合した、2個のSi原子を含むことができる。一部の実施形態においては、適切なSi前駆体は、少なくとも1個のアルコキシ基によって連結された、又は少なくとも1個のアルコキシ基に結合した、2個のSi原子を含むことができる。一部の実施形態においては、適切なSi前駆体は、少なくとも1個のシリル基によって連結された、又は少なくとも1個のシリル基に結合した、2個のSi原子を含むことができる。一部の実施形態においては、適切なSi前駆体は、少なくとも1個のシリルエーテル基によって連結された、又は少なくとも1個のシリルエーテル基に結合した、2個のSi原子を含むことができる。一部の実施形態においては、適切なSi前駆体は、少なくとも1個の-SH基を含むことができ、-SHは、アルキル鎖又はケイ素原子に結合することができる。一部の実施形態においては、適切なSi前駆体は、少なくとも1個のメルカプト基を含むことができる。一部の実施形態においては、適切なSi前駆体は、少なくとも1個の-R-SH構造を含むことができ、式中、RはC~Cアルキル基とすることができる。一部の実施形態においては、適切なSi前駆体は、アルキル鎖上の少なくとも1個の-SH基、及びケイ素原子に結合した1個以上のアルコキシ基を含むことができる。
一部の実施形態においては、適切なSi前駆体は、1個以上のアルコキシ基に付加又は結合した少なくとも1個のSi原子を含むことができる。一部の実施形態においては、適切なSi前駆体は、1個以上のアルキル基に付加又は結合した少なくとも1個のSi原子を含むことができる。一部の実施形態においては、適切なSi前駆体は、少なくともアルキル基及びアルコキシ基に付加又は結合した少なくとも1個のSi原子を含むことができる。
一部の実施形態においては、PEALDプロセスによるSiOCの堆積に適した少なくとも幾つかのSi前駆体は、以下の一般式の架橋アルコキシシランを含むことができる。
(1) (RIIO)Si-R-Si(ORII
式中、R及びRIIの各々は、独立に選択されるアルキル基とすることができる。一部の実施形態においては、R及びRIIの各々は、メチル、エチル、n-プロピル、イソプロピル、tertブチル、ペンチルなどの独立に選択されるC~Cアルキルリガンドである。
一部の実施形態によれば、一部のSi前駆体は、以下の一般式の架橋アルコキシアルキルシランを含むことができる。
(2) RIII (ORIISi-R-Si(ORIIIII
式中、R、RII及びRIIIの各々は、独立に選択されるアルキル基とすることができ、x+y=3である。一部の実施形態においては、R及びRIIの各々は、メチル、エチル、n-プロピル、イソプロピル、tertブチル、ペンチルなどの独立に選択されるC~Cアルキルリガンドである。一部の実施形態においては、RIIIは、独立に選択されるC~Cアルキルリガンドとすることができる。
一部の実施形態によれば、一部のSi前駆体は、以下の一般式の環状アルコキシシランを含むことができる。
(3) (RIIO)Si-R -Si(ORII
式(3)は、下記構造式で表すこともできる。
Figure 2023113827000002
式中、R及びRIIの各々は、独立に選択されるアルキル基とすることができる。一部の実施形態においては、R及びRIIの各々は、メチル、エチル、n-プロピル、イソプロピル、tertブチル、ペンチルなどの独立に選択されるC~Cアルキルリガンドである。
一部の実施形態によれば、一部のSi前駆体は、以下の一般式の環状アルコキシアルキルシランを含むことができる。
(4) RIII (ORIISi-R -Si(ORIIIII
式(4)は、下記構造式で表すこともできる。
Figure 2023113827000003
式中、R、RII及びRIIIの各々は、独立に選択されるアルキル基とすることができ、x+y=2である。一部の実施形態においては、R及びRIIの各々は、メチル、エチル、n-プロピル、イソプロピル、tertブチル、ペンチルなどの独立に選択されるC~Cアルキルリガンドである。一部の実施形態においては、RIIIは、独立に選択されるC~Cアルキルリガンドとすることができる。
一部の実施形態によれば、一部のSi前駆体は、以下の一般式の直鎖アルコキシシランを含むことができる。
(5) (RIIO)Si-(O-Si-R -O-Si(ORII
式中、Rは、独立に選択されるアルキル基又は水素とすることができ、RIIは、独立に選択されるアルキル基とすることができ、n=1~4である。一部の実施形態においては、R及びRIIの各々は、メチル、エチル、n-プロピル、イソプロピル、tertブチル、ペンチルなどの独立に選択されるC~Cアルキルリガンドである。一部の実施形態においては、Rは水素とすることができ、RIIは独立に選択されるC~Cアルキルリガンドとすることができる。
一部の実施形態によれば、一部のSi前駆体は、以下の一般式の直鎖アルコキシシランを含むことができる。
(6) RIII (ORIISi-(-R-Si)-Si(ORIIIII
式中、R、RII及びRIIIの各々は、独立に選択されるアルキル基とすることができ、x+y=2であり、nは1以上とすることができる。一部の実施形態においては、R及びRIIは、メチル、エチル、n-プロピル、イソプロピル、tertブチル、ペンチルなどの独立に選択されるC~Cアルキルリガンドである。一部の実施形態においては、RIIIは、独立に選択されるC~Cアルキルリガンドとすることができる。
一部の実施形態によれば、一部のSi前駆体は、以下の一般式のアルコキシシランを含むことができる。
(7) Si(OR
式中、Rは、独立に選択されるアルキル基とすることができる。一部の実施形態においては、Rは、メチル、エチル、n-プロピル、イソプロピル、tertブチル、ペンチルなどの独立に選択されるC~Cアルキルリガンドとすることができる。
一部の実施形態によれば、一部のSi前駆体は、以下の一般式のアルコキシアルキルシランを含むことができる。
(8) Si(OR4-xII
式中、R及びRIIの各々は、独立に選択されるアルキル基とすることができ、x=1~3である。一部の実施形態においては、Rは、メチル、エチル、n-プロピル、イソプロピル、tertブチル、ペンチルなどの独立に選択されるC~Cアルキルリガンドとすることができる。一部の実施形態においては、RIIは、独立に選択されるC~Cアルキルリガンドとすることができる。
一部の実施形態によれば、一部のSi前駆体は、窒素を含まない以下の一般式を有するアルコキシシランを含むことができる。
(9) Si(OR4-xII
式中、Rは、独立に選択されるアルキル基とすることができ、RIIは、炭素、水素及び/又は酸素を含み、窒素を含まない任意のリガンドとすることができ、x=1~3である。一部の実施形態においては、Rは、メチル、エチル、n-プロピル、イソプロピル、tertブチル、ペンチルなどの独立に選択されるC~Cアルキルリガンドとすることができる。一部の実施形態においては、RIIは、例えば、アルケニル、アルキニル、フェニル、カルボニル、アルデヒド、エステル、エーテル、カルボキシル、ペルオキシ、ヒドロペルオキシ、チオール、アクリラート又はメタクリラートリガンドを含むことができる。
一部の実施形態によれば、一部のSi前駆体は以下の一般式を有することができる。
(10) Si(OR4-xII
式中、x=0~3であり、Rは、独立に選択されるC~C又はC~Cアルキルリガンドとすることができ、RIIは、炭素及び/又は水素及び/又は酸素からなる独立に選択されるリガンドとすることができる。例えば、一部の実施形態においては、RIIは、アルコキシアルキル基とすることができる。一部の実施形態においては、RIIは、例えば、アルケニル、アルキニル、フェニル、カルボニル、アルデヒド、エステル、エーテル、カルボキシル、ペルオキシ又はヒドロペルオキシ基とすることができる。一部の実施形態においては、例えば、Rはメチル基であり、RIIは3-メトキシプロピルリガンドであり、xは1である。
一部の実施形態によれば、一部のSi前駆体は以下の一般式を有することができる。
(11) (RO)4-xSi-(RII-O-RIII
式中、x=0~3であり、R及びRIIの各々は、独立に選択されるC~C又はC~Cアルキルリガンドとすることができ、RIIIは、炭素及び/又は水素及び/又は酸素からなる独立に選択されるリガンドとすることができる。例えば、一部の実施形態においては、RIIIは、例えば、アルケニル、アルキニル、フェニル、カルボニル、アルデヒド、エステル、エーテル、カルボキシル、ペルオキシ又はヒドロペルオキシ基とすることができる。一部の実施形態においては、例えば、R、RII及びRIIIは各々、メチル、エチル、i-プロピル、n-プロピル、n-ブチル、i-ブチル及びt-ブチルから独立に選択される基とすることができる。
一部の実施形態によれば、一部のSi前駆体は以下の一般式を有することができる。
(12) Si(R4-x-yII III
式中、x+y=0~4であり、Rは、1~5個の炭素原子を有するアルコキシドリガンド、又はハロゲン化物であり、RIIは、硫黄を含む任意のリガンドであり、RIIIは、スルフヒドリル、スルフィド、ジスルフィド、スルフィニル、スルホニル、スルフィノ、スルホ、チオシアナート、イソチオシアナート又はカルボノチオイル官能基の1種からなる。一部の実施形態においては、R、RII及びRIIIを各々独立に選択することができる。一部の実施形態においては、Rはメトキシリガンドを含むことができ、RIIは3-メルカプトプロピルを含むことができ、x=1、及びy=0である。すなわち、一部の実施形態においては、一部のSi前駆体は、Si(OCHSHを含むことができる。一部の実施形態においては、Si前駆体は、メルカプトメチルメチルジエトキシシラン、3-メルカプトプロピルメチルジメトキシシラン及び/又は3-メルカプトプロピルトリエトキシシランを含むことができる。
一部の実施形態においては、ケイ素前駆体はハロゲンを含まない。一部の実施形態においては、ケイ素前駆体は窒素を含まない。一部の実施形態においては、炭素鎖は、不飽和とすることができ、二重炭素-炭素結合を含むことができる。幾つかの別の実施形態においては、炭素鎖は、炭素及び水素以外の原子を含むことができる。一部の実施形態によれば、適切なケイ素前駆体は、一般式(1)~(11)のいずれかを有する化合物を少なくとも含むことができる。図2は、上記式(1)~(11)の適切なSi前駆体の例示的な分子構造を示す。一部の実施形態においては、ケイ素前駆体は、ビス(トリエトキシシリル)エタン(BTESE)を含むことができる。一部の実施形態においては、ケイ素前駆体は、3-メトキシプロピルトリメトキシシラン(MPTMS、すなわちSi(OCHOCH)を含むことができる。一部の実施形態においては、ケイ素前駆体は、(3-メルカプトプロピル)トリメトキシシランを含むことができる。
一部の実施形態においては、1種を超えるケイ素前駆体を、ALD段階中に同時に基板表面に接触させることができる。一部の実施形態においては、ケイ素前駆体は、本明細書に記載の1種を超えるケイ素前駆体を含むことができる。一部の実施形態においては、第1のケイ素前駆体を第1のALDサイクルに使用し、第2の異なるALD前駆体をその後のALDサイクルに使用する。一部の実施形態においては、例えば、堆積SiOC膜のある種の性質を最適化するために、複数のケイ素前駆体を単一のALD段階中に使用することができる。一部の実施形態においては、堆積中に1種のケイ素前駆体のみを基板に接触させることができる。一部の実施形態においては、1種のケイ素前駆体及び1種の第2の反応物又は第2の反応物の組成物のみが堆積プロセス中に存在し得る。一部の実施形態においては、堆積プロセス中に金属前駆体が存在しない。一部の実施形態においては、ケイ素前駆体をシリル化剤として使用しない。一部の実施形態においては、ケイ素前駆体接触ステップの堆積温度及び/又は期間を、ケイ素前駆体が分解しないように選択する。一部の実施形態においては、ケイ素前駆体は、ケイ素前駆体接触ステップ中に分解してもよい。一部の実施形態においては、ケイ素前駆体は、塩素、フッ素などのハロゲンを含まない。
第2の反応物
上述したように、本開示に従ってSiOCを堆積させるための第2の反応物は、反応種を含むことができる水素前駆体を含むことができる。一部の実施形態においては、反応種としては、ラジカル、プラズマ及び/又は励起原子若しくは種が挙げられるが、それだけに限定されない。こうした反応種は、例えば、プラズマ放電、熱線又は別の適切な方法によって生成することができる。一部の実施形態においては、反応種は、反応室から遠隔で、例えば、反応室の上流で生成することができる(「リモートプラズマ」)。一部の実施形態においては、反応種は、反応室において、基板のすぐ近くで、又は直接基板上で生成することができる(「ダイレクトプラズマ」)。
PEALDプロセスの適切なプラズマ組成物としては、水素反応種、すなわち、何らかの形の水素のプラズマ、ラジカル、又は原子状水素が挙げられる。一部の実施形態においては、第2の反応物は、少なくとも部分的にHから形成された反応種を含むことができる。一部の実施形態においては、プラズマは、He、Ne、Ar、Kr及びXe、又はAr若しくはHeなどの貴ガスも、プラズマの形で、ラジカルとして、又は原子の形で含むことができる。
一部の実施形態においては、第2の反応物は、Hから形成される反応種を含むことができる。一部の実施形態においては、第2の反応物は、約25原子%(at%)を超える水素、約50原子%を超える水素、約75原子%を超える水素、約85原子%を超える水素、約90原子%を超える水素、約95原子%を超える水素、約96原子%、97原子%、98原子%を超える、又は約99原子%を超える水素を含むガスから生成することができる。
一部の実施形態においては、プラズマなどの反応種の生成に使用されるガスは、本質的に水素からなることができる。したがって、一部の実施形態においては、第2の反応物は、本質的に水素プラズマ、水素のラジカル、又は原子状水素からなることができる。一部の実施形態においては、第2の反応物は、約25原子%を超える水素、約50原子%を超える水素、75原子%、約85原子%を超える、約90原子%を超える、約95原子%を超える、約96原子%を超える、97原子%、98原子%、又は約99原子%を超える水素プラズマ、水素のラジカル、又は原子状水素を含むことができる。一部の実施形態においては、第2の反応物は、少なくとも部分的に、H及び1種以上の別のガスから形成することができ、H及び別のガス(単数又は複数)は、約1:1000~約1000:1以上の流量比(H/別のガス(単数又は複数))で供給される。一部の実施形態においては、流量比(H/別のガス(単数又は複数))は、約1:1000を超える、約1:100を超える、約1:50を超える、約1:20を超える、約1:10を超える、約1:6を超える、約1:3を超える、約1:1を超える、約3:1を超える、約6:1を超える、約10:1を超える、約20:1を超える、50:1、100:1、又は1000:1以上とすることができる。
一部の実施形態においては、第2の反応物は、酸素から生成する種を含まない。したがって、一部の実施形態においては、反応種は、酸素を含むガスから生成しない。一部の実施形態においては、反応種を含む第2の反応物は、酸素を含まないガスから生成する。例えば、一部の実施形態においては、第2の反応物は、酸素を含まないガスから発生したプラズマを含むことができる。幾つかの他の実施形態においては、第2の反応物は、約50原子%(at%)未満の酸素、約30原子%未満の酸素、約10原子%未満の酸素、約5原子%未満の酸素、約1原子%未満の酸素、約0.1原子%未満の酸素、約0.01原子%未満の酸素、又は約0.001原子%未満の酸素を含むガスから生成することができる。一部の実施形態においては、第2の反応物は、O、HO又はOを含まない。
一部の実施形態においては、水素プラズマは、酸素含有種(例えば、酸素イオン、ラジカル、原子状酸素)を含まなくてもよい、又は実質的に含まなくてもよい。例えば、酸素含有ガスを水素プラズマの発生に使用しない。一部の実施形態においては、酸素含有ガス(例えば、Oガス)を水素プラズマステップ中に反応室に流さない。
一部の実施形態においては、酸素含有ガスを水素プラズマの発生に使用しない。一部の実施形態においては、酸素含有ガス(例えば、Oガス)を水素プラズマステップ中に反応室に流さない。
一部の実施形態においては、第2の反応物は、窒素から生成する種を含まない。したがって、一部の実施形態においては、反応種は、窒素を含むガスから生成しない。一部の実施形態においては、反応種を含む第2の反応物は、窒素を含まないガスから生成する。例えば、一部の実施形態においては、第2の反応物は、窒素を含まないガスから発生したプラズマを含むことができる。一部の実施形態においては、第2の反応物は、約25原子%(at%)未満の窒素、約20原子%未満の窒素、約15原子%未満の窒素、約10原子%未満の窒素、約5原子%未満の窒素、約1原子%未満の窒素、約0.1原子%未満の窒素、約0.01原子%未満の窒素、又は約0.001原子%未満の窒素を含むガスから生成することができる。一部の実施形態においては、第2の反応物は、N、NH又はNを含まない。
一部の実施形態においては、水素プラズマは、窒素含有種(例えば、窒素イオン、ラジカル、原子状窒素)を含まなくてもよい、又は実質的に含まなくてもよい。例えば、窒素含有ガスを水素プラズマの発生に使用しない。一部の実施形態においては、窒素含有ガス(例えば、Nガス)を水素プラズマステップ中に反応室に流さない。
しかし、幾つかの他の実施形態においては、何らかの形の窒素のプラズマ、ラジカル、又は原子状窒素の形の窒素反応種も供給する。したがって、一部の実施形態においては、第2の反応物は、NH、NなどのNとHの両方を有する化合物、N/Hの混合物、又はN-H結合を有する他の前駆体から形成された反応種を含むことができる。一部の実施形態においては、第2の反応物を、少なくとも部分的に、Nから形成することができる。一部の実施形態においては、第2の反応物を、少なくとも部分的に、H及びNから形成することができ、HとNを、約100:1~約1:100、約20:1~約1:20、約10:1~約1:10、約5:1~約1:5、及び/又は約2:1~約4:1、ある場合には1:1の流量比(H/N)で供給する。例えば、SiOCを堆積させるための水素含有プラズマを、NとHの両方を本明細書に記載の1つ以上の比で使用して生成させることができる。
一部の実施形態においては、プラズマなどの反応種の生成に使用されるガスは、本質的にアルゴン又は別の貴ガスからなることができる。一部の実施形態においては、水素含有プラズマの発生に使用するプラズマ出力を、約5ワット(W)~約5000W、10W~約2,000W、約50W~約1000W、約100W~約1000W、又は約100W~約500Wとすることができる。一部の実施形態においては、水素含有プラズマの発生に使用するプラズマ出力を約100W~約300Wとすることができる。一部の実施形態においては、水素含有プラズマは、アルゴン又は別の貴ガスも含むことができる。
SiOC膜特性
本明細書で述べる実施形態の幾つかによって堆積したSiOC薄膜では、不純物レベル又は濃度を約3原子%未満、約1原子%未満、約0.5原子%未満又は約0.1原子%未満にすることができる。幾つかの薄膜においては、水素を除いた全不純物レベルを約5原子%未満、約2原子%未満、約1原子%未満又は約0.2原子%未満にすることができる。さらに、幾つかの薄膜においては、水素レベルを約30原子%未満、約20原子%未満、約15原子%未満又は約10原子%未満にすることができる。本明細書では、不純物をSi、O及び/又はC以外の任意の元素と考えることができる。一部の実施形態においては、薄膜は、アルゴンを含まない。
一部の実施形態においては、堆積SiOC膜は、測定可能な量の水素を含まない。しかし、一部の実施形態においては、水素を含むSiOC膜が堆積する。一部の実施形態においては、堆積SiOC膜は、約30原子%未満、約20原子%未満、約15原子%未満、約10原子%未満又は約5原子%未満の水素を含む。一部の実施形態においては、薄膜は、アルゴンを含まない。
一部の実施形態によれば、SiOC薄膜は、約50%を超える、約80%を超える、約90%を超える、又は約95%を超えるステップカバレッジ及びパターンローディング効果を示すことができる。ある場合には、ステップカバレッジ及びパターンローディング効果は約98%を超え、ある場合には約100%とすることができる(測定ツール又は方法の精度内で)。一部の実施形態においては、ステップカバレッジ及びパターンローディング効果は、約100%を超え、約110%を超え、約120%を超え、約130%を超え、又は約140%を超え得る。これらの値は、アスペクト比が2以上、一部の実施形態においてはアスペクト比が約3以上、一部の実施形態においてはアスペクト比が約5以上、一部の実施形態においてはアスペクト比が約8以上の形状で得ることができる。
一部の実施形態においては、ステップカバレッジは、約50%~約110%、約80%~約110%、約90%~約110%、約95%~110%、約98%~110%、又は約100%~110%であり得る。一部の実施形態においては、ステップカバレッジは、約50%~約100%、約80%~約100%、約90%~約100%、約95%~100%、又は約98%~100%であり得る。
一部の実施形態においては、膜の成長速度は、約0.01Å/サイクル~約5Å/サイクル、約0.05Å/サイクル~約2Å/サイクルである。一部の実施形態においては、膜の成長速度は、約0.05Å/サイクルを超え、約0.1Å/サイクルを超え、約0.15Å/サイクルを超え、約0.3Å/サイクルを超え、約0.3Å/サイクルを超え、約0.4Å/サイクルを超える。本明細書では「パターンローディング効果」をこの分野におけるその通常の意味で使用する。パターンローディング効果は、不純物含有量、密度、電気的性質及びエッチ速度に関して認めることができるが、別段の記載がない限り、本明細書で使用するパターンローディング効果という用語は、構造が存在する基板の領域における膜厚の変化を指す。すなわち、パターンローディング効果は、オープンフィールドに面した3次元構造体/形状の側壁又は底部の上の膜厚に対する、3次元構造体内部の形状の側壁又は底部における膜厚として示すことができる。本明細書では、100%のパターンローディング効果(又は比が1)は、形状にかかわらず基板全体のほぼ完全に均一な膜特性を表し、すなわち、換言すれば、パターンローディング効果(形状対オープンフィールドにおける厚さなどの特定の膜特性の相違)がない。
一部の実施形態においては、SiOC膜は、約3nm~約50nm、約5nm~約30nm、約5nm~約20nmの厚さで堆積する。これらの厚さは、約100nm未満、約50nm、約30nm未満、約20nm未満、ある場合には約15nm未満の形状サイズ(幅)で得ることができる。一部の実施形態によれば、SiOC膜は3次元構造体上に堆積し、側壁における厚さは10nmをわずかに超えることができる。一部の実施形態においては、50nmを超えるSiOC膜が堆積し得る。一部の実施形態においては、100nmを超えるSiOC膜が堆積し得る。一部の実施形態においては、SiOC膜は、約1nmを超える、約2nmを超える、約3nmを超える、約5nmを超える、約10nmを超える厚さで堆積する。
一部の実施形態によれば、種々のウェットエッチ速度(WER:wet etch rate)のSiOC膜を堆積させることができる。0.5重量%dHFにおける包括的(blanket)WER(nm/min)を用いるときには、SiOC膜のWER値を約5未満、約4未満、約2未満又は約1未満にすることができる。一部の実施形態においては、SiOC膜のWER値を1よりもかなり小さくすることができる。一部の実施形態においては、SiOC膜のWER値を約0.3未満、約0.2未満又は約0.1未満にすることができる。一部の実施形態においては、SiOC膜のWER値を約0.05未満、約0.025未満又は約0.02未満にすることができる。
熱酸化物のWERに対する0.5重量%dHFにおける包括的WER(nm/min)(WERR)を約3未満、約2未満、約1未満又は約0.5未満にすることができる。一部の実施形態においては、TOXのWERに対する0.5重量%dHFにおける包括的WERを約0.1未満にすることができる。
PEALDプロセスを約100℃未満の温度で実施する一部の実施形態においては、熱酸化物のWERに対する0.5重量%dHFにおける包括的WER(nm/min)を約10未満、約5未満、約3未満及び約2未満又は約1未満にすることができる。
さらに、一部の実施形態においては、0.5重量%dHFにおいてフィン、トレンチなどの3次元形状の上面などのほぼ水平表面に堆積したSiOC膜のエッチ速度に対する、フィン、トレンチなどのほぼ垂直の3次元形状の上に堆積したSiOC膜の側壁エッチ速度、例えば、WERの比を、約1~約2、約2~約5、約5~約10、約10~約20、又はある場合には約20以上にすることができる。一部の実施形態においては、3次元形状の垂直表面に堆積したSiOC膜のWERと3次元形状の上面に堆積したSiOC膜のWERの比を、約2以上、約5以上、約10以上、約15以上又は約20以上にすることができる。
一部の実施形態においては、3次元形状のほぼ垂直な表面、例えば、側壁表面の上又は中に堆積したSiOC膜のWERと、3次元形状のほぼ水平な表面、例えば、上面の上又は中に堆積したSiOC膜のWERの比を、約1~約0.5、約0.5~約0.2、約0.2~約0.1、約0.1~約0.05、ある場合には約0.05未満にすることができる。一部の実施形態においては、3次元形状のほぼ垂直な表面に堆積したSiOC膜のWERと、3次元形状のほぼ水平な表面に堆積したSiOC膜のWERの比を、約0.5以下、約0.2以下、約0.1以下又は約0.05以下にすることができる。
一部の実施形態においては、3次元形状のほぼ垂直な表面、例えば、側壁表面の上又は中に堆積したSiOC膜のWERと、TOXのWERの比を、約5~約10、約2~約5、約1~約2、約0.5~約1、又は約0.1~約0.5にすることができる。一部の実施形態においては、3次元形状のほぼ垂直な表面、例えば、側壁表面の上又は中に堆積したSiOC膜のWERと、TOXのWERの比を、約0.1以上、約0.5以上、約1以上、約2以上、約5以上又は約10以上にすることができる。
一部の実施形態においては、本明細書に記載の1つ以上のプロセスによって形成されたSiOCでは、有利には、ほぼ垂直な領域のWERとほぼ水平な領域のWERの比を、例えば0.5重量%dHFにおいて、約1にすることができる。例えば、基板表面の3次元構造体のほぼ垂直な表面(例えば、側壁表面)に形成されたSiOC薄膜のウェットエッチ速度とほぼ水平な表面(例えば、上面)に形成されたSiOC薄膜のウェットエッチ速度の比を同じ又はほぼ同じにすることができる。一部の実施形態においては、比を約4~約0.5、約2~約0.75、約1.25~約0.8、又は約1.1~約0.9にすることができる。これらの比をアスペクト比が約2以上、約3以上、約5以上、更には約8以上の形状で得ることができる。
一部の実施形態においては、本明細書に記載の1つ以上のプロセスによって形成されるSiOCは、有利には、水平領域と垂直領域のWERRを、例えば0.5重量%dHFにおいて、約1にすることができる。例えば、基板表面の3次元構造体の水平表面(例えば、上面)の上に形成されたSiOC薄膜のウェットエッチ速度と垂直表面(例えば、側壁表面)の上に形成されたSiOC薄膜のウェットエッチ速度との比を同じ又はほぼ同じにすることができる。一部の実施形態においては、比を約0.25~約2、約0.5~約1.5、約0.75~約1.25、又は約0.9~約1.1にすることができる。これらの比をアスペクト比が約2以上、約3以上、約5以上、更には約8以上の形状で得ることができる。
一部の実施形態においては、本開示に係るSiOC膜のエッチングの量を、0.5重量%HF浸漬プロセスにおいて熱SiO(TOX)で認められたエッチングの量の約1分の1、2分の1、5分の1、10分の1以下にすることができる(例えば、約2から約3nmのTOXを除去するプロセスにおいては、本明細書に開示した方法によって堆積したときに、約1分の1、2分の1、5分の1、10分の1以下のSiOCを除去する)。
一部の実施形態においては、約2nm未満のSiOC膜を、エッチング時間5分の0.5重量%HF浸漬プロセスで除去することができる。一部の実施形態においては、約2nm未満のSiOC膜を、エッチング時間60分の0.5重量%HF浸漬プロセスで除去することができる。
一部の実施形態においては、本開示に係るSiOC膜のエッチングの量を、0.5重量%HF浸漬プロセスにおいて熱SiO(TOX)で認められたエッチングの量の約1分の1、2分の1、5分の1、10分の1以下にすることができる(例えば、約2から約3nmのTOXを除去するプロセスにおいては、本明細書に開示した方法によって堆積したときに、約1分の1、2分の1、5分の1、10分の1以下のSiOCを除去する)。
一部の実施形態においては、約2nm未満のSiOC膜を、エッチング時間5分の0.5重量%HF浸漬プロセスで除去することができる。一部の実施形態においては、約2nm未満のSiOC膜を、エッチング時間60分の0.5重量%HF浸漬プロセスで除去することができる。
本明細書に記載のすべての原子百分率(すなわち、原子%)値は、簡潔にするために、また、水素を定量的に正確に分析することが困難であるので、別段の記載がない限り、水素を除外する。しかし、一部の実施形態においては、水素を妥当な精度で分析できる場合、膜の水素含有量は、約20原子%未満、約10原子%未満又は約5原子%未満である。一部の実施形態においては、堆積SiOC薄膜は、原子基準(原子%)で最高約70%の酸素を含むことができる。一部の実施形態においては、SiOC膜は、原子基準で約10%~約70%、約15%~約50%、又は約20%~約40%の酸素を含むことができる。一部の実施形態においては、SiOC膜は、原子基準で少なくとも約20%、約40%又は約50%の酸素を含むことができる。
一部の実施形態においては、堆積SiOC薄膜は、原子基準(原子%)で最高約40%の炭素を含むことができる。一部の実施形態においては、SiOC膜は、原子基準で約0.1%~約40%、約0.5%~約40%、約1%~約30%、又は約5%~約20%の炭素を含むことができる。一部の実施形態においては、SiOC膜は、原子基準で少なくとも約1%、約10%又は約20%の炭素を含むことができる。
一部の実施形態においては、堆積SiOC薄膜は、原子基準(原子%)で最高約50%のケイ素を含むことができる。一部の実施形態においては、SiOC膜は、原子基準で約10%~約50%、約15%~約40%、又は約20%~約35%のケイ素を含むことができる。一部の実施形態においては、SiOC膜は、原子基準で少なくとも約15%、約20%、約25%又は約30%のケイ素を含むことができる。
一部の実施形態においては、堆積SiOC薄膜は、原子基準(原子%)で最高約40%の硫黄を含むことができる。一部の実施形態においては、SiOC膜は、原子基準で約0.01%~約40%、約0.1%~約40%、約0.5%~約30%、又は約1%~約20%の硫黄を含むことができる。一部の実施形態においては、SiOC膜は、原子基準で少なくとも約1%、約10%又は約20%の硫黄を含むことができる。
一部の実施形態においては、堆積SiOC膜は、測定可能な量の窒素を含まない。しかし、一部の実施形態においては、窒素を含むSiOC膜が堆積する。一部の実施形態においては、堆積SiOC膜は、約30原子%未満、約20原子%未満、約15原子%未満、約10原子%未満、約5原子%未満の窒素、約1原子%未満の窒素、又は約0.1原子%未満の窒素を含む。一部の実施形態においては、SiOC薄膜は、窒素を含まない。
上述したように、一部の実施形態においては、SiOC膜は、Si-C結合及び/又はSi-O結合を含むことができる。一部の実施形態においては、SiOC膜は、更にSi-N結合を含むことができる。一部の実施形態においては、SiOC膜は、更にSi-S結合を含むことができる。一部の実施形態においては、SiOC膜は、Si-C結合及びSi-O結合を含むことができ、Si-N結合を含まなくてもよい。一部の実施形態においては、SiOC膜は、Si-N結合及びSi-O結合を含むことができ、Si-C結合を含まなくてもよい。一部の実施形態においては、SiOC膜は、Si-N結合及びSi-C結合を含むことができ、Si-O結合を含まなくてもよい。一部の実施形態においては、SiOC膜は、Si-S結合、Si-C結合及びSi-O結合を含むことができ、Si-N結合を含まなくてもよい。一部の実施形態においては、SiOC膜は、Si-S結合及びSi-C結合を含むことができ、Si-O結合を含まなくてもよい。一部の実施形態においては、SiOC膜は、Si-S結合及びSi-O結合を含むことができ、Si-C結合を含まなくてもよい。一部の実施形態においては、SiOC膜は、Si-C結合よりも多くのSi-O結合を含むことができ、例えば、Si-O結合とSi-C結合の比を約1:1~約10:1にすることができる。一部の実施形態においては、堆積SiOC膜は、SiN、SiO、SiC、SiCN、SiON、SiOSC、SiSC、SiOS及び/又はSiOCのうち1種以上を含むことができる。
一部の実施形態においては、SiOC膜はlow‐k膜ではなく、例えば、SiOC膜は多孔質膜ではない。一部の実施形態においては、SiOCは連続膜である。一部の実施形態においては、SiOC膜はk値が約10未満である。一部の実施形態においては、SiOC膜はk値が約7未満である。一部の実施形態においては、SiOC膜はk値が約2から約10である。一部の実施形態においては、SiOC膜はk値が約5.0未満、約4.5未満、約4.3未満、約4.1未満である。一部の実施形態においては、SiOC膜はk値が約3.0~約7、約3.0~約5.5、約3.0~約5.0、約3.5~約4.8、約3.5~約4.7である。一部の実施形態においては、SiOC膜はk値がどんなlow‐k膜のk値よりも高い。一部の実施形態においては、SiOC膜はk値が純粋なSiOよりも高い。
一部の実施形態においては、本開示に従って堆積したSiOC膜は、積層又はナノ積層構造を含まない。
一部の実施形態においては、本開示に従って堆積したSiOC膜は、自己組織化単分子膜(SAM:self-assembled monolayer)ではない。一部の実施形態においては、本開示に従って堆積したSiOC膜は、互いに結合していない別々の個体分子からならない。一部の実施形態においては、本開示に従って堆積したSiOC膜は、実質的に結合又は連結した材料を含む。一部の実施形態においては、本開示に従って堆積したSiOC膜は、機能層ではなく、アミノ官能性を持たず、及び/又は機能表面として使用されない。一部の実施形態においては、本開示に従って堆積したSiOC膜は、-NH基で終端されない。一部の実施形態においては、本開示に従って堆積したSiOC膜は、多量の-NH基を含まない。
例示的なSiOC薄膜を本明細書に記載のPEALDプロセスによって堆積させた。BTESEをケイ素前駆体として使用し、ボトル温度は80℃~110℃であった。Hを第2の反応物として使用し、200WのRFパワーを第2の反応物に印加してプラズマを発生させた。あるSiOC試料を基板又は堆積温度200℃で堆積させ、別のSiOC試料を堆積温度300℃で堆積させた。
一部のSiOC試料では、前駆体パルス時間は4秒であり、前駆体パージ時間は4秒であり、プラズマパルス時間は4秒であり、プラズマパージ時間は0.5秒であった。別の試料では、前駆体パルス時間は10秒であり、前駆体パージ時間は4秒であり、プラズマパルス時間は4秒であり、プラズマパージ時間は0.5秒であった。別の試料では、前駆体パルス時間は4秒であり、前駆体パージ時間は10秒であり、プラズマパルス時間は4秒であり、プラズマパージ時間は0.5秒であった。
図3に、本明細書に記載のPEALDプロセスによって堆積したSiOC膜の1サイクル当たりの成長(Å/サイクル)と前駆体ボトル温度を示す。図3に示したように、成長速度は、ボトル温度と共に増加し、堆積温度200℃で堆積した試料が堆積温度300℃で堆積した試料よりも高かった。成長速度は、ボトル温度110℃及び堆積温度200℃では、約0.3Å/サイクルで飽和した。
図4に、本明細書に記載のPEALDプロセスによって堆積したSiOC膜の1サイクル当たりの成長(Å/サイクル)、屈折率、及びdHF(0.5重量%)中のTOXと比べたWERRをプラズマ出力の関数として示す。BTESEをケイ素前駆体として使用し、Hを第2の反応物として使用した。200W~800WのRFパワーを第2の反応物に印加することによってプラズマを発生させた。堆積温度は200℃であり、前駆体パルス時間は4秒であり、前駆体パージ時間は4秒であり、プラズマパルス時間は4秒であり、プラズマパージ時間は0.5秒であった。
図4に示したように、SiOC膜の成長速度は、プラズマ出力の増加と共に低下した。堆積膜の屈折率は、プラズマ出力の増加と共に増加した。堆積SiOC膜のWERとTOXのWERの比(TOXに対するWERR)は、プラズマ出力の増加と共に低下することが認められた。すなわち、より高い耐ウェットエッチ性がプラズマ出力の増加と共に得られ、プラズマ出力800WにおいてTOXに対するWERRが0.2に達した。
図5に、本明細書に記載のPEALDプロセスによって堆積したSiOC膜の1サイクル当たりの成長(Å/サイクル)と第2の反応物ガス混合比(N/(N+H))を示す。BTESEをケイ素前駆体として使用し、堆積温度は200℃であった。各サイクルの前駆体パルス時間は4秒であり、前駆体パージ時間は4秒であり、プラズマパルス時間は4秒であり、プラズマパージ時間は0.5秒であった。第2の反応物ガス流は100sccmであり、Arキャリアガスは600sccmであった。第2の反応物ガスの組成は、3つのSiOC試料でそれぞれ本質的にHからなるもの、HとNの混合物、本質的にNからなるものと変化した。Arキャリアガスのみを第2の反応物ガスとして使用した試料も調製した。図5に示すように、最高成長速度(約0.25Å/サイクル)は、本質的にHからなる第2の反応物ガスとArキャリアガスを用いて得られた。HとNの混合物を含む第2の反応物ガス、本質的にNからなる第2の反応物ガス、及び本質的にArキャリアガスからなる第2の反応物ガスを用いて、低成長速度が認められた。したがって、任意の1つの理論に拘泥するものではないが、第2の反応物ガスにNを添加するとSiOC膜の成長が阻害されると考えられる。
本明細書では「約」という用語は、所与の値の15%以内、10%以内、5%以内又は1%以内である値を指し得る。
「膜」及び「薄膜」という用語を本明細書では簡潔にするために使用する。「膜」及び「薄膜」は、本明細書に開示した方法によって堆積した任意の連続又は非連続構造体及び材料を意味するものとする。例えば、「膜」及び「薄膜」は、2D材料、ナノロッド、ナノチューブ、又はナノ粒子、更には単一の部分的若しくは完全な分子層、又は部分的若しくは完全な原子層、又は原子及び/又は分子のクラスタを含み得る。「膜」及び「薄膜」は、ピンホールを含みながらも少なくとも部分的に連続である材料又は層を含み得る。
多数の多様な改変を本発明の精神から逸脱することなく成し得ることを当業者は理解されたい。記述した形状、構造、特性及び前駆体は、任意の適切な様式で組み合わせることができる。したがって、本発明の形態は、単なる説明のためのものであって、本発明の範囲を限定することを意図したものではないことが明白に理解されるはずである。すべての改変及び変更が、添付の特許請求の範囲によって定義される本発明の範囲内にあるものとする。

Claims (28)

  1. 反応空間においてプラズマエンハンスト原子層堆積(PEALD)プロセスによって基板上にシリコンオキシカーバイド(SiOC)薄膜を形成する方法であって、前記PEALDプロセスが、
    窒素を含まない気相ケイ素前駆体に前記基板の表面を接触させるステップと、
    水素を含む第2の反応物から形成されたプラズマによって生成された少なくとも1種の反応種に前記基板の表面を接触させるステップであって、前記第2の反応物が酸素を含まないステップと、
    所望の厚さのSiOC膜が形成されるまで前記接触させるステップを任意選択で繰り返すステップと
    を含む少なくとも1回の堆積サイクルを含む、方法。
  2. 前記SiOC薄膜のウェットエッチ速度と熱酸化ケイ素のウェットエッチ速度との比が約5未満である、請求項1に記載の方法。
  3. 前記SiOC薄膜が前記基板上の3次元構造体上に堆積される、請求項1に記載の方法。
  4. 前記3次元構造体の垂直表面に形成されたSiOCのウェットエッチ速度と前記3次元構造体の水平表面に形成された前記SiOCのウェットエッチ速度とのウェットエッチ速度比が0.5重量%希釈HF中で約1:20~約20:1である、請求項3に記載の方法。
  5. 前記気相ケイ素前駆体がハロゲンを含まない、請求項1に記載の方法。
  6. 前記気相ケイ素前駆体がビス(トリエトキシシリル)エタン(BTESE)を含む、請求項1に記載の方法。
  7. 前記気相ケイ素前駆体が3-メトキシプロピルトリメトキシシラン(MPTMS)を含む、請求項1に記載の方法。
  8. 前記反応種が、水素プラズマ、水素原子、水素ラジカル又は水素イオンを含む、請求項1に記載の方法。
  9. 前記第2の反応物がHを含む、請求項8に記載の方法。
  10. 前記反応種が、貴ガスを含む第2の反応物から生成される、請求項1に記載の方法。
  11. 前記反応種が、約20原子%未満の窒素を含む第2の反応物から生成される、請求項1に記載の方法。
  12. 前記SiOC薄膜が少なくとも20原子%の酸素を含む、請求項1に記載の方法。
  13. 前記SiOC薄膜が少なくとも0.1原子%の炭素を含む、請求項1に記載の方法。
  14. 前記SiOC薄膜が約10原子%未満の窒素を含む、請求項1に記載の方法。
  15. 複数の堆積サイクルを含む、反応空間において基板上にシリコンオキシカーバイド(SiOC)薄膜を形成する方法であって、少なくとも1回の堆積サイクルが、
    窒素を含まないケイ素前駆体及び水素を含む少なくとも1種の反応種を含む第2の反応物に前記基板の表面を交互に順次接触させるステップ
    を含み、前記堆積サイクルが2回以上繰り返されて、前記SiOC薄膜が形成される、
    方法。
  16. 前記少なくとも1種の反応種が、酸素を含まないガスから形成されたプラズマによって生成される、請求項15に記載の方法。
  17. 前記少なくとも1種の反応種が、窒素を含まないガスから形成されたプラズマによって生成される、請求項15に記載の方法。
  18. 前記ケイ素前駆体が一般式
    (RIIO)Si-R-Si(ORII
    を有し、式中、R及びRIIが、独立に選択されるC~Cアルキルリガンドである、
    請求項15に記載の方法。
  19. 前記ケイ素前駆体がBTESEを含む、請求項18に記載の方法。
  20. 前記ケイ素前駆体が一般式
    Si(OR4-xII
    を有し、式中、xが0~3の整数であり、Rが、独立に選択されるC~Cアルキルリガンドであり、RIIが炭素及び/又は水素及び/又は酸素からなる独立に選択されるリガンドである、
    請求項15に記載の方法。
  21. 前記ケイ素前駆体がMPTMSを含む、請求項20に記載の方法。
  22. 前記ケイ素前駆体が一般式
    (RO)4-xSi-(RII-O-RIII
    を有し、式中、xが0~3の整数であり、R及びRIIが各々独立に選択されるC~Cアルキルリガンドであり、RIIIが炭素及び/又は水素及び/又は酸素からなる独立に選択されるリガンドである、
    請求項15に記載の方法。
  23. 少なくとも1回の堆積サイクルがプラズマエンハンスト原子層堆積(PEALD)サイクルである、請求項15に記載の方法。
  24. 反応種が、5ワット(W)から約5000WのRFパワーを前記第2の反応物に印加することによって生成される、請求項23に記載の方法。
  25. 前記堆積サイクルが約100℃から約300℃のプロセス温度で実施される、請求項15に記載の方法。
  26. 前記堆積サイクルが約100℃未満のプロセス温度で実施される、請求項15に記載の方法。
  27. 前記基板が有機材料を含む、請求項15に記載の方法。
  28. 反応空間において基板上にシリコンオキシカーバイド(SiOC)薄膜を堆積させる方法であって、
    窒素を含まないケイ素前駆体に前記基板の表面を接触させるステップと、
    前記基板をパージガス及び/又は真空にさらして、過剰のケイ素前駆体及び反応副生物がもしあればそれらを除去するステップと、
    水素を含む第2の反応物に前記基板の表面を接触させるステップであって、前記第2の反応物が、プラズマによって生成された少なくとも1種の反応種を含むステップと、
    前記基板をパージガス及び/又は真空にさらして、過剰の第2の反応物及び反応副生物がもしあればそれらを除去するステップと、
    所望の厚さのSiOC薄膜が形成されるまで前記接触させるステップを繰り返すステップと
    を含む、方法。
JP2023093216A 2016-05-06 2023-06-06 SiOC薄膜の形成 Pending JP2023113827A (ja)

Applications Claiming Priority (6)

Application Number Priority Date Filing Date Title
US201662332975P 2016-05-06 2016-05-06
US62/332,975 2016-05-06
US201662427077P 2016-11-28 2016-11-28
US62/427,077 2016-11-28
JP2017092684A JP6923355B2 (ja) 2016-05-06 2017-05-08 SiOC薄膜の形成
JP2021123166A JP7479334B2 (ja) 2016-05-06 2021-07-28 SiOC薄膜の形成

Related Parent Applications (1)

Application Number Title Priority Date Filing Date
JP2021123166A Division JP7479334B2 (ja) 2016-05-06 2021-07-28 SiOC薄膜の形成

Publications (1)

Publication Number Publication Date
JP2023113827A true JP2023113827A (ja) 2023-08-16

Family

ID=60243963

Family Applications (3)

Application Number Title Priority Date Filing Date
JP2017092684A Active JP6923355B2 (ja) 2016-05-06 2017-05-08 SiOC薄膜の形成
JP2021123166A Active JP7479334B2 (ja) 2016-05-06 2021-07-28 SiOC薄膜の形成
JP2023093216A Pending JP2023113827A (ja) 2016-05-06 2023-06-06 SiOC薄膜の形成

Family Applications Before (2)

Application Number Title Priority Date Filing Date
JP2017092684A Active JP6923355B2 (ja) 2016-05-06 2017-05-08 SiOC薄膜の形成
JP2021123166A Active JP7479334B2 (ja) 2016-05-06 2021-07-28 SiOC薄膜の形成

Country Status (4)

Country Link
US (3) US10600637B2 (ja)
JP (3) JP6923355B2 (ja)
KR (3) KR102378021B1 (ja)
TW (3) TW202341414A (ja)

Families Citing this family (227)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
US10804094B2 (en) 2016-05-06 2020-10-13 Applied Materials, Inc. Methods of depositing SiCON with C, O and N compositional control
US11549181B2 (en) 2013-11-22 2023-01-10 Applied Materials, Inc. Methods for atomic layer deposition of SiCO(N) using halogenated silylamides
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US9786492B2 (en) 2015-11-12 2017-10-10 Asm Ip Holding B.V. Formation of SiOCN thin films
US9786491B2 (en) 2015-11-12 2017-10-10 Asm Ip Holding B.V. Formation of SiOCN thin films
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
KR102378021B1 (ko) * 2016-05-06 2022-03-23 에이에스엠 아이피 홀딩 비.브이. SiOC 박막의 형성
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
US10186420B2 (en) * 2016-11-29 2019-01-22 Asm Ip Holding B.V. Formation of silicon-containing thin films
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
KR20180070971A (ko) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
US10847529B2 (en) 2017-04-13 2020-11-24 Asm Ip Holding B.V. Substrate processing method and device manufactured by the same
US10504901B2 (en) 2017-04-26 2019-12-10 Asm Ip Holding B.V. Substrate processing method and device manufactured using the same
US11501965B2 (en) 2017-05-05 2022-11-15 Asm Ip Holding B.V. Plasma enhanced deposition processes for controlled formation of metal oxide thin films
KR20240010760A (ko) * 2017-05-05 2024-01-24 에이에스엠 아이피 홀딩 비.브이. 산소 함유 박막의 형성을 제어하기 위한 플라즈마 강화 증착 공정
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
US11639811B2 (en) 2017-11-27 2023-05-02 Asm Ip Holding B.V. Apparatus including a clean mini environment
KR102597978B1 (ko) 2017-11-27 2023-11-06 에이에스엠 아이피 홀딩 비.브이. 배치 퍼니스와 함께 사용하기 위한 웨이퍼 카세트를 보관하기 위한 보관 장치
TWI761636B (zh) * 2017-12-04 2022-04-21 荷蘭商Asm Ip控股公司 電漿增強型原子層沉積製程及沉積碳氧化矽薄膜的方法
US10843618B2 (en) * 2017-12-28 2020-11-24 Lam Research Corporation Conformality modulation of metal oxide films using chemical inhibition
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
KR20200108016A (ko) 2018-01-19 2020-09-16 에이에스엠 아이피 홀딩 비.브이. 플라즈마 보조 증착에 의해 갭 충진 층을 증착하는 방법
TW202325889A (zh) 2018-01-19 2023-07-01 荷蘭商Asm 智慧財產控股公司 沈積方法
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US11685991B2 (en) 2018-02-14 2023-06-27 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
CN111954921A (zh) 2018-04-09 2020-11-17 应用材料公司 用于图案化应用的碳硬掩模及相关的方法
TW202344708A (zh) 2018-05-08 2023-11-16 荷蘭商Asm Ip私人控股有限公司 藉由循環沉積製程於基板上沉積氧化物膜之方法及相關裝置結構
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
JP7066534B2 (ja) * 2018-06-04 2022-05-13 キヤノン株式会社 液体吐出ヘッドの製造方法
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
WO2020002995A1 (en) 2018-06-27 2020-01-02 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
WO2020003000A1 (en) 2018-06-27 2020-01-02 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
JP2020096183A (ja) 2018-12-14 2020-06-18 エーエスエム・アイピー・ホールディング・ベー・フェー 窒化ガリウムの選択的堆積を用いてデバイス構造体を形成する方法及びそのためのシステム
TWI819180B (zh) 2019-01-17 2023-10-21 荷蘭商Asm 智慧財產控股公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
KR20200102357A (ko) 2019-02-20 2020-08-31 에이에스엠 아이피 홀딩 비.브이. 3-d nand 응용의 플러그 충진체 증착용 장치 및 방법
TW202044325A (zh) 2019-02-20 2020-12-01 荷蘭商Asm Ip私人控股有限公司 填充一基板之一表面內所形成的一凹槽的方法、根據其所形成之半導體結構、及半導體處理設備
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
JP2020136677A (ja) 2019-02-20 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための周期的堆積方法および装置
TW202100794A (zh) 2019-02-22 2021-01-01 荷蘭商Asm Ip私人控股有限公司 基材處理設備及處理基材之方法
KR20200108248A (ko) * 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOCN 층을 포함한 구조체 및 이의 형성 방법
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
KR20200116033A (ko) 2019-03-28 2020-10-08 에이에스엠 아이피 홀딩 비.브이. 도어 개방기 및 이를 구비한 기판 처리 장치
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
KR20200123380A (ko) 2019-04-19 2020-10-29 에이에스엠 아이피 홀딩 비.브이. 층 형성 방법 및 장치
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141002A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 배기 가스 분석을 포함한 기상 반응기 시스템을 사용하는 방법
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP2021015791A (ja) 2019-07-09 2021-02-12 エーエスエム アイピー ホールディング ビー.ブイ. 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
CN112242296A (zh) 2019-07-19 2021-01-19 Asm Ip私人控股有限公司 形成拓扑受控的无定形碳聚合物膜的方法
CN112309843A (zh) 2019-07-29 2021-02-02 Asm Ip私人控股有限公司 实现高掺杂剂掺入的选择性沉积方法
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
KR20210018759A (ko) 2019-08-05 2021-02-18 에이에스엠 아이피 홀딩 비.브이. 화학물질 공급원 용기를 위한 액체 레벨 센서
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
US11186909B2 (en) * 2019-08-26 2021-11-30 Applied Materials, Inc. Methods of depositing low-K films
KR20210028093A (ko) * 2019-08-29 2021-03-11 에이에스엠 아이피 홀딩 비.브이. 유전체 층을 포함하는 구조체 및 이를 형성하는 방법
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
TW202129060A (zh) 2019-10-08 2021-08-01 荷蘭商Asm Ip控股公司 基板處理裝置、及基板處理方法
KR20210043460A (ko) 2019-10-10 2021-04-21 에이에스엠 아이피 홀딩 비.브이. 포토레지스트 하부층을 형성하기 위한 방법 및 이를 포함한 구조체
KR20210045930A (ko) 2019-10-16 2021-04-27 에이에스엠 아이피 홀딩 비.브이. 실리콘 산화물의 토폴로지-선택적 막의 형성 방법
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
US11139163B2 (en) * 2019-10-31 2021-10-05 Asm Ip Holding B.V. Selective deposition of SiOC thin films
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
KR20210065848A (ko) 2019-11-26 2021-06-04 에이에스엠 아이피 홀딩 비.브이. 제1 유전체 표면과 제2 금속성 표면을 포함한 기판 상에 타겟 막을 선택적으로 형성하기 위한 방법
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
JP2021097227A (ja) 2019-12-17 2021-06-24 エーエスエム・アイピー・ホールディング・ベー・フェー 窒化バナジウム層および窒化バナジウム層を含む構造体を形成する方法
US11527403B2 (en) 2019-12-19 2022-12-13 Asm Ip Holding B.V. Methods for filling a gap feature on a substrate surface and related semiconductor structures
KR20210089077A (ko) 2020-01-06 2021-07-15 에이에스엠 아이피 홀딩 비.브이. 가스 공급 어셈블리, 이의 구성 요소, 및 이를 포함하는 반응기 시스템
US20210225634A1 (en) * 2020-01-17 2021-07-22 Asm Ip Holding B.V. FORMATION OF SiCN THIN FILMS
KR20210095050A (ko) 2020-01-20 2021-07-30 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법 및 박막 표면 개질 방법
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
KR20210100010A (ko) 2020-02-04 2021-08-13 에이에스엠 아이피 홀딩 비.브이. 대형 물품의 투과율 측정을 위한 방법 및 장치
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
US11781243B2 (en) 2020-02-17 2023-10-10 Asm Ip Holding B.V. Method for depositing low temperature phosphorous-doped silicon
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
US11876356B2 (en) 2020-03-11 2024-01-16 Asm Ip Holding B.V. Lockout tagout assembly and system and method of using same
KR20210117157A (ko) 2020-03-12 2021-09-28 에이에스엠 아이피 홀딩 비.브이. 타겟 토폴로지 프로파일을 갖는 층 구조를 제조하기 위한 방법
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
TW202146831A (zh) 2020-04-24 2021-12-16 荷蘭商Asm Ip私人控股有限公司 垂直批式熔爐總成、及用於冷卻垂直批式熔爐之方法
CN113555279A (zh) 2020-04-24 2021-10-26 Asm Ip私人控股有限公司 形成含氮化钒的层的方法及包含其的结构
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
TW202147383A (zh) 2020-05-19 2021-12-16 荷蘭商Asm Ip私人控股有限公司 基材處理設備
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202219628A (zh) 2020-07-17 2022-05-16 荷蘭商Asm Ip私人控股有限公司 用於光微影之結構與方法
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
KR20220027026A (ko) 2020-08-26 2022-03-07 에이에스엠 아이피 홀딩 비.브이. 금속 실리콘 산화물 및 금속 실리콘 산질화물 층을 형성하기 위한 방법 및 시스템
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
KR20220053482A (ko) 2020-10-22 2022-04-29 에이에스엠 아이피 홀딩 비.브이. 바나듐 금속을 증착하는 방법, 구조체, 소자 및 증착 어셈블리
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
US11447865B2 (en) 2020-11-17 2022-09-20 Applied Materials, Inc. Deposition of low-κ films
TW202235675A (zh) 2020-11-30 2022-09-16 荷蘭商Asm Ip私人控股有限公司 注入器、及基板處理設備
CN114639631A (zh) 2020-12-16 2022-06-17 Asm Ip私人控股有限公司 跳动和摆动测量固定装置
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate

Family Cites Families (300)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
FI117944B (fi) 1999-10-15 2007-04-30 Asm Int Menetelmä siirtymämetallinitridiohutkalvojen kasvattamiseksi
FI119941B (fi) 1999-10-15 2009-05-15 Asm Int Menetelmä nanolaminaattien valmistamiseksi
US3925337A (en) 1969-07-31 1975-12-09 Air Prod & Chem Post chlorinated vinyl chloride copolymers
US3708728A (en) 1971-06-10 1973-01-02 Int Standard Electric Corp Electrolytic capacitor electrode comprising semiconductor core with film-forming metal coating
SE393967B (sv) 1974-11-29 1977-05-31 Sateko Oy Forfarande och for utforande av stroleggning mellan lagren i ett virkespaket
US4282267A (en) 1979-09-20 1981-08-04 Western Electric Co., Inc. Methods and apparatus for generating plasmas
US4389973A (en) 1980-03-18 1983-06-28 Oy Lohja Ab Apparatus for performing growth of compound thin films
JPS5833841A (ja) 1981-08-24 1983-02-28 Hitachi Ltd 半導体装置
DE3463641D1 (en) 1983-11-11 1987-06-19 Japan Res Dev Corp Boron nitride containing titanium nitride, method of producing the same and composite ceramics produced therefrom
US5693139A (en) 1984-07-26 1997-12-02 Research Development Corporation Of Japan Growth of doped semiconductor monolayers
GB8516537D0 (en) 1985-06-29 1985-07-31 Standard Telephones Cables Ltd Pulsed plasma apparatus
US5769950A (en) 1985-07-23 1998-06-23 Canon Kabushiki Kaisha Device for forming deposited film
US4761269A (en) 1986-06-12 1988-08-02 Crystal Specialties, Inc. Apparatus for depositing material on a substrate
US4747367A (en) 1986-06-12 1988-05-31 Crystal Specialties, Inc. Method and apparatus for producing a constant flow, constant pressure chemical vapor deposition
US4767494A (en) 1986-07-04 1988-08-30 Nippon Telegraph & Telephone Corporation Preparation process of compound semiconductor
US5221556A (en) 1987-06-24 1993-06-22 Epsilon Technology, Inc. Gas injectors for reaction chambers in CVD systems
US5166092A (en) 1988-01-28 1992-11-24 Fujitsu Limited Method of growing compound semiconductor epitaxial layer by atomic layer epitaxy
US4851095A (en) 1988-02-08 1989-07-25 Optical Coating Laboratory, Inc. Magnetron sputtering apparatus and process
US4981724A (en) 1988-10-27 1991-01-01 Hochberg Arthur K Deposition of silicon oxide films using alkylsilane liquid sources
JPH0831454B2 (ja) 1989-04-21 1996-03-27 日本電気株式会社 半導体装置の製造方法
US5549937A (en) 1989-10-11 1996-08-27 U.S. Philips Corporation Method of plasma-activated reactive deposition of electrically conducting multicomponent material from a gas phase
JP2822536B2 (ja) 1990-02-14 1998-11-11 住友電気工業株式会社 立方晶窒化ホウ素薄膜の形成方法
US5071670A (en) 1990-06-11 1991-12-10 Kelly Michael A Method for chemical vapor deposition under a single reactor vessel divided into separate reaction chambers each with its own depositing and exhausting means
US5225366A (en) 1990-06-22 1993-07-06 The United States Of America As Represented By The Secretary Of The Navy Apparatus for and a method of growing thin films of elemental semiconductors
US5316793A (en) 1992-07-27 1994-05-31 Texas Instruments Incorporated Directed effusive beam atomic layer epitaxy system and method
US5270247A (en) 1991-07-12 1993-12-14 Fujitsu Limited Atomic layer epitaxy of compound semiconductor
US5242530A (en) 1991-08-05 1993-09-07 International Business Machines Corporation Pulsed gas plasma-enhanced chemical vapor deposition of silicon
CA2059818C (en) 1991-08-21 1995-01-03 Gokichi Hatouchi Apparatus for storing articles
DE4242292C2 (de) 1991-12-20 2002-06-27 Ntn Toyo Bearing Co Ltd Selbsttätige hydraulische Spannvorrichtung
JPH05335257A (ja) 1992-06-03 1993-12-17 Showa Shell Sekiyu Kk p型シリコンカーバイドの形成方法
US5342652A (en) 1992-06-15 1994-08-30 Materials Research Corporation Method of nucleating tungsten on titanium nitride by CVD without silane
JPH0637041A (ja) 1992-07-15 1994-02-10 Hitachi Ltd 配線部材の形成方法
US5306666A (en) 1992-07-24 1994-04-26 Nippon Steel Corporation Process for forming a thin metal film by chemical vapor deposition
JPH0669157A (ja) 1992-08-21 1994-03-11 Sony Corp チタン膜の形成方法
FR2695944B1 (fr) 1992-09-24 1994-11-18 Onera (Off Nat Aerospatiale) Appareil de dépôt chimique en phase vapeur activé par un plasma micro-ondes.
JP3356531B2 (ja) 1994-02-15 2002-12-16 東京エレクトロン株式会社 ボロン含有ポリシリコン膜の形成方法
US6200389B1 (en) 1994-07-18 2001-03-13 Silicon Valley Group Thermal Systems Llc Single body injector and deposition chamber
FI97731C (fi) 1994-11-28 1997-02-10 Mikrokemia Oy Menetelmä ja laite ohutkalvojen valmistamiseksi
FI97730C (fi) 1994-11-28 1997-02-10 Mikrokemia Oy Laitteisto ohutkalvojen valmistamiseksi
FI100409B (fi) 1994-11-28 1997-11-28 Asm Int Menetelmä ja laitteisto ohutkalvojen valmistamiseksi
EP0742847B1 (en) 1994-11-30 2000-05-24 Micron Technology, Inc. A method of depositing tungsten nitride using a source gas comprising silicon
WO1996018756A1 (en) 1994-12-16 1996-06-20 Nkt Research Center A/S A PA-CVD PROCESS FOR DEPOSITION OF A SOLID METAL-CONTAINING FILM ONTO A SUBSTRATE CONTAINING AT LEAST 50 % of Fe or WC
WO1996020298A1 (de) 1994-12-27 1996-07-04 Siemens Aktiengesellschaft Verfahren zum herstellen von mit bor dotiertem, einkristallinem siliciumcarbid
US6006763A (en) 1995-01-11 1999-12-28 Seiko Epson Corporation Surface treatment method
JPH08264530A (ja) 1995-03-20 1996-10-11 Fujitsu Ltd 半導体装置の製造方法及び半導体装置の製造装置
DE19514081A1 (de) 1995-04-13 1996-10-17 Siemens Ag Verfahren zum Herstellen eines elektrischen Kontakts auf einer SiC-Oberfläche
US5744254A (en) 1995-05-24 1998-04-28 Virginia Tech Intellectual Properties, Inc. Composite materials including metallic matrix composite reinforcements
US5595784A (en) 1995-08-01 1997-01-21 Kaim; Robert Titanium nitride and multilayers formed by chemical vapor deposition of titanium halides
JPH0955365A (ja) * 1995-08-10 1997-02-25 Hewlett Packard Co <Hp> 半導体基板の表面清浄方法
JPH0987857A (ja) 1995-09-27 1997-03-31 Res Dev Corp Of Japan プラズマcvdによる炭化物コーティング方法
TW328971B (en) 1995-10-30 1998-04-01 Dow Corning Method for depositing Si-O containing coatings
KR0155918B1 (ko) 1995-11-03 1998-12-01 김광호 선택적 텅스텐질화박막을 이용한 반도체장치의 캐패시터 형성방법
US6509098B1 (en) 1995-11-17 2003-01-21 Massachusetts Institute Of Technology Poly(ethylene oxide) coated surfaces
US5672054A (en) 1995-12-07 1997-09-30 Carrier Corporation Rotary compressor with reduced lubrication sensitivity
US5946594A (en) 1996-01-02 1999-08-31 Micron Technology, Inc. Chemical vapor deposition of titanium from titanium tetrachloride and hydrocarbon reactants
US5965004A (en) 1996-03-13 1999-10-12 Sterling Pulp Chemicals, Ltd. Chlorine dioxide generation for water treatment
US5789024A (en) 1996-05-15 1998-08-04 New Jersey Institute Of Technology Subnanoscale composite, N2-permselective membrane for the separation of volatile organic compounds
US6342277B1 (en) 1996-08-16 2002-01-29 Licensee For Microelectronics: Asm America, Inc. Sequential chemical vapor deposition
US5916365A (en) 1996-08-16 1999-06-29 Sherman; Arthur Sequential chemical vapor deposition
FI100758B (fi) 1996-09-11 1998-02-13 Planar Internat Oy Ltd Menetelmä ZnS:Mn-loisteainekerroksen kasvattamiseksi ohutkalvoelektrol uminenssikomponentteja varten
JP3353874B2 (ja) 1996-09-24 2002-12-03 シャープ株式会社 半導体装置及びその製造方法
EP0841690B1 (en) 1996-11-12 2006-03-01 Samsung Electronics Co., Ltd. Tungsten nitride (WNx) layer manufacturing method and metal wiring manufacturing method
TW320752B (en) 1996-11-18 1997-11-21 United Microelectronics Corp Metal gate electrode process
US6174377B1 (en) 1997-03-03 2001-01-16 Genus, Inc. Processing chamber for atomic layer deposition processes
US6156382A (en) 1997-05-16 2000-12-05 Applied Materials, Inc. Chemical vapor deposition process for depositing tungsten
US6287965B1 (en) 1997-07-28 2001-09-11 Samsung Electronics Co, Ltd. Method of forming metal layer using atomic layer deposition and semiconductor device having the metal layer as barrier metal layer or upper or lower electrode of capacitor
KR100269306B1 (ko) 1997-07-31 2000-10-16 윤종용 저온처리로안정화되는금속산화막으로구성된완충막을구비하는집적회로장치및그제조방법
KR100261017B1 (ko) 1997-08-19 2000-08-01 윤종용 반도체 장치의 금속 배선층을 형성하는 방법
US5913145A (en) 1997-08-28 1999-06-15 Texas Instruments Incorporated Method for fabricating thermally stable contacts with a diffusion barrier formed at high temperatures
US6348376B2 (en) 1997-09-29 2002-02-19 Samsung Electronics Co., Ltd. Method of forming metal nitride film by chemical vapor deposition and method of forming metal contact and capacitor of semiconductor device using the same
KR100274603B1 (ko) 1997-10-01 2001-01-15 윤종용 반도체장치의제조방법및그의제조장치
US5972430A (en) 1997-11-26 1999-10-26 Advanced Technology Materials, Inc. Digital chemical vapor deposition (CVD) method for forming a multi-component oxide layer
US6099904A (en) 1997-12-02 2000-08-08 Applied Materials, Inc. Low resistivity W using B2 H6 nucleation step
FI104383B (fi) 1997-12-09 2000-01-14 Fortum Oil & Gas Oy Menetelmä laitteistojen sisäpintojen päällystämiseksi
US6104074A (en) 1997-12-11 2000-08-15 Apa Optics, Inc. Schottky barrier detectors for visible-blind ultraviolet detection
KR100269328B1 (ko) 1997-12-31 2000-10-16 윤종용 원자층 증착 공정을 이용하는 도전층 형성방법
US6015917A (en) 1998-01-23 2000-01-18 Advanced Technology Materials, Inc. Tantalum amide precursors for deposition of tantalum nitride on a substrate
US6303523B2 (en) 1998-02-11 2001-10-16 Applied Materials, Inc. Plasma processes for depositing low dielectric constant films
KR100287174B1 (ko) 1998-03-17 2001-04-16 윤종용 다원자계산화물 및 질화물의박막제조방법
US6159871A (en) * 1998-05-29 2000-12-12 Dow Corning Corporation Method for producing hydrogenated silicon oxycarbide films having low dielectric constant
US6380627B1 (en) 1998-06-26 2002-04-30 The Regents Of The University Of California Low resistance barrier layer for isolating, adhering, and passivating copper metal in semiconductor fabrication
DE19832245A1 (de) 1998-07-17 2000-01-20 Heidelberger Druckmasch Ag Verfahren zum Bedienen von Geräten in der Reproduktionstechnik
JP2960928B1 (ja) 1998-07-24 1999-10-12 スタンレー電気株式会社 車両用信号灯具
KR100275738B1 (ko) 1998-08-07 2000-12-15 윤종용 원자층 증착법을 이용한 박막 제조방법
US6188134B1 (en) 1998-08-20 2001-02-13 The United States Of America As Represented By The Secretary Of The Navy Electronic devices with rubidium barrier film and process for making same
US6727190B2 (en) 1998-09-03 2004-04-27 Micron Technology, Inc. Method of forming fluorine doped boron-phosphorous silicate glass (F-BPSG) insulating materials
MXPA01008146A (es) 1999-02-11 2003-07-21 Hardide Ltd Revestimientos de carburo de tungsteno, y metodo para producir los mismos.
AU3229600A (en) 1999-02-12 2000-08-29 Gelest, Inc. Chemical vapor deposition of tungsten nitride
US6200893B1 (en) 1999-03-11 2001-03-13 Genus, Inc Radical-assisted sequential CVD
KR20000060438A (ko) 1999-03-16 2000-10-16 이경수 산화알루미늄 막의 형성 방법
KR100273473B1 (ko) 1999-04-06 2000-11-15 이경수 박막 형성 방법
US6124158A (en) 1999-06-08 2000-09-26 Lucent Technologies Inc. Method of reducing carbon contamination of a thin dielectric film by using gaseous organic precursors, inert gas, and ozone to react with carbon contaminants
US6613383B1 (en) 1999-06-21 2003-09-02 Regents Of The University Of Colorado Atomic layer controlled deposition on particle surfaces
US6391785B1 (en) 1999-08-24 2002-05-21 Interuniversitair Microelektronica Centrum (Imec) Method for bottomless deposition of barrier layers in integrated circuit metallization schemes
US6511539B1 (en) 1999-09-08 2003-01-28 Asm America, Inc. Apparatus and method for growth of a thin film
US6576053B1 (en) 1999-10-06 2003-06-10 Samsung Electronics Co., Ltd. Method of forming thin film using atomic layer deposition method
US6475276B1 (en) 1999-10-15 2002-11-05 Asm Microchemistry Oy Production of elemental thin films using a boron-containing reducing agent
AU1208201A (en) 1999-10-15 2001-04-30 Asm America, Inc. Method for depositing nanolaminate thin films on sensitive surfaces
KR100737901B1 (ko) 1999-10-15 2007-07-10 에이에스엠 인터내셔널 엔.브이. 민감한 표면에 나노적층박막을 증착하는 방법
KR100795534B1 (ko) 1999-10-15 2008-01-16 에이에스엠 인터내셔널 엔.브이. 상감법 금속화를 위한 균일한 라이닝층
US6727169B1 (en) 1999-10-15 2004-04-27 Asm International, N.V. Method of making conformal lining layers for damascene metallization
US6203613B1 (en) 1999-10-19 2001-03-20 International Business Machines Corporation Atomic layer deposition with nitrate containing precursors
FI118804B (fi) 1999-12-03 2008-03-31 Asm Int Menetelmä oksidikalvojen kasvattamiseksi
US6780704B1 (en) 1999-12-03 2004-08-24 Asm International Nv Conformal thin films over textured capacitor electrodes
FI20000099A0 (fi) 2000-01-18 2000-01-18 Asm Microchemistry Ltd Menetelmä metalliohutkalvojen kasvattamiseksi
KR20010075977A (ko) 2000-01-21 2001-08-11 윤덕용 부가 단량체 단일선구물질을 이용한 13 족 질화물 박막의제조방법
WO2001066832A2 (en) 2000-03-07 2001-09-13 Asm America, Inc. Graded thin films
DE10018156A1 (de) 2000-04-12 2001-10-25 Bosch Gmbh Robert Elektromotor
US6410462B1 (en) * 2000-05-12 2002-06-25 Sharp Laboratories Of America, Inc. Method of making low-K carbon doped silicon oxide
TW508658B (en) 2000-05-15 2002-11-01 Asm Microchemistry Oy Process for producing integrated circuits
US6482733B2 (en) 2000-05-15 2002-11-19 Asm Microchemistry Oy Protective layers prior to alternating layer deposition
US6194310B1 (en) 2000-06-01 2001-02-27 Sharp Laboratories Of America, Inc. Method of forming amorphous conducting diffusion barriers
US6713177B2 (en) 2000-06-21 2004-03-30 Regents Of The University Of Colorado Insulating and functionalizing fine metal-containing particles with conformal ultra-thin films
US20040224504A1 (en) 2000-06-23 2004-11-11 Gadgil Prasad N. Apparatus and method for plasma enhanced monolayer processing
US7405158B2 (en) 2000-06-28 2008-07-29 Applied Materials, Inc. Methods for depositing tungsten layers employing atomic layer deposition techniques
US7101795B1 (en) 2000-06-28 2006-09-05 Applied Materials, Inc. Method and apparatus for depositing refractory metal layers employing sequential deposition techniques to form a nucleation layer
US6355561B1 (en) 2000-11-21 2002-03-12 Micron Technology, Inc. ALD method to improve surface coverage
US20020104481A1 (en) 2000-12-06 2002-08-08 Chiang Tony P. System and method for modulated ion-induced atomic layer deposition (MII-ALD)
US6583048B2 (en) * 2001-01-17 2003-06-24 Air Products And Chemicals, Inc. Organosilicon precursors for interlayer dielectric films with low dielectric constants
US6464779B1 (en) 2001-01-19 2002-10-15 Novellus Systems, Inc. Copper atomic layer chemical vapor desposition
JP4160732B2 (ja) 2001-03-13 2008-10-08 富士フイルム株式会社 ハロゲン化銀写真乳剤
FI109770B (fi) 2001-03-16 2002-10-15 Asm Microchemistry Oy Menetelmä metallinitridiohutkalvojen valmistamiseksi
US6884719B2 (en) 2001-03-20 2005-04-26 Mattson Technology, Inc. Method for depositing a coating having a relatively high dielectric constant onto a substrate
US6861334B2 (en) 2001-06-21 2005-03-01 Asm International, N.V. Method of fabricating trench isolation structures for integrated circuits using atomic layer deposition
US7043133B2 (en) * 2001-07-12 2006-05-09 Little Optics, Inc. Silicon-oxycarbide high index contrast, low-loss optical waveguides and integrated thermo-optic devices
US7211144B2 (en) 2001-07-13 2007-05-01 Applied Materials, Inc. Pulsed nucleation deposition of tungsten layers
US20040206008A1 (en) 2001-07-16 2004-10-21 Chien-Min Sung SiCN compositions and methods
WO2003023835A1 (en) 2001-08-06 2003-03-20 Genitech Co., Ltd. Plasma enhanced atomic layer deposition (peald) equipment and method of forming a conducting thin film using the same thereof
WO2003025243A2 (en) 2001-09-14 2003-03-27 Asm International N.V. Metal nitride deposition by ald using gettering reactant
US20030049931A1 (en) 2001-09-19 2003-03-13 Applied Materials, Inc. Formation of refractory metal nitrides using chemisorption techniques
US6960537B2 (en) 2001-10-02 2005-11-01 Asm America, Inc. Incorporation of nitrogen into high k dielectric film
TW589684B (en) 2001-10-10 2004-06-01 Applied Materials Inc Method for depositing refractory metal layers employing sequential deposition techniques
US20030119305A1 (en) 2001-12-21 2003-06-26 Huang Robert Y. S. Mask layer and dual damascene interconnect structure in a semiconductor device
US6809026B2 (en) 2001-12-21 2004-10-26 Applied Materials, Inc. Selective deposition of a barrier layer on a metal film
US20030123216A1 (en) 2001-12-27 2003-07-03 Yoon Hyungsuk A. Deposition of tungsten for the formation of conformal tungsten silicide
KR20030057938A (ko) 2001-12-29 2003-07-07 주식회사 하이닉스반도체 반도체 소자의 실리콘 질화막 제조방법
US6827978B2 (en) 2002-02-11 2004-12-07 Applied Materials, Inc. Deposition of tungsten films
US20030157760A1 (en) 2002-02-20 2003-08-21 Applied Materials, Inc. Deposition of tungsten films for dynamic random access memory (DRAM) applications
US6833161B2 (en) 2002-02-26 2004-12-21 Applied Materials, Inc. Cyclical deposition of tungsten nitride for metal oxide gate electrode
US6972267B2 (en) 2002-03-04 2005-12-06 Applied Materials, Inc. Sequential deposition of tantalum nitride using a tantalum-containing precursor and a nitrogen-containing precursor
JP4108999B2 (ja) 2002-03-26 2008-06-25 大日本印刷株式会社 積層フィルム
US20030194825A1 (en) 2002-04-10 2003-10-16 Kam Law Deposition of gate metallization for active matrix liquid crystal display (AMLCD) applications
US20030203616A1 (en) 2002-04-24 2003-10-30 Applied Materials, Inc. Atomic layer deposition of tungsten barrier layers using tungsten carbonyls and boranes for copper metallization
US20040129212A1 (en) 2002-05-20 2004-07-08 Gadgil Pradad N. Apparatus and method for delivery of reactive chemical precursors to the surface to be treated
JP4170669B2 (ja) * 2002-05-24 2008-10-22 大日本印刷株式会社 積層体およびその製造方法
KR20030093575A (ko) 2002-06-03 2003-12-11 주식회사 하이닉스반도체 고선택성 질화막을 이용한 캐패시터 제조방법
US7045406B2 (en) 2002-12-03 2006-05-16 Asm International, N.V. Method of forming an electrode with adjusted work function
KR20040060402A (ko) 2002-12-30 2004-07-06 주식회사 하이닉스반도체 반도체소자의 콘택 형성방법
KR20030016346A (ko) 2003-01-29 2003-02-26 윤태식 차량 부재 운행 자동 관리 시스템
US7198820B2 (en) 2003-02-06 2007-04-03 Planar Systems, Inc. Deposition of carbon- and transition metal-containing thin films
KR100511913B1 (ko) 2003-03-06 2005-09-02 주식회사 하이닉스반도체 반도체 소자의 비트라인 형성 방법
KR100494129B1 (ko) 2003-03-06 2005-06-10 주식회사 하이닉스반도체 반도체 소자의 전극 형성방법
JP2004288979A (ja) 2003-03-24 2004-10-14 L'air Liquide Sa Pour L'etude & L'exploitation Des Procede S Georges Claude 絶縁膜の成膜方法
JP4423914B2 (ja) 2003-05-13 2010-03-03 東京エレクトロン株式会社 処理装置及びその使用方法
US7115534B2 (en) * 2003-05-19 2006-10-03 Applied Materials, Inc. Dielectric materials to prevent photoresist poisoning
KR20040100767A (ko) 2003-05-24 2004-12-02 주식회사 하이닉스반도체 저압 실리콘 질화막 형성 방법
US20040238876A1 (en) 2003-05-29 2004-12-02 Sunpil Youn Semiconductor structure having low resistance and method of manufacturing same
US7211508B2 (en) 2003-06-18 2007-05-01 Applied Materials, Inc. Atomic layer deposition of tantalum based barrier materials
KR100543517B1 (ko) 2003-06-23 2006-01-20 주식회사 포스코 수처리 설비의 원격 전력량 계측 시스템
US20050271893A1 (en) 2004-06-04 2005-12-08 Applied Microstructures, Inc. Controlled vapor deposition of multilayered coatings adhered by an oxide layer
US7030430B2 (en) 2003-08-15 2006-04-18 Intel Corporation Transition metal alloys for use as a gate electrode and devices incorporating these alloys
US7074690B1 (en) 2004-03-25 2006-07-11 Novellus Systems, Inc. Selective gap-fill process
US7105430B2 (en) 2004-03-26 2006-09-12 Freescale Semiconductor, Inc. Method for forming a semiconductor device having a notched control electrode and structure thereof
US7115974B2 (en) * 2004-04-27 2006-10-03 Taiwan Semiconductor Manfacturing Company, Ltd. Silicon oxycarbide and silicon carbonitride based materials for MOS devices
US20050252449A1 (en) 2004-05-12 2005-11-17 Nguyen Son T Control of gas flow and delivery to suppress the formation of particles in an MOCVD/ALD system
JP2006040936A (ja) 2004-07-22 2006-02-09 L'air Liquide Sa Pour L'etude & L'exploitation Des Procede S Georges Claude 絶縁膜の成膜方法および絶縁膜成膜装置
US7422776B2 (en) 2004-08-24 2008-09-09 Applied Materials, Inc. Low temperature process to produce low-K dielectrics with low stress by plasma-enhanced chemical vapor deposition (PECVD)
US7491658B2 (en) 2004-10-13 2009-02-17 International Business Machines Corporation Ultra low k plasma enhanced chemical vapor deposition processes using a single bifunctional precursor containing both a SiCOH matrix functionality and organic porogen functionality
KR100714269B1 (ko) 2004-10-14 2007-05-02 삼성전자주식회사 반도체 소자 제조에 사용되는 금속층 형성방법
US7015153B1 (en) 2004-10-20 2006-03-21 Freescale Semiconductor, Inc. Method for forming a layer using a purging gas in a semiconductor process
US7892648B2 (en) 2005-01-21 2011-02-22 International Business Machines Corporation SiCOH dielectric material with improved toughness and improved Si-C bonding
KR100640550B1 (ko) 2005-01-26 2006-10-31 주식회사 아이피에스 플라즈마 ald 박막증착방법
US7438949B2 (en) 2005-01-27 2008-10-21 Applied Materials, Inc. Ruthenium containing layer deposition method
US20060240187A1 (en) 2005-01-27 2006-10-26 Applied Materials, Inc. Deposition of an intermediate catalytic layer on a barrier layer for copper metallization
JP4258518B2 (ja) 2005-03-09 2009-04-30 東京エレクトロン株式会社 成膜方法、成膜装置及び記憶媒体
JP2006261434A (ja) 2005-03-17 2006-09-28 L'air Liquide Sa Pour L'etude & L'exploitation Des Procede S Georges Claude シリコン酸化膜の形成方法
US8486845B2 (en) 2005-03-21 2013-07-16 Tokyo Electron Limited Plasma enhanced atomic layer deposition system and method
US7220671B2 (en) 2005-03-31 2007-05-22 Intel Corporation Organometallic precursors for the chemical phase deposition of metal films in interconnect applications
FR2887252A1 (fr) 2005-06-21 2006-12-22 Air Liquide Procede de formation d'un film dielectrique et nouveaux precurseurs pour la mise en oeuvre de ce procede
US20070054046A1 (en) 2005-09-06 2007-03-08 Tokyo Electron Limited Method of forming a tantalum-containing layer from a metalorganic precursor
JP4864402B2 (ja) 2005-09-29 2012-02-01 株式会社東芝 半導体装置の製造方法
MY158548A (en) 2005-09-29 2016-10-14 Praxair Technology Inc Organometallic compounds and methods of use thereof
US8993055B2 (en) 2005-10-27 2015-03-31 Asm International N.V. Enhanced thin film deposition
DE102006004430B4 (de) * 2006-01-31 2010-06-10 Advanced Micro Devices, Inc., Sunnyvale Verfahren und System für eine fortschrittliche Prozesssteuerung in einem Ätzsystem durch Gasflusssteuerung auf der Grundlage von CD-Messungen
US7407876B2 (en) 2006-03-20 2008-08-05 Tokyo Electron Limited Method of plasma enhanced atomic layer deposition of TaC and TaCN films having good adhesion to copper
US7601651B2 (en) 2006-03-31 2009-10-13 Applied Materials, Inc. Method to improve the step coverage and pattern loading for dielectric films
FR2900276B1 (fr) 2006-04-25 2008-09-12 St Microelectronics Sa Depot peald d'un materiau a base de silicium
US8530361B2 (en) 2006-05-23 2013-09-10 Air Products And Chemicals, Inc. Process for producing silicon and oxide films from organoaminosilane precursors
WO2008007770A1 (en) * 2006-07-14 2008-01-17 Dai Nippon Printing Co., Ltd. Transparent conducting layer coated film and its use
US7939455B2 (en) 2006-09-29 2011-05-10 Tokyo Electron Limited Method for forming strained silicon nitride films and a device containing such films
US8268409B2 (en) 2006-10-25 2012-09-18 Asm America, Inc. Plasma-enhanced deposition of metal carbide films
US7727864B2 (en) * 2006-11-01 2010-06-01 Asm America, Inc. Controlled composition using plasma-enhanced atomic layer deposition
US7611751B2 (en) 2006-11-01 2009-11-03 Asm America, Inc. Vapor deposition of metal carbide films
US7598170B2 (en) 2007-01-26 2009-10-06 Asm America, Inc. Plasma-enhanced ALD of tantalum nitride films
CN101668879B (zh) * 2007-03-28 2012-05-09 陶氏康宁公司 含硅和碳的阻挡层的卷到卷等离子体增强化学气相沉积方法
US8080483B2 (en) * 2007-04-05 2011-12-20 Purdue Research Foundation Double gyroid structure nanoporous films and nanowire networks
US7713874B2 (en) 2007-05-02 2010-05-11 Asm America, Inc. Periodic plasma annealing in an ALD-type process
US7585762B2 (en) 2007-09-25 2009-09-08 Applied Materials, Inc. Vapor deposition processes for tantalum carbide nitride materials
US7794798B2 (en) 2007-09-29 2010-09-14 Tel Epion Inc. Method for depositing films using gas cluster ion beam processing
US7943531B2 (en) 2007-10-22 2011-05-17 Applied Materials, Inc. Methods for forming a silicon oxide layer over a substrate
KR100920033B1 (ko) 2007-12-10 2009-10-07 (주)피앤테크 에스아이오씨 박막 제조용 프리커서를 이용한 박막 형성방법
WO2009129332A2 (en) 2008-04-16 2009-10-22 Asm America, Inc. Atomic layer deposition of metal carbide films using aluminum hydrocarbon compounds
US8298628B2 (en) 2008-06-02 2012-10-30 Air Products And Chemicals, Inc. Low temperature deposition of silicon-containing films
KR101444707B1 (ko) 2008-06-03 2014-09-26 에어 프로덕츠 앤드 케미칼스, 인코오포레이티드 실리콘 함유 막의 저온 증착
US8129555B2 (en) * 2008-08-12 2012-03-06 Air Products And Chemicals, Inc. Precursors for depositing silicon-containing films and methods for making and using same
JP5364342B2 (ja) 2008-11-10 2013-12-11 株式会社東芝 不揮発性半導体記憶装置、及びその製造方法
US8637396B2 (en) * 2008-12-01 2014-01-28 Air Products And Chemicals, Inc. Dielectric barrier deposition using oxygen containing precursor
US20100148903A1 (en) * 2008-12-12 2010-06-17 General Electric Company Electrical energy transformation apparatus
JP5083200B2 (ja) 2008-12-25 2012-11-28 東洋製罐株式会社 蒸着膜を備えたプラスチック成形品
US8703624B2 (en) * 2009-03-13 2014-04-22 Air Products And Chemicals, Inc. Dielectric films comprising silicon and methods for making same
US8465812B2 (en) * 2009-03-23 2013-06-18 The Boeing Company Durable transparent intelligent coatings for polymeric transparencies
KR101183831B1 (ko) * 2009-05-25 2012-09-18 (주)석경에이티 단분산의 복합 실리카 미세입자의 제조방법
FR2949111B1 (fr) * 2009-08-13 2013-03-22 Essilor Int Procede de fabrication d'un substrat revetu d'un film antistatique mesoporeux et son application en optique ophtalmique
US10513772B2 (en) 2009-10-20 2019-12-24 Asm International N.V. Process for passivating dielectric films
US20110159202A1 (en) * 2009-12-29 2011-06-30 Asm Japan K.K. Method for Sealing Pores at Surface of Dielectric Layer by UV Light-Assisted CVD
US9076646B2 (en) 2010-04-15 2015-07-07 Lam Research Corporation Plasma enhanced atomic layer deposition with pulsed plasma exposure
US8637411B2 (en) 2010-04-15 2014-01-28 Novellus Systems, Inc. Plasma activated conformal dielectric film deposition
US9390909B2 (en) 2013-11-07 2016-07-12 Novellus Systems, Inc. Soft landing nanolaminates for advanced patterning
KR20120003677A (ko) 2010-07-05 2012-01-11 삼성전자주식회사 반도체 장치 및 그의 형성 방법
EP2598441B1 (en) * 2010-07-26 2021-01-06 Waters Technologies Corporation Superficially porous materials comprising a substantially nonporous core having narrow particle size distribution; process for the preparation thereof; and use thereof for chromatographic separations
KR101778287B1 (ko) 2010-08-30 2017-09-14 삼성전자주식회사 반도체 메모리 소자 및 그 제조방법
KR20120030193A (ko) 2010-09-17 2012-03-28 삼성전자주식회사 3차원 반도체 장치의 제조 방법
KR101787041B1 (ko) 2010-11-17 2017-10-18 삼성전자주식회사 식각방지막이 구비된 반도체 소자 및 그 제조방법
US20120208347A1 (en) 2011-02-11 2012-08-16 Samsung Electronics Co., Ltd. Three-dimensional semiconductor memory devices and methods of fabricating the same
JP2012169408A (ja) * 2011-02-14 2012-09-06 Taiyo Nippon Sanso Corp マスク用材料、マスクの形成方法、パターン形成方法、及びエッチング保護膜
US8647993B2 (en) 2011-04-11 2014-02-11 Novellus Systems, Inc. Methods for UV-assisted conformal film deposition
TWI504701B (zh) * 2011-04-28 2015-10-21 Fujifilm Corp 導電性構件、其製造方法、組成物、觸控面板及太陽電池
US8771807B2 (en) * 2011-05-24 2014-07-08 Air Products And Chemicals, Inc. Organoaminosilane precursors and methods for making and using same
WO2013016594A2 (en) * 2011-07-26 2013-01-31 Virginia Commonwealth University Abhesive coatings
KR20130015145A (ko) 2011-08-02 2013-02-13 삼성전자주식회사 반도체 소자의 미세 패턴 형성 방법
JP6199292B2 (ja) 2011-09-23 2017-09-20 ノベラス・システムズ・インコーポレーテッドNovellus Systems Incorporated プラズマ活性化されるコンフォーマル誘電体膜
WO2013043501A1 (en) 2011-09-23 2013-03-28 Applied Materials, Inc. Metal-aluminum alloy films from metal amidinate precursors and aluminum precursors
US8569184B2 (en) 2011-09-30 2013-10-29 Asm Japan K.K. Method for forming single-phase multi-element film by PEALD
US9478413B2 (en) * 2011-10-14 2016-10-25 Hitachi Kokusai Electric Inc. Method of manufacturing semiconductor device, substrate processing method, substrate processing apparatus, and recording medium
TWI541377B (zh) 2011-11-04 2016-07-11 Asm國際股份有限公司 形成摻雜二氧化矽薄膜的方法
DE102011117859A1 (de) 2011-11-08 2013-05-08 Fachhochschule Köln Prüfkörper und Verfahren zur Überprüfung der Übertragungseigenschaften von Volumentomographen
FR2982608B1 (fr) * 2011-11-16 2013-11-22 Saint Gobain Couche barriere aux metaux alcalins a base de sioc
JP5694129B2 (ja) 2011-11-29 2015-04-01 株式会社東芝 半導体装置及びその製造方法
US9200167B2 (en) 2012-01-27 2015-12-01 Air Products And Chemicals, Inc. Alkoxyaminosilane compounds and applications thereof
US9111746B2 (en) 2012-03-22 2015-08-18 Tokyo Electron Limited Method for reducing damage to low-k gate spacer during etching
US10787591B2 (en) * 2012-04-30 2020-09-29 The Boeing Company Composites including silicon-oxy-carbide layers and methods of making the same
US10211310B2 (en) 2012-06-12 2019-02-19 Novellus Systems, Inc. Remote plasma based deposition of SiOC class of films
US10325773B2 (en) 2012-06-12 2019-06-18 Novellus Systems, Inc. Conformal deposition of silicon carbide films
US20180330945A1 (en) * 2012-06-12 2018-11-15 Lam Research Corporation Remote plasma based deposition of silicon carbide films using silicon-containing and carbon-containing precursors
US10832904B2 (en) 2012-06-12 2020-11-10 Lam Research Corporation Remote plasma based deposition of oxygen doped silicon carbide films
TWI506734B (zh) 2012-07-13 2015-11-01 Macronix Int Co Ltd 嵌鑲字元線
JP2014022657A (ja) * 2012-07-20 2014-02-03 Fujifilm Corp エッチング方法、これを用いた半導体基板製品および半導体素子の製造方法、ならびにエッチング液調製用キット
TWI579322B (zh) * 2012-07-25 2017-04-21 國立交通大學 多孔性材料之製備方法
US9243324B2 (en) 2012-07-30 2016-01-26 Air Products And Chemicals, Inc. Methods of forming non-oxygen containing silicon-based films
NL2009306C2 (en) * 2012-08-09 2014-02-11 Stichting Energie Membranes for dewatering acid mixtures.
US9355839B2 (en) 2012-10-23 2016-05-31 Lam Research Corporation Sub-saturated atomic layer deposition and conformal film deposition
SG2013083654A (en) 2012-11-08 2014-06-27 Novellus Systems Inc Methods for depositing films on sensitive substrates
JP6415808B2 (ja) 2012-12-13 2018-10-31 株式会社Kokusai Electric 半導体装置の製造方法、基板処理装置およびプログラム
US9824881B2 (en) 2013-03-14 2017-11-21 Asm Ip Holding B.V. Si precursors for deposition of SiN at low temperatures
US9564309B2 (en) 2013-03-14 2017-02-07 Asm Ip Holding B.V. Si precursors for deposition of SiN at low temperatures
JP5998101B2 (ja) * 2013-05-24 2016-09-28 株式会社日立国際電気 半導体装置の製造方法、基板処理装置及びプログラム
JP5788448B2 (ja) 2013-09-09 2015-09-30 株式会社日立国際電気 半導体装置の製造方法、基板処理装置及びプログラム
US10453675B2 (en) 2013-09-20 2019-10-22 Versum Materials Us, Llc Organoaminosilane precursors and methods for depositing films comprising same
US9847222B2 (en) 2013-10-25 2017-12-19 Lam Research Corporation Treatment for flowable dielectric deposition on substrate surfaces
JP6246558B2 (ja) * 2013-10-29 2017-12-13 東京エレクトロン株式会社 シリコン酸炭窒化物膜、シリコン酸炭化物膜、シリコン酸窒化物膜の成膜方法および成膜装置
TWI654336B (zh) 2013-12-30 2019-03-21 美商蘭姆研究公司 具有脈衝式電漿曝露之電漿輔助式原子層沉積
JP6129756B2 (ja) 2014-01-24 2017-05-17 株式会社東芝 半導体装置及びその製造方法
TWI686499B (zh) 2014-02-04 2020-03-01 荷蘭商Asm Ip控股公司 金屬、金屬氧化物與介電質的選擇性沉積
US9171736B2 (en) 2014-03-03 2015-10-27 Tokyo Electron Limited Spacer material modification to improve K-value and etch properties
JP5883049B2 (ja) 2014-03-04 2016-03-09 株式会社日立国際電気 半導体装置の製造方法、基板処理装置、プログラムおよび記録媒体
US20150252477A1 (en) 2014-03-06 2015-09-10 Applied Materials, Inc. In-situ carbon and oxide doping of atomic layer deposition silicon nitride films
US20150275355A1 (en) 2014-03-26 2015-10-01 Air Products And Chemicals, Inc. Compositions and methods for the deposition of silicon oxide films
US10643925B2 (en) 2014-04-17 2020-05-05 Asm Ip Holding B.V. Fluorine-containing conductive films
US9418889B2 (en) * 2014-06-30 2016-08-16 Lam Research Corporation Selective formation of dielectric barriers for metal interconnects in semiconductor devices
US9401309B2 (en) 2014-08-26 2016-07-26 Sandisk Technologies Llc Multiheight contact via structures for a multilevel interconnect structure
JP6438038B2 (ja) * 2014-09-19 2018-12-12 株式会社Kokusai Electric 半導体装置の製造方法、基板処理装置および記録媒体
US9478414B2 (en) * 2014-09-26 2016-10-25 Asm Ip Holding B.V. Method for hydrophobization of surface of silicon-containing film by ALD
KR102332415B1 (ko) * 2014-10-24 2021-12-01 버슘머트리얼즈 유에스, 엘엘씨 실리콘-함유 막을 증착시키기 위한 조성물 및 이를 사용하는 방법
US10354860B2 (en) * 2015-01-29 2019-07-16 Versum Materials Us, Llc Method and precursors for manufacturing 3D devices
US9777025B2 (en) * 2015-03-30 2017-10-03 L'Air Liquide, Société pour l'Etude et l'Exploitation des Procédés Georges Claude Si-containing film forming precursors and methods of using the same
US9425097B1 (en) 2015-04-29 2016-08-23 Globalfoundries Inc. Cut first alternative for 2D self-aligned via
JP6968701B2 (ja) * 2015-05-02 2021-11-17 アプライド マテリアルズ インコーポレイテッドApplied Materials, Incorporated 低誘電率かつ低湿式エッチング速度の誘電体薄膜を堆積させるための方法
KR102307059B1 (ko) 2015-05-13 2021-10-05 삼성전자주식회사 반도체 장치
US9455138B1 (en) 2015-11-10 2016-09-27 Asm Ip Holding B.V. Method for forming dielectric film in trenches by PEALD using H-containing gas
US9786491B2 (en) 2015-11-12 2017-10-10 Asm Ip Holding B.V. Formation of SiOCN thin films
US9786492B2 (en) 2015-11-12 2017-10-10 Asm Ip Holding B.V. Formation of SiOCN thin films
US10283348B2 (en) * 2016-01-20 2019-05-07 Versum Materials Us, Llc High temperature atomic layer deposition of silicon-containing films
US10699897B2 (en) 2016-01-24 2020-06-30 Applied Materials, Inc. Acetylide-based silicon precursors and their use as ALD/CVD precursors
US10475642B2 (en) 2016-04-21 2019-11-12 Applied Materials, Inc. Doped and undoped vanadium oxides for low-k spacer applications
KR102378021B1 (ko) * 2016-05-06 2022-03-23 에이에스엠 아이피 홀딩 비.브이. SiOC 박막의 형성
US20170323785A1 (en) * 2016-05-06 2017-11-09 Lam Research Corporation Method to deposit conformal and low wet etch rate encapsulation layer using pecvd
KR102600997B1 (ko) 2016-06-02 2023-11-14 삼성전자주식회사 메모리 장치
US10062563B2 (en) 2016-07-01 2018-08-28 Lam Research Corporation Selective atomic layer deposition with post-dose treatment
EP3266790B1 (en) 2016-07-05 2019-11-06 Samsung Electronics Co., Ltd Organometallic compound, organic light-emitting device including the same, and diagnostic composition including the organometallic compound
KR20180046964A (ko) 2016-10-28 2018-05-10 삼성전자주식회사 반도체 메모리 소자
US10249489B2 (en) 2016-11-02 2019-04-02 Versum Materials Us, Llc Use of silyl bridged alkyl compounds for dense OSG films
US10134757B2 (en) 2016-11-07 2018-11-20 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by using the method
US10186420B2 (en) 2016-11-29 2019-01-22 Asm Ip Holding B.V. Formation of silicon-containing thin films
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10847529B2 (en) 2017-04-13 2020-11-24 Asm Ip Holding B.V. Substrate processing method and device manufactured by the same
US10504901B2 (en) 2017-04-26 2019-12-10 Asm Ip Holding B.V. Substrate processing method and device manufactured using the same
US11501965B2 (en) * 2017-05-05 2022-11-15 Asm Ip Holding B.V. Plasma enhanced deposition processes for controlled formation of metal oxide thin films
KR20240010760A (ko) 2017-05-05 2024-01-24 에이에스엠 아이피 홀딩 비.브이. 산소 함유 박막의 형성을 제어하기 위한 플라즈마 강화 증착 공정
CN115233183A (zh) 2017-05-16 2022-10-25 Asm Ip 控股有限公司 电介质上氧化物的选择性peald
TWI761636B (zh) 2017-12-04 2022-04-21 荷蘭商Asm Ip控股公司 電漿增強型原子層沉積製程及沉積碳氧化矽薄膜的方法

Also Published As

Publication number Publication date
US20200273697A1 (en) 2020-08-27
JP2017201692A (ja) 2017-11-09
US20230132743A1 (en) 2023-05-04
KR102378021B1 (ko) 2022-03-23
JP2021184478A (ja) 2021-12-02
JP7479334B2 (ja) 2024-05-08
US10600637B2 (en) 2020-03-24
US11562900B2 (en) 2023-01-24
KR20220039696A (ko) 2022-03-29
TW201740539A (zh) 2017-11-16
US20170323782A1 (en) 2017-11-09
TWI737723B (zh) 2021-09-01
KR20230044381A (ko) 2023-04-04
KR20170125748A (ko) 2017-11-15
JP6923355B2 (ja) 2021-08-18
TW202341414A (zh) 2023-10-16
KR102515145B1 (ko) 2023-03-29
TWI810617B (zh) 2023-08-01
TW202141743A (zh) 2021-11-01

Similar Documents

Publication Publication Date Title
JP7479334B2 (ja) SiOC薄膜の形成
JP7135187B2 (ja) SiOCN薄膜の形成
JP7249952B2 (ja) 酸素含有薄膜の制御された形成のためのプラズマ増強堆積プロセス
US11114294B2 (en) Structure including SiOC layer and method of forming same
US10186420B2 (en) Formation of silicon-containing thin films
US20200075322A1 (en) FORMATION OF SiOCN THIN FILMS
TWI835151B (zh) 在基板上形成碳氧化矽的方法

Legal Events

Date Code Title Description
A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20230606

A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20230606