KR101444707B1 - 실리콘 함유 막의 저온 증착 - Google Patents

실리콘 함유 막의 저온 증착 Download PDF

Info

Publication number
KR101444707B1
KR101444707B1 KR1020137005367A KR20137005367A KR101444707B1 KR 101444707 B1 KR101444707 B1 KR 101444707B1 KR 1020137005367 A KR1020137005367 A KR 1020137005367A KR 20137005367 A KR20137005367 A KR 20137005367A KR 101444707 B1 KR101444707 B1 KR 101444707B1
Authority
KR
South Korea
Prior art keywords
containing source
plasma
nitrogen
deposition
substrate
Prior art date
Application number
KR1020137005367A
Other languages
English (en)
Other versions
KR20130039769A (ko
Inventor
리우 양
신지안 레이
빙 한
만차오 시아오
유진 죠셉 카왁키
카즈히데 하세베
마사노부 마츠나가
마사토 요네자와
한송 쳉
Original Assignee
에어 프로덕츠 앤드 케미칼스, 인코오포레이티드
도쿄엘렉트론가부시키가이샤
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Priority claimed from US12/476,734 external-priority patent/US8298628B2/en
Application filed by 에어 프로덕츠 앤드 케미칼스, 인코오포레이티드, 도쿄엘렉트론가부시키가이샤 filed Critical 에어 프로덕츠 앤드 케미칼스, 인코오포레이티드
Publication of KR20130039769A publication Critical patent/KR20130039769A/ko
Application granted granted Critical
Publication of KR101444707B1 publication Critical patent/KR101444707B1/ko

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02612Formation types
    • H01L21/02617Deposition types
    • H01L21/0262Reduction or decomposition of gaseous compounds, e.g. CVD
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45527Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations
    • C23C16/45536Use of plasma, radiation or electromagnetic fields
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02126Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing Si, O, and at least one of H, N, C, F, or other non-metal elements, e.g. SiOC, SiOC:H or SiONC
    • H01L21/0214Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing Si, O, and at least one of H, N, C, F, or other non-metal elements, e.g. SiOC, SiOC:H or SiONC the material being a silicon oxynitride, e.g. SiON or SiON:H
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02164Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon oxide, e.g. SiO2
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/0217Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon nitride not containing oxygen, e.g. SixNy or SixByNz
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02205Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
    • H01L21/02208Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si
    • H01L21/02211Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound being a silane, e.g. disilane, methylsilane or chlorosilane
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/02274Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition in the presence of a plasma [PECVD]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/0228Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition deposition by cyclic CVD, e.g. ALD, ALE, pulsed CVD

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Plasma & Fusion (AREA)
  • Electromagnetism (AREA)
  • General Chemical & Material Sciences (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • Chemical Vapour Deposition (AREA)
  • Formation Of Insulating Films (AREA)

Abstract

본 발명은 실리콘 니트라이드, 실리콘 옥시니트라이드, 실리콘 옥사이드, 탄소 도핑된 실리콘 니트라이드, 탄소 증착된 실리콘 옥사이드 및 탄소 도핑된 옥시니트라이드 막을 낮은 증착 온도에서 형성시키는 방법을 개시한다. 증착에 사용된 실리콘 함유 전구체는 모노클로로실란(MCS) 및 모노클로로알킬실란이다. 상기 방법은 바람직하게는 플라즈마 강화된 원자층 증착, 플라즈마 강화된 화학적 기상 증착, 및 플라즈마 강화된 주기적 화학적 기상 증착을 사용하여 실시된다.

Description

실리콘 함유 막의 저온 증착 {LOW TEMPERATURE DEPOSITION OF SILICON-CONTAINING FILMS}
본 발명은 실리콘 니트라이드, 실리콘 옥시니트라이드, 실리콘 옥사이드, 탄소 도핑된 실리콘 니트라이드, 탄소 도핑된 실리콘 옥사이드 및 탄소 도핑된 옥시니트라이드 막을 낮은 증착 온도에서 증착시키는 방법을 개시한다. 증착에 사용된 상기 실리콘 함유 전구체는 모노클로로실란(MCS) 및 모노클로로알킬실란이다.
실리콘 니트라이드 박막은 이의 독특한 물리적, 화학적 및 기계적 특성 때문에 다양한 응용에서 널리 사용되어 왔다. 특히 반도체 장치에서, 실리콘 니트라이드 막은 게이트 절연, 확산 마스크, 측벽 스페이서, 패시베이션(passivation) 및 캡슐화 등으로 사용되고 있다. 전형적으로, 전공정(Front End of Line)에 사용된 실리콘 니트라이드 막은 현재 디클로로실란 및 암모니아를 사용하는 750℃ 초과의 고온 벽 반응기에서 저압 화학적 기상 증착(LPCVD)에 의해 증착되고 있다. 그러나 집적 회로(IC)의 측면 및 수직 치수가 계속적으로 감소됨에 따라서, 실리콘과 금속 간의 원치 않는 반응을 방지하고 정확한 도핑 프로파일이 제어되는 초고 집적 소자를 실현하기 위해서는 훨씬 더 낮은 온도(<550℃)에서 실리콘 니트라이드 막을 증착시켜야 하는 요구가 점점 더 증가하고 있다.
실리콘 니트라이드 막을 저온에서 성장시키기 위해서, 최근에는 소량의 Ge의 첨가로 실리콘 니트라이드 막에 대해 요구된 증착 온도를 감소시킬 수 있음이 보고되었다(US 7,119,016 B2). 그러나, 이는 막 내로 원치않는 불순물을 도입시켜 막이 적합화되는 장치에 대한 신뢰성 문제를 일으킬 수 있고, 또한 증착 방법의 복잡성 및 비용을 증가시킬 수 있다.
상보적 금속 산화물 반도체(CMOS) 트랜지스터 성능을 개선시키기 위한 최근의 기술혁신에 의해 전류 극초대규모 집적(ULSI) 기술과 양립가능한 변형된(strained) 세라믹 층이 산업적으로 요구되게 되었다. 특히, 네거티브 금속 산화물 반도체(NMOS) 트랜지스터에 대한 채널 캐리어의 운동성은 MOS 트랜지스터의 채널 영역 상에 신장성의 단축 또는 이축 변형력을 도입함으로써 증가될 수 있다. 유사하게, 압축 변형된(compressively strained) 막이 포지티브 금속 산화물 반도체(PMOS) 트랜지스터에 대한 채널 캐리어의 운동성을 증가시키기 위해 사용될 수 있다. 미국 공개 공보 2008/0081470 A1에는, 변형된 SiN 막의 형성 방법, 및 상기 변형된 SiN 막을 함유하는 반도체 장치가 개시되어 있다.
하나의 구체예에 따르면, 본 발명은,
a. 기판을 질소 함유 공급원과 접촉시켜 기판상에 질소 함유 공급원의 일부 또는 전부를 흡수시키는 단계;
b. 미흡수된 질소 함유 공급원을 퍼지시키는 단계;
c. 기판을 실리콘 함유 전구체와 접촉시켜 흡수된 질소 함유 공급원 부분을 반응시키는 단계; 및
d. 미반응된 실리콘 함유 공급원을 퍼지시키는 단계를 포함하여, 가공 챔버 내 기판상에 실리콘 니트라이드 또는 탄소 도핑된 실리콘 니트라이드를 증착시키는 방법에 관한 것이다.
다른 구체예에 따르면, 본 발명은,
a. 기판을 산소 함유 공급원과 접촉시켜 기판상에 산소 함유 공급원의 일부 또는 전부를 흡수시키는 단계;
b. 미흡수된 산소 함유 공급원을 퍼지시키는 단계;
c. 기판을 실리콘 함유 전구체와 접촉시켜 흡수된 산소 함유 공급원 부분을 반응시키는 단계; 및
d. 미반응된 실리콘 함유 공급원을 퍼지시키는 단계를 포함하여, 가공 챔버 내 기판상에 실리콘 옥사이드 또는 탄소 도핑된 실리콘 옥사이드를 증착시키는 방법에 관한 것이다.
다른 구체예에 따르면, 본 발명은,
a. 기판을 산소 함유 공급원과 질소 함유 공급원의 혼합물과 접촉시켜, 기판상에 산소 함유 공급원의 일부 또는 전부, 및 질소 함유 공급원의 일부 또는 전부를 흡수시키는 단계;
b. 미흡수된 산소 함유 공급원 및 질소 함유 공급원을 퍼지시키는 단계;
c. 기판을 실리콘 함유 전구체와 접촉시켜 흡수된 산소 함유 공급원 및 질소 함유 공급원 부분을 반응시키는 단계; 및
d. 미반응된 실리콘 함유 공급원을 퍼지시키는 단계를 포함하여, 가공 챔버 내 기판상에 실리콘 옥시니트라이드 또는 탄소 도핑된 실리콘 옥시니트라이드를 증착시키는 방법에 관한 것이다.
상기 구체예에서의 방법은 바람직하게는 플라즈마 강화된 방법, 예컨대 플라즈마 강화된 원자층 증착(PEALD), 플라즈마 강화된 화학적 기상 증착(PECVD), 및 플라즈마 강화된 주기적 화학적 기상 증착이다. 플라즈마는 동일 반응계에서 생성된 플라즈마 또는 원거리 생성된(remotely generated) 플라즈마이다.
도 1에는 모노클로로실란(MCS) 및 디클로로실란(DCS)을 사용하여 PEALD를 통해 증착된 실리콘 니트라이드 막의 습식 에칭속도에 대한 비교 데이터가 제시되어 있다.
도 2에는 모노클로로실란(MCS) 및 디클로로실란(DCS)을 사용하여 암모니아 플라즈마 하 450℃에서 증착된 ALD 실리콘 니트라이드 막에 대한 2차 이온 질량 분광법(SIMNS)으로 분석된 클로라이드 농도에 대한 비교 데이터가 제시되어 있다.
본 발명은 실리콘 니트라이드, 실리콘 옥시니트라이드, 실리콘 옥사이드, 탄소 도핑된 실리콘 니트라이드, 탄소 증착된 실리콘 옥사이드, 및 탄소 도핑된 옥시니트라이드 막을 낮은 증착 온도에서 형성시키는 문제를 다루고 있다.
디클로로실란(DSC)은 암모니아와의 반응을 통해 실리콘 니트라이드를 증착시키기 위한 실리콘 공급원으로 반도체 산업에서 널리 사용되어 왔다. 전형적인 증착 온도는 550℃ 초과이고, 부산물은 DCS당 2몰의 HCl이다. 본 발명은 증착 온도를 낮추고 생성되는 막에서 클로라이드 오염을 감소시키기 위해 DCS를 대체하여 모노클로로실란(MCS)를 사용한다.
Figure 112013018319099-pat00001
암모니아 플라즈마 하에서 DCS 및 모노클로로실란의 반응에 대한 주기적 화학적 기상 증착 또는 원자층 증착 방법을 이해하기 위해서, PW91 교환 상관 기능을 갖는 스핀 편광된 밀도 기능 이론을 사용하여 양자 기계적 계산을 실시하였다. 편광 기능으로 보강된 이중 수치 원자 오비탈 기초 세트(double numerical atomic orbital basis set)를 분자 종의 전자 구조를 표현하는데 사용하였다. 바닥 상태 분자 구조는 전체 기하구조의 최적화시에 얻어졌다. DSC 또는 MCS와, 암모니아 플라즈마 하에 생성된 NH2ㆍ라디칼과의 다양한 반응에 대해 계산된 열화학에너지가 상기 표 1에 기재되어 있다.
표 1에 표시된 계산된 데이터로부터, Si-H 결합을 열화학적으로 분해시키기 위해 암모니아 플라즈마와 반응시키는 경우에(반응 2, 6, 10), 이 화학적 방법은 적절한 정도로 발열성임이 확인된다. 그러나, 암모니아 플라즈마를 통해 Si-Cl 결합을 분해시키기 위해서는, 이 반응(반응 1, 5, 9)은 모두 흡열성이다. 암모니아 플라즈마와의 반응을 위해 Si-Cl 결합보다 Si-H 결합을 분해시키는 것이 훨씬 더 용이한데, 이는 NH2ㆍ라디칼이 DCS에 의해 고정된 -SiH2Cl 단편보다 훨씬 더 용이하게 기판의 표면과 MCS의 반응을 통해 반-제작된 기판 상에 고정된 -SiH3 단편과 반응할 것임을 제안한다. 결과적으로, ALD 반응 온도 및 클로라이드 오염이 감소될 수 있다.
실시예: 실리콘 니트라이드 막
본 실시예에서, 실리콘 옥사이드 막은 하기 단계를 이용하여 증착되었다.
위에 막을 증착시킬 기판을 고온 벽 원자층 증착(ALD) 반응기로 로딩하였다. 상기 반응기를 Ar로 플래쉬시키고(flash), 0.1 Torr(T) 미만의 낮은 압력으로 펌프 다운(pump down)하고, 막 증착이 실시되는 온도까지 가열하였다.
Si 전구체로 MCS(모노클로로실란)을 일정 유량에서 반응기로 도입하였다. 반응기를 짧은 일정 시간(전형적으로는 10초) 동안 MCS로 포화시킨 다음, 0.1 T로 펌프 다운하고 나서, 일정 흐름의 NH3를 도입시켰다. 짧은 일정 시간(전형적으로는 20초) 동안 NH3 전구체로 포화시킨 후에 반응기를 다시 펌프 다운하였다. 이 주기를 목적하는 막 두께가 얻어질 때까지 반복하였다.
플라즈마 전력은 대략 100 W로 설정하였고, 온도는 대략 450℃로 설정하였다.
플라즈마는 질소 플라즈마, 질소와 수소 플라즈마의 혼합물, 또는 질소와 아르곤의 혼합물일 수 있다. 플라즈마는 동일 반응계 생성되거나 원거리 생성된다. MCS는 또한 플라즈마 여기될 수 있다.
도 1에는 PEALD를 통해 증착된 실리콘 니트라이드 막의 습식 에칭 속도에 대한 비교 데이터가 제시되어 있다. 도 1에는, 모노클로로실란(MCS)으로부터의 PEALD 막이 DCS로부터의 PEALD 막보다 훨씬 더 에칭에 내성있는 것으로 나타나 있다.
도 2에는 암모니아 플라즈마 하 450℃에서 증착된 ALD 실리콘 니트라이드 막에 대해 SIMS로 분석된 클로라이드 농도에 대한 비교 데이터가 도시되어 있다. 도 2에는, MCS가 더 낮은 클로라이드 함량 또는 더 낮은 클로라이드 오염을 제공함이 제안되어 있다.
실시예 1 : 실리콘 옥사이드 막
이 구체예에서, 실리콘 옥사이드 막의 형성 방법은 하기 단계를 포함하였다.
위에 막을 증착시킬 기판을 고온 벽 CVD 또는 ALD 반응기로 로딩하였다. 상기 반응기를 Ar로 플래쉬시키고, 2 Torr(T) 미만의 낮은 압력으로 펌프 다운하고, 막 증착이 실시되는 온도까지 가열하였다.
CVD 방법에 있어서, Si 전구체로 일정 유량의 MCS(모노클로로실란)를 반응기로 도입하였다. 산소 전구체로서 일정 흐름의 오존을 MCS와 동시에 반응기로 도입하였다. 상기 흐름을 중단시킨 다음, 목적하는 막 두께에 도달하면 증착 방법을 중단하였다.
ALD 또는 주기적 CVD 방법에 대해서는, Si 전구체로 일정 유량의 MCS(모노클로로실란)를 반응기로 도입하였다. 이 반응기를 짧은 일정 시간(전형적으로는 10초 미만) 동안 MCS로 포화시킨 다음, 2 T로 펌프 다운하고 나서, 일정 흐름의 오존 또는 플라즈마 여기된 O2를 도입시켰다. 짧은 일정 시간(전형적으로는 10초 미만) 동안 N 전구체로 포화시킨 후에 반응기를 다시 펌프 다운하였다. 이 주기를 목적하는 막 두께가 얻어질 때까지 반복하였다.
상기 방법은 바람직하게는 플라즈마 강화된 방법, 예컨대 플라즈마 강화된 원자층 증착, 플라즈마 강화된 화학적 기상 증착, 및 플라즈마 강화된 주기적 화학적 기상 증착이었다. 플라즈마는 동일 반응계에서 생성된 플라즈마 또는 원거리 생성된 플라즈마였다.
상기 증착 방법은 550℃에서 또는 그 미만의 온도에서 실시되었다.
구체예 2: 실리콘 옥시니트라이드 막
이 구체예에서, 실리콘 옥시니트라이드 막의 형성 방법은 하기 단계를 포함하였다.
위에 막을 증착시킬 기판을 고온 벽 CVD 또는 ALD 반응기로 로딩하였다. 상기 반응기를 Ar로 플래쉬시키고, 2 Torr(T) 미만의 낮은 압력으로 펌프 다운하고, 막 증착이 실시되는 온도까지 가열하였다.
CVD 방법에 있어서, Si 전구체로 일정 유량의 MCS(모노클로로실란)를 반응기로 도입하였다. 산소 전구체로서 일정 흐름의 O2, 및 일정 흐름의 질소 공급원, 예컨대 NH3를 MCS와 동시에 반응기로 도입하였다. 상기 흐름을 중단시킨 다음, 목적하는 막 두께에 도달하면 증착 방법을 중단하였다.
ALD 또는 주기적 CVD 방법에 대해서는, Si 전구체로 일정 유량의 MCS(모노클로로실란)를 반응기로 도입하였다. 이 반응기를 짧은 일정 시간(전형적으로는 10초 미만) 동안 MCS로 포화시킨 다음, 2 T로 펌프 다운하고 나서, 산소 전구체로 일정 흐름의 O2 , 및 일정 흐름의 NH3을 도입하였다. 짧은 일정 시간(전형적으로는 10초 미만) 동안 N 전구체로 포화시킨 후에 상기 반응기를 다시 펌프 다운하였다. 이 주기를 목적하는 막 두께가 얻어질 때까지 반복하였다.
상기 방법은 바람직하게는 플라즈마 강화된 방법, 예컨대 플라즈마 강화된 원자층 증착, 플라즈마 강화된 화학적 기상 증착, 및 플라즈마 강화된 주기적 화학적 기상 증착이었다. 플라즈마는 동일 반응계에서 생성된 플라즈마 또는 원거리 생성된 플라즈마였다.
상기 증착 방법은 550℃에서 또는 그 미만의 온도에서 실시되었다.
구체예 3: 탄소-도핑된 실리콘 니트라이드 막
이 구체예에서, 탄소-도핑된 실리콘 니트라이드 막의 형성 방법은 하기 단계를 포함하였다.
위에 막을 증착시킬 기판을 고온 벽 CVD 또는 ALD 반응기로 로딩하였다. 상기 반응기를 Ar로 플래쉬시키고, 2 Torr(T) 미만의 낮은 압력으로 펌프 다운하고, 막 증착이 실시되는 온도까지 가열하였다.
CVD 방법에 있어서, Si 전구체로, 일정 유량의 일반식 ClSiHxR1 nR2 m -x(상기 식에서, x = 1, 2; m = 1, 2, 3; n = 0, 1, n + m ≤ 3이며; R1 및 R2는 각각 독립적으로 탄소수 1 내지 10개의 알킬, 알케닐, 알키닐, 및 아릴로 구성되는 군으로부터 선택된, 선형, 분지형 또는 고리형이다)의 모노클로로알킬실란을 반응기로 도입하였다. 일정 흐름의 질소 공급원, 예컨대 NH3를 모노클로로알킬실란과 동시에 반응기로 도입하였다. 상기 흐름을 중단시킨 다음, 목적하는 막 두께에 도달하면 증착 방법을 중단하였다.
상기 방법은 바람직하게는 플라즈마 강화된 방법, 예컨대 플라즈마 강화된 원자층 증착, 플라즈마 강화된 화학적 기상 증착, 및 플라즈마 강화된 주기적 화학적 기상 증착이었다. 플라즈마는 동일 반응계에서 생성된 플라즈마 또는 원거리 생성된 플라즈마였다.
ALD 또는 주기적 CVD 방법에 대해서는, 상기한 일정 유량의 Si 전구체를 반응기로 도입하였다. 상기 반응기를 짧은 일정 시간(전형적으로는 10초 미만) 동안 Si 전구체로 포화시킨 다음, 2 T로 펌프 다운하고 나서, 일정 흐름의 NH3을 도입하였다. 짧은 일정 시간(전형적으로는 10초 미만) 동안 N 전구체로 포화시킨 후에 반응기를 다시 펌프 다운하였다. 이 주기를 목적하는 막 두께가 얻어질 때까지 반복하였다.
모노클로로알킬실란의 예로는 하기 것들이 있었다:
Figure 112013018319099-pat00002
상기 증착 방법은 550℃ 또는 그 미만의 온도에서 실시되었다.
구체예 4: 탄소-도핑된 실리콘 옥사이드 막
이 구체예에서, 탄소-도핑된 실리콘 옥사이드 막의 형성 방법은 하기 단계를 포함하였다.
위에 막을 증착시킬 기판을 고온 벽 CVD 또는 ALD 반응기로 로딩하였다. 상기 반응기를 Ar로 플래쉬시키고, 2 Torr(T) 미만의 낮은 압력으로 다운 펌프하고, 막 증착이 실시되는 온도까지 가열하였다.
CVD 방법에 있어서, Si 전구체로, 일정 유량의 일반식 ClSiHxR1 nR2 m -x(상기 식에서, x = 1, 2; m = 1, 2, 3; n = 0, 1, n + m ≤ 3이며; R1 및 R2는 각각 독립적으로 탄소수 1 내지 10개의 알킬, 알케닐, 알키닐, 및 아릴로 구성되는 군으로부터 선택된, 선형, 분지형 또는 고리형이다)의 모노클로로알킬실란을 반응기로 도입하였다. 일정 흐름의 산소 공급원, 예컨대 오존을 Si 전구체와 동시에 반응기로 도입하였다. 상기 흐름을 중단시킨 다음, 목적하는 막 두께에 도달하면 증착 방법을 중단하였다.
상기 방법은 바람직하게는 플라즈마 강화된 방법, 예컨대 플라즈마 강화된 원자층 증착, 플라즈마 강화된 화학적 기상 증착, 및 플라즈마 강화된 주기적 화학적 기상 증착이었다. 플라즈마는 동일 반응계에서 생성된 플라즈마 또는 원거리 생성된 플라즈마였다.
ALD 또는 주기적 CVD 방법에 대해서는, 상기한 일정 유량의 Si 전구체를 반응기로 도입하였다. 상기 반응기를 짧은 일정 시간(전형적으로는 10초 미만) 동안 Si 전구체로 포화시킨 다음, 2 T로 펌프 다운하고 나서, 일정 흐름의 오존을 도입하였다. 짧은 일정 시간(전형적으로는 10초 미만) 동안 N 전구체로 포화시킨 후에 반응기를 다시 펌프 다운하였다. 이 주기를 목적하는 막 두께가 얻어질 때까지 반복하였다.
모노클로로알킬실란의 예로는 하기 것들이 있었다:
Figure 112013018319099-pat00003
상기 증착 방법은 550℃ 또는 그 미만의 온도에서 실시되었다.
구체예 5: 탄소-도핑된 실리콘 옥시니트라이드 막
이 구체예에서, 탄소-도핑된 실리콘 옥시니트라이드 막의 형성 방법은 하기 단계를 포함하였다.
위에 막을 증착시킬 기판을 고온 벽 CVD 또는 ALD 반응기로 로딩하였다. 상기 반응기를 Ar로 플래쉬시키고, 2 Torr(T) 미만의 낮은 압력으로 펌프 다운하고, 막 증착이 실시되는 온도까지 가열하였다.
CVD 방법에 있어서, Si 전구체로, 일정 유량의 일반식 ClSiHxR1 nR2 m -x(상기 식에서, x = 1, 2; m = 1, 2, 3; n = 0, 1, n + m ≤ 3이며; R1 및 R2는 각각 독립적으로 탄소수 1 내지 10개의 알킬, 알케닐, 알키닐, 및 아릴로 구성되는 군으로부터 선택된, 선형, 분지형 또는 고리형이다)의 모노클로로알킬실란을 반응기로 도입하였다. 일정 흐름의 질소 공급원, 예컨대 NH3, 및 산소 공급원으로 일정 흐름의 O2를 Si 전구체와 동시에 반응기로 도입하였다. 상기 흐름을 중단시킨 다음, 목적하는 막 두께에 도달하면 증착 방법을 중단하였다.
ALD 또는 주기적 CVD 방법에 대해서는, 상기한 일정 유량의 Si 전구체를 반응기로 도입하였다. 상기 반응기를 짧은 일정 시간(전형적으로는 10초 미만) 동안 Si 전구체로 포화시킨 다음, 2 T로 펌프 다운하고 나서, 일정 흐름의 오존을 도입하였다. 짧은 일정 시간(전형적으로는 10초 미만) 동안 N 전구체로 포화시킨 후에 반응기를 다시 펌프 다운하였다. 이 주기를 목적하는 막 두께가 얻어질 때까지 반복하였다.
상기 방법은 바람직하게는 플라즈마 강화된 방법, 예컨대 플라즈마 강화된 원자층 증착, 플라즈마 강화된 화학적 기상 증착, 및 플라즈마 강화된 주기적 화학적 기상 증착이었다. 플라즈마는 동일 반응계에서 생성된 플라즈마 또는 원거리 생성된 플라즈마였다.
모노클로로알킬실란의 예로는 하기 것들이 있었다:
Figure 112013018319099-pat00004
상기 증착 방법은 550℃또는 그 미만의 온도에서 실시되었다.
상기 나열된 본 발명의 실시예 및 구체예는 본 발명을 구성할 수 있는 다수의 구체예를 예시하고 있다. 상기 방법의 다수의 다른 구성이 사용될 수 있고, 이 방법에 사용된 물질은 구체적으로 기술된 것들 이외의 다수의 물질로부터 선택될 수 있음이 고찰된다.

Claims (22)

  1. 가공 챔버 내 기판상에 실리콘 니트라이드 막을 증착시키는 방법으로서, 상기 방법이
    a. 기판을 질소 함유 공급원과 접촉시켜 기판상에 질소 함유 공급원의 일부 또는 전부를 흡수시키는 단계;
    b. 미흡수된 질소 함유 공급원을 퍼지시키는 단계;
    c. 기판을 모노클로로실란을 포함하는 실리콘 함유 공급원과 접촉시켜 흡수된 질소 함유 공급원 부분과 반응시키는 단계; 및
    d. 미반응된 실리콘 함유 공급원을 퍼지시키는 단계를 포함하여,
    3.5×1020 원자/cc (3.5E+020 atoms/cc) 미만의 클로라이드 농도를 갖는 실리콘 니트라이드 막을 얻으며,
    상기 방법은 플라즈마 강화된 방법인, 증착 방법.
  2. 삭제
  3. 삭제
  4. 제 1항에 있어서, 증착 방법이 플라즈마 강화된 원자층 증착, 및 플라즈마 강화된 주기적 화학적 기상 증착으로 구성되는 군으로부터 선택되고; 플라즈마가 암모니아 플라즈마, 질소 플라즈마, 질소와 암모니아 플라즈마의 혼합물, 및 질소와 아르곤 플라즈마의 혼합물로 구성되는 군으로부터 선택되는, 증착 방법.
  5. 제 4항에 있어서, 증착 방법이 플라즈마 여기된 실리콘 함유 공급원이 사용되는, 증착 방법.
  6. 제 5항에 있어서, 플라즈마가 동일 반응계에서 생성(in-situ generated)되거나 원거리 생성된(remotely generated) 플라즈마인, 증착 방법.
  7. 제 1항에 있어서, 실리콘 니트라이드를 증착시키기 위한 질소 함유 공급원이, 질소, 암모니아, 히드라진, 모노알킬히드라진, 디알킬히드라진, 및 이들의 혼합물로 구성되는 군으로부터 선택되고; 탄소-도핑된 실리콘 니트라이드를 증착시키기 위한 질소 함유 공급원이 질소, 암모니아, 히드라진, 모노알킬히드라진, 디알킬히드라진, 히드록실아민(NH2OH), 3차-부틸아민(NH2C(CH3)3), 알킬아민(NH2CH2CHCH2), 히드록실아민 히드로클로라이드, 메틸아민, 디에틸아민, 트리에틸아민, 및 이들의 혼합물로 구성되는 군으로부터 선택되는, 증착 방법.
  8. 가공 챔버 내 기판상에 실리콘 옥사이드 막을 증착시키는 방법으로서, 상기 방법이
    a. 기판을 산소 함유 공급원과 접촉시켜 기판상에 산소 함유 공급원의 일부 또는 전부를 흡수시키는 단계;
    b. 미흡수된 산소 함유 공급원을 퍼지시키는 단계;
    c. 기판을 모노클로로실란을 포함하는 실리콘 함유 공급원과 접촉시켜 흡수된 산소 함유 공급원 부분을 반응시키는 단계; 및
    d. 미반응된 실리콘 함유 공급원을 퍼지시키는 단계를 포함하는, 증착 방법.
  9. 삭제
  10. 삭제
  11. 삭제
  12. 제 8항에 있어서, 증착 방법이 플라즈마 강화된 원자층 증착, 플라즈마 강화된 화학적 기상 증착, 및 플라즈마 강화된 주기적 화학적 기상 증착으로 구성되는 군으로부터 선택되는, 증착 방법.
  13. 제 12항에 있어서, 플라즈마가 동일 반응계에서 생성된 플라즈마 또는 원거리 생성된 플라즈마인, 증착 방법.
  14. 제 8항에 있어서, 산소 함유 공급원이 산소, 물, 아산화질소(nitrous oxide), 오존, 및 이들의 혼합물로 구성되는 군으로부터 선택되는, 증착 방법.
  15. 가공 챔버 내 기판상에 실리콘 옥시니트라이드 막을 증착시키는 방법으로서, 상기 방법이
    a. 기판을 산소 함유 공급원과 질소 함유 공급원의 혼합물과 접촉시켜, 기판상에 산소 함유 공급원의 일부 또는 전부, 및 질소 함유 공급원의 일부 또는 전부를 흡수시키는 단계;
    b. 미흡수된 산소 함유 공급원 및 질소 함유 공급원을 퍼지시키는 단계;
    c. 기판을 모노클로로실란을 포함하는 실리콘 함유 공급원과 접촉시켜, 흡수된 산소 함유 공급원 및 질소 함유 공급원 부분을 반응시키는 단계; 및
    d. 미반응된 실리콘 함유 공급원을 퍼지시키는 단계를 포함하는, 증착 방법.
  16. 삭제
  17. 삭제
  18. 삭제
  19. 제 15항에 있어서, 증착 방법이 플라즈마 강화된 원자층 증착, 플라즈마 강화된 화학적 기상 증착, 및 플라즈마 강화된 주기적 화학적 기상 증착으로 구성되는 군으로부터 선택되는, 증착 방법.
  20. 제 19항에 있어서, 플라즈마가 동일 반응계에서 생성된 플라즈마 또는 원거리 생성된 플라즈마인, 증착 방법.
  21. 제 15항에 있어서, 산소 함유 공급원이 산소, 물, 아산화질소(nitrous oxide), 오존, 및 이들의 혼합물로 구성되는 군으로부터 선택되는, 증착 방법.
  22. 제 15항에 있어서, 질소 함유 공급원이 질소, 암모니아, 히드라진, 모노알킬히드라진, 디알킬히드라진, 히드록실아민(NH2OH), 3차-부틸아민(NH2C(CH3)3), 알킬아민(NH2CH2CHCH2), 히드록실아민 히드로클로라이드, 메틸아민, 디에틸아민, 트리에틸아민, 및 이들의 혼합물로 구성되는 군으로부터 선택되는, 증착 방법.
KR1020137005367A 2008-06-03 2009-06-03 실리콘 함유 막의 저온 증착 KR101444707B1 (ko)

Applications Claiming Priority (5)

Application Number Priority Date Filing Date Title
US5837408P 2008-06-03 2008-06-03
US61/058,374 2008-06-03
US12/476,734 US8298628B2 (en) 2008-06-02 2009-06-02 Low temperature deposition of silicon-containing films
US12/476,734 2009-06-02
PCT/US2009/046108 WO2009149167A2 (en) 2008-06-02 2009-06-03 Low temperature deposition of silicon-containing films

Related Parent Applications (1)

Application Number Title Priority Date Filing Date
KR1020107029296A Division KR101266135B1 (ko) 2008-06-03 2009-06-03 실리콘 함유 막의 저온 증착

Publications (2)

Publication Number Publication Date
KR20130039769A KR20130039769A (ko) 2013-04-22
KR101444707B1 true KR101444707B1 (ko) 2014-09-26

Family

ID=43775435

Family Applications (2)

Application Number Title Priority Date Filing Date
KR1020107029296A KR101266135B1 (ko) 2008-06-03 2009-06-03 실리콘 함유 막의 저온 증착
KR1020137005367A KR101444707B1 (ko) 2008-06-03 2009-06-03 실리콘 함유 막의 저온 증착

Family Applications Before (1)

Application Number Title Priority Date Filing Date
KR1020107029296A KR101266135B1 (ko) 2008-06-03 2009-06-03 실리콘 함유 막의 저온 증착

Country Status (3)

Country Link
JP (3) JP5102393B2 (ko)
KR (2) KR101266135B1 (ko)
CN (1) CN102047386B (ko)

Families Citing this family (35)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP5250600B2 (ja) * 2009-11-27 2013-07-31 東京エレクトロン株式会社 成膜方法および成膜装置
JP5841222B2 (ja) * 2010-04-12 2016-01-13 株式会社日立国際電気 半導体装置の製造方法、基板処理方法及び基板処理装置
JP5654862B2 (ja) * 2010-04-12 2015-01-14 株式会社日立国際電気 半導体装置の製造方法、基板処理方法及び基板処理装置
US9997357B2 (en) * 2010-04-15 2018-06-12 Lam Research Corporation Capped ALD films for doping fin-shaped channel regions of 3-D IC transistors
JP6022166B2 (ja) * 2011-02-28 2016-11-09 株式会社日立国際電気 半導体装置の製造方法、基板処理装置およびプログラム
JP5951443B2 (ja) 2011-12-09 2016-07-13 株式会社日立国際電気 半導体装置の製造方法、基板処理方法、基板処理装置およびプログラム
JP6049395B2 (ja) * 2011-12-09 2016-12-21 株式会社日立国際電気 半導体装置の製造方法、基板処理方法、基板処理装置およびプログラム
JP6039996B2 (ja) 2011-12-09 2016-12-07 株式会社日立国際電気 半導体装置の製造方法、基板処理方法、基板処理装置およびプログラム
JP6239079B2 (ja) * 2011-12-09 2017-11-29 株式会社日立国際電気 半導体装置の製造方法、基板処理方法、基板処理装置およびプログラム
KR20140059107A (ko) 2012-11-07 2014-05-15 주식회사 유피케미칼 실리콘 질화물 박막 제조 방법
US9824881B2 (en) * 2013-03-14 2017-11-21 Asm Ip Holding B.V. Si precursors for deposition of SiN at low temperatures
US9564309B2 (en) 2013-03-14 2017-02-07 Asm Ip Holding B.V. Si precursors for deposition of SiN at low temperatures
JP6155063B2 (ja) 2013-03-19 2017-06-28 株式会社日立国際電気 半導体装置の製造方法、基板処理装置及びプログラム
JP6154215B2 (ja) * 2013-06-28 2017-06-28 株式会社日立国際電気 半導体装置の製造方法、基板処理装置およびプログラム
US9343317B2 (en) 2013-07-01 2016-05-17 Micron Technology, Inc. Methods of forming silicon-containing dielectric materials and semiconductor device structures
JP5847783B2 (ja) * 2013-10-21 2016-01-27 株式会社日立国際電気 半導体装置の製造方法、基板処理装置、プログラム及び記録媒体
US9644269B2 (en) * 2014-01-30 2017-05-09 Varian Semiconductor Equipment Associates, Inc Diffusion resistant electrostatic clamp
US20150252477A1 (en) * 2014-03-06 2015-09-10 Applied Materials, Inc. In-situ carbon and oxide doping of atomic layer deposition silicon nitride films
US9576792B2 (en) 2014-09-17 2017-02-21 Asm Ip Holding B.V. Deposition of SiN
KR101723546B1 (ko) * 2014-10-20 2017-04-05 주식회사 케이씨텍 박막 형성방법 및 원자층 증착장치
US10410857B2 (en) 2015-08-24 2019-09-10 Asm Ip Holding B.V. Formation of SiN thin films
US9786491B2 (en) 2015-11-12 2017-10-10 Asm Ip Holding B.V. Formation of SiOCN thin films
US9786492B2 (en) * 2015-11-12 2017-10-10 Asm Ip Holding B.V. Formation of SiOCN thin films
KR102378021B1 (ko) 2016-05-06 2022-03-23 에이에스엠 아이피 홀딩 비.브이. SiOC 박막의 형성
JP6942188B2 (ja) * 2017-01-13 2021-09-29 アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated 低温窒化ケイ素膜のための方法及び装置
KR102105977B1 (ko) * 2017-03-29 2020-05-04 (주)디엔에프 실릴아민 화합물, 이를 포함하는 실리콘 함유 박막증착용 조성물 및 이를 이용하는 실리콘 함유 박막의 제조방법
JP7249952B2 (ja) 2017-05-05 2023-03-31 エーエスエム アイピー ホールディング ビー.ブイ. 酸素含有薄膜の制御された形成のためのプラズマ増強堆積プロセス
US10580645B2 (en) 2018-04-30 2020-03-03 Asm Ip Holding B.V. Plasma enhanced atomic layer deposition (PEALD) of SiN using silicon-hydrohalide precursors
US10985010B2 (en) * 2018-08-29 2021-04-20 Versum Materials Us, Llc Methods for making silicon and nitrogen containing films
EP3766888A1 (en) 2018-11-30 2021-01-20 Hansol Chemical Co., Ltd Silicon precursor and method of manufacturing silicon-containing thin film using the same
KR102157137B1 (ko) 2018-11-30 2020-09-17 주식회사 한솔케미칼 실리콘 전구체 및 이를 이용한 실리콘 함유 박막의 제조방법
KR102364476B1 (ko) 2020-05-08 2022-02-18 주식회사 한솔케미칼 실리콘 전구체 및 이를 이용한 실리콘 함유 박막의 제조방법
KR20220081905A (ko) 2020-12-09 2022-06-16 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 증착용 실리콘 전구체
KR20230151303A (ko) 2022-04-25 2023-11-01 엠케미칼 주식회사 실리콘 전구체 화합물 및 이의 제조방법, 실리콘 전구체 이용한 실리콘 함유 박막의 제조방법
WO2024071976A1 (en) 2022-09-27 2024-04-04 Merck Patent Gmbh Silicon precursor compound in asymmetric structure, method for preparing the same, and method for preparing a silicon-containing thin film

Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2005011904A (ja) * 2003-06-17 2005-01-13 Tokyo Electron Ltd 成膜方法
JP2005057133A (ja) * 2003-08-06 2005-03-03 Hitachi Kokusai Electric Inc 半導体デバイスの製造方法及び基板処理装置
JP2008511993A (ja) * 2004-08-27 2008-04-17 エーエスエム インターナショナル エヌ.ヴェー. 低温シリコン化合物堆積

Family Cites Families (24)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH0692248B2 (ja) * 1985-08-26 1994-11-16 三井東圧化学株式会社 シラン類の不均化の方法
JP2800210B2 (ja) * 1988-12-19 1998-09-21 セイコーエプソン株式会社 電界効果トランジスタの製造方法
NL9001770A (nl) * 1990-08-06 1992-03-02 Philips Nv Werkwijze voor het aanbrengen van een siliciumdioxide-laag op een substraat door middel van chemische reactie uit de dampfase bij verlaagde druk (lpcvd).
JP3424835B2 (ja) * 1991-12-27 2003-07-07 松下電器産業株式会社 カラー固体撮像装置およびカラーフィルタ
JPH0776622A (ja) * 1993-09-08 1995-03-20 Mitsui Toatsu Chem Inc ポリ(シリレン)アセチレン類およびその製造方法
JPH07193129A (ja) * 1993-12-27 1995-07-28 Kawasaki Steel Corp 半導体装置の製造方法
JPH08148481A (ja) * 1994-11-25 1996-06-07 Matsushita Electric Ind Co Ltd 絶縁薄膜の形成方法
JP4147705B2 (ja) * 1999-10-25 2008-09-10 チッソ株式会社 ヒドロシリル基含有ポリシルセスキオキサン化合物、及びその製造方法
JP2001122609A (ja) * 1999-10-27 2001-05-08 Tokuyama Corp シラン化合物の不均化反応生成物の製造方法
WO2001071801A1 (en) * 2000-03-20 2001-09-27 Koninklijke Philips Electronics N.V. Semiconductor device and method of manufacturing same
JP4727085B2 (ja) * 2000-08-11 2011-07-20 東京エレクトロン株式会社 基板処理装置および処理方法
TW473915B (en) * 2000-12-29 2002-01-21 Applied Materials Inc Manufacture method of silicon nitride layer
US6528430B2 (en) * 2001-05-01 2003-03-04 Samsung Electronics Co., Ltd. Method of forming silicon containing thin films by atomic layer deposition utilizing Si2C16 and NH3
US6391803B1 (en) * 2001-06-20 2002-05-21 Samsung Electronics Co., Ltd. Method of forming silicon containing thin films by atomic layer deposition utilizing trisdimethylaminosilane
JP2004022595A (ja) * 2002-06-12 2004-01-22 Toshiba Corp 絶縁膜の製造方法、およびプラズマcvd装置
US7119016B2 (en) * 2003-10-15 2006-10-10 International Business Machines Corporation Deposition of carbon and nitrogen doped poly silicon films, and retarded boron diffusion and improved poly depletion
US20060019032A1 (en) * 2004-07-23 2006-01-26 Yaxin Wang Low thermal budget silicon nitride formation for advance transistor fabrication
US7687383B2 (en) * 2005-02-04 2010-03-30 Asm America, Inc. Methods of depositing electrically active doped crystalline Si-containing films
JP2006290747A (ja) * 2005-04-06 2006-10-26 Mitsui Chemicals Inc 絶縁膜用フェニル含有シランとそれを用いた絶縁膜の製造方法
KR100660890B1 (ko) * 2005-11-16 2006-12-26 삼성전자주식회사 Ald를 이용한 이산화실리콘막 형성 방법
KR100676521B1 (ko) * 2005-12-19 2007-02-01 주식회사 실트론 저온 산화물 배면 실 형성 방법 및 이를 사용하여 제조되는웨이퍼
KR20070099913A (ko) * 2006-04-06 2007-10-10 주성엔지니어링(주) 산화막 형성 방법 및 산화막 증착 장치
US7939455B2 (en) * 2006-09-29 2011-05-10 Tokyo Electron Limited Method for forming strained silicon nitride films and a device containing such films
US20080207007A1 (en) * 2007-02-27 2008-08-28 Air Products And Chemicals, Inc. Plasma Enhanced Cyclic Chemical Vapor Deposition of Silicon-Containing Films

Patent Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2005011904A (ja) * 2003-06-17 2005-01-13 Tokyo Electron Ltd 成膜方法
JP2005057133A (ja) * 2003-08-06 2005-03-03 Hitachi Kokusai Electric Inc 半導体デバイスの製造方法及び基板処理装置
JP2008511993A (ja) * 2004-08-27 2008-04-17 エーエスエム インターナショナル エヌ.ヴェー. 低温シリコン化合物堆積

Also Published As

Publication number Publication date
JP2012216873A (ja) 2012-11-08
CN102047386B (zh) 2013-06-19
JP2014096599A (ja) 2014-05-22
JP2011524087A (ja) 2011-08-25
KR20130039769A (ko) 2013-04-22
JP5890386B2 (ja) 2016-03-22
CN102047386A (zh) 2011-05-04
KR20110017404A (ko) 2011-02-21
KR101266135B1 (ko) 2013-05-27
JP5453495B2 (ja) 2014-03-26
JP5102393B2 (ja) 2012-12-19

Similar Documents

Publication Publication Date Title
KR101444707B1 (ko) 실리콘 함유 막의 저온 증착
US8906455B2 (en) Low temperature deposition of silicon-containing films
US7488694B2 (en) Methods of forming silicon nitride layers using nitrogenous compositions
US8479683B2 (en) Apparatus including a plasma chamber and controller including instructions for forming a boron nitride layer
KR100988096B1 (ko) 실리콘 함유 필름의 플라즈마 시클릭 화학 증기 증착법
US9984868B2 (en) PEALD of films comprising silicon nitride
EP2007917B1 (en) Method for depositing silicon nitride films and/or silicon oxynitride films by chemical vapor deposition
US20180230591A1 (en) Method for manufacturing silicon nitride thin film using plasma atomic layer deposition method
JP2007509836A (ja) 窒化シリコンの低温堆積
JP2005317980A (ja) デバイス性能を向上させるコンタクト・エッチング層用の新材料
JP2005317980A5 (ko)
US11823893B2 (en) Methods of depositing SiCON with C, O, and N compositional control
KR20210109046A (ko) 질화규소를 증착하는 방법들
JP2003209110A (ja) 金属酸窒化膜の製造方法および絶縁ゲート型電界効果トランジスタおよびその製造方法
TWI385270B (zh) 含矽膜的低溫沉積方法
TWI246719B (en) Low temperature deposition of silicon nitride
US6759346B1 (en) Method of forming dielectric layers

Legal Events

Date Code Title Description
A107 Divisional application of patent
A201 Request for examination
E902 Notification of reason for refusal
AMND Amendment
E601 Decision to refuse application
AMND Amendment
J201 Request for trial against refusal decision
B701 Decision to grant
GRNT Written decision to grant
FPAY Annual fee payment

Payment date: 20180816

Year of fee payment: 5