JP6942188B2 - 低温窒化ケイ素膜のための方法及び装置 - Google Patents

低温窒化ケイ素膜のための方法及び装置 Download PDF

Info

Publication number
JP6942188B2
JP6942188B2 JP2019537833A JP2019537833A JP6942188B2 JP 6942188 B2 JP6942188 B2 JP 6942188B2 JP 2019537833 A JP2019537833 A JP 2019537833A JP 2019537833 A JP2019537833 A JP 2019537833A JP 6942188 B2 JP6942188 B2 JP 6942188B2
Authority
JP
Japan
Prior art keywords
plasma
gas
hydrogen
silicon nitride
substrate
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Active
Application number
JP2019537833A
Other languages
English (en)
Other versions
JP2020504457A (ja
Inventor
ウェンポー イェン,
ウェンポー イェン,
ツォン トリン,
ツォン トリン,
ニン リー,
ニン リー,
ミハエラ バルシーヌ,
ミハエラ バルシーヌ,
リーチュン シア,
リーチュン シア,
マリベル マルドナード−ガルシア,
マリベル マルドナード−ガルシア,
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Applied Materials Inc
Original Assignee
Applied Materials Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Applied Materials Inc filed Critical Applied Materials Inc
Publication of JP2020504457A publication Critical patent/JP2020504457A/ja
Application granted granted Critical
Publication of JP6942188B2 publication Critical patent/JP6942188B2/ja
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02518Deposited layers
    • H01L21/02521Materials
    • H01L21/02538Group 13/15 materials
    • H01L21/0254Nitrides
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/0217Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon nitride not containing oxygen, e.g. SixNy or SixByNz
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/34Nitrides
    • C23C16/345Silicon nitride
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45527Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45527Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations
    • C23C16/45536Use of plasma, radiation or electromagnetic fields
    • C23C16/45542Plasma being used non-continuously during the ALD reactions
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02205Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
    • H01L21/02208Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si
    • H01L21/02211Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound being a silane, e.g. disilane, methylsilane or chlorosilane
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/02274Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition in the presence of a plasma [PECVD]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/0228Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition deposition by cyclic CVD, e.g. ALD, ALE, pulsed CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/3065Plasma etching; Reactive-ion etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/324Thermal treatment for modifying the properties of semiconductor bodies, e.g. annealing, sintering
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/687Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches
    • H01L21/68714Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support
    • H01L21/68771Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support characterised by supporting more than one semiconductor substrate

Description

本開示の実施形態は、窒化ケイ素膜の堆積のための方法及び装置を対象とする。特に、本開示の実施形態は、コバルトライナとしての窒化ケイ素膜の低温堆積のための方法及び装置を対象とする。
半導体デバイスのサイズが10nm未満の状態に縮小し続けるにつれて、フォトリソグラフィー技術を使用した従来のパターニングプロセスはますます困難になっている。これらの課題のいくつかは、不正確なパターニングとデバイス性能の低下につながる。加えて、複数のパターニング技術は、複雑で高価な製造処理装置を使用する。
従来、ケイ素ベースの低誘電率膜は、炉チャンバでALDによって堆積されてきた。選択された膜の特性を実現するために、膜は、炉チャンバで500oCを超える温度で堆積されることが多い。チップノードごとにサーマルバジェットが減少し続けることに伴い、500℃未満の温度で低誘電率膜を堆積する方法が必要とされる。
当技術分野では、低い湿式エッチング速度で、低温で窒化ケイ素膜を堆積する方法及び装置が必要とされる。
本開示の1つ又は複数の実施形態は、窒化ケイ素膜を形成する方法を対象とする。方法は、その上に金属層を有する基板を提供することを含む。金属表面がケイ素前駆体に曝露され、金属表面にケイ素含有膜が形成される。ケイ素含有膜は、窒素含有反応物に曝露され、基板表面の上に窒化ケイ素膜が形成される。基板表面の上の窒化ケイ素膜が、水素含有プラズマに曝露され、低エッチング窒化ケイ素膜が形成される。方法は、約250℃以下の温度で実行される。
本開示の追加の実施形態は、窒化ケイ素膜を形成する方法を対象とする。方法は、その上に金属層を有する基板を提供することを含む。基板表面がケイ素前駆体に曝露され、コバルト層の上にケイ素含有膜が形成される。ケイ素含有膜が窒素含有反応物に曝露され、コバルト層の上に窒化ケイ素膜が形成される。基板表面の上の窒化ケイ素膜が、高密度水素含有プラズマに曝露され、低エッチング窒化ケイ素膜が形成される。高密度水素含有プラズマは、垂直プラズマ源によって生成される。ケイ素前駆体、窒素含有反応物、及び水素含有プラズマへの曝露が繰り返され、所定の厚さの低エッチング窒化ケイ素膜が形成される。方法は、約250℃以下の温度で実行される。低エッチング窒化ケイ素膜の形成後、低エッチング窒化ケイ素膜に拡散したスパッタされたコバルト球は存在しない。
本開示の更なる実施形態は、膜を堆積する方法を対象とする。この方法は、コバルト層を有する基板を提供することを含む。基板は、処理チャンバの第1の処理領域でケイ素前駆体に曝露される。基板は、ガスカーテンを通って処理チャンバの第2の処理領域に横方向に移動する。基板は、処理チャンバの第2の処理領域で窒素含有反応物に曝露される。基板は、ガスカーテンを通って処理チャンバの第3の処理領域に横方向に移動する。基板は、第1の整合回路に結合された第1の電源によって生成された第1の水素含有プラズマに曝露される。第1の電源は、第1の位相を有する。基板は、ガスカーテンを通って処理チャンバの第4の処理領域に横方向に移動する。基板は、第4の処理領域でケイ素前駆体に曝露される。基板は、ガスカーテンを通って処理チャンバの第5処理領域に横方向に移動する。基板表面は、処理チャンバの第5処理領域で窒素含有反応物に曝露される。基板は、ガスカーテンを通って処理チャンバの第6処理領域に横方向に移動する。基板は、処理チャンバの第6の処理領域で第2の水素含有プラズマに曝露される。第2の水素含有プラズマは、第2の整合回路に結合された第2の電源によって生成される。第2の電源は、第2の位相を有する。1つ又は複数の第1の位相と第2の位相は、約170oから約190oの範囲の位相差を維持するように制御される。
本開示の上述の特徴を詳細に理解できるように、上記で簡単に要約されている本開示のより詳細な説明が、実施形態を参照することによって得られ、それらの実施形態の一部は添付図面に示されている。しかし、本開示は他の等しく有効な実施形態も許容しうることから、添付図面は本開示の典型的な実施形態のみを示しており、したがって、本開示の範囲を限定すると見なすべきではないことに、留意されたい。
本開示の1つ又は複数の実施形態によるバッチ処理チャンバの断面図を示す。 本開示の1つ又は複数の実施形態によるバッチ処理チャンバの部分斜視図を示す。 本開示の1つ又は複数の実施形態によるバッチ処理チャンバの概略図を示す。 本開示の1つ又は複数の実施形態による、バッチ処理チャンバ内で使用するためのくさび形ガス分配アセンブリの一部の概略図を示す。 本開示の1つ又は複数の実施形態によるバッチ処理チャンバの概略図を示す。 本開示の1つ又は複数の実施形態によるバッチ処理チャンバの断面図を示す。 本開示の1つ又は複数の実施形態によるバッチ処理チャンバの断面図を示す。 本開示の1つ又は複数の実施形態によるバッチ処理チャンバの概略図を示す。 本開示の1つ又は複数の実施形態によるバッチ処理チャンバの概略図を示す。 本開示の1つ又は複数の実施形態による処理方法のフローチャートを示す。 本開示の1つ又は複数の実施形態による処理方法の概略図を示す。 本開示の1つ又は複数の実施形態によるプラズマ源アセンブリの概略断面図を示す。 本開示の1つ又は複数の実施形態によるプラズマ源アセンブリの部分斜視図を示す。 本開示の1つ又は複数の実施形態によるプラズマ源アセンブリの部分斜視図を示す。 本開示の1つ又は複数の実施形態によるプラズマ源アセンブリの部分概略側面図を示す。 本開示の1つ又は複数の実施形態によるプラズマ源アセンブリ電極の部分断面側面図を示す。 本開示の1つ又は複数の実施形態による処理チャンバの断面図を示す。
本開示のいくつかの例示的な実施形態を説明する前に、本開示が下記の説明において明記される構成又はプロセスステップの詳細事項に限定されないことを理解すべきである。本開示は、他の実施形態も可能であり、様々な方法で実践又は実行可能である。
本明細書において使用される「基板(substrate)」とは、製造プロセス中にその上に膜処理が実施される、任意の基板又は基板上に形成された任意の材料面を指す。例えば、その上で処理が実施可能である基板表面は、用途に応じて、ケイ素、酸化ケイ素、歪みシリコン、シリコンオンインシュレータ(silicon on insulator:SOI)、炭素がドープされた酸化ケイ素、アモルファスシリコン、ドープされたケイ素、ゲルマニウム、ヒ化ガリウム、ガラス、サファイアなどの材料、並びに金属、金属窒化物、金属合金、及びその他の導電性材料といった他の任意の材料を含む。基板は、半導体ウエハを含むが、それに限定されない。基板表面を研磨、エッチング、還元、酸化、ヒドロキシル化、アニール及び/又はベークするために、基板は前処理プロセスに曝露されることがある。基板自体の表面上に直接膜処理を行うことに加えて、本開示では、開示されている膜処理ステップのうちの任意のものが、より詳細に後述されるように、基板上に形成された下層に対して実施されることもあり、「基板表面(substrate surface)」という用語は、文脈が示すように、そのような下層を含むことを意図している。したがって、例えば基板表面上に膜/層又は部分的な膜/層が堆積している場合には、新たに堆積した膜/層の露出面が、基板表面になる。
1つ又は複数の実施形態により、方法は、原子層堆積(ALD)プロセスを使用するこうした実施形態では、基板表面は、連続して、又は実質的に連続して、前駆体(又は反応性ガス)に曝露される。本明細書全体を通じて使用される「実質的に連続して(substantially sequentially)」とは、前駆体曝露の期間のほとんどが共試薬への曝露と重複しない(ただし一部は重複しうる)ことを意味する。本明細書及び付随する特許請求の範囲において使用される「前駆体(precursor)」、「反応物(reactant)」、「反応性ガス(reactive gas)」などの用語は、基板表面と反応しうる任意のガス種を指すために、互換可能に使用される。
本明細書及び付随する特許請求の範囲において使用される「前駆体(precursor)」、「反応物(reactant)」、「反応性ガス(reactive gas)」などの用語は、基板表面と反応しうる任意のガス種を指すために、互換可能に使用される。
本開示の1つ又は複数の実施形態は、低温(≦250℃)でコバルトライナ用の窒化ケイ素を堆積するための空間プラズマ強化原子層堆積(PEALD)プロセスを対象とする。デバイス内にコバルトが存在すると、窒化ケイ素プロセスの温度が制限される。いくつかの実施形態は、有利には、Hガス、Nガス、及び/又はArガスのうちの1つ又は複数とともに垂直プラズマ源(VPS)を使用して、250℃でSiNを堆積するためのプロセスを提供する。得られた膜は、湿式エッチング速度が低く、デバイス構造のステップカバレッジが良好であることが観察された。1%のHFエッチングの1分後のステップカバレージは、80%であった。いくつかの実施形態は、有利には、下にあるコバルトライナにほとんど又は全く損傷がない窒化ケイ素膜を提供する。
いくつかの実施形態は、有利には、イオン密度及びプラズマ方向性の調整を可能にする異なる周波数のVPSプラズマ源を使用するプロセスを提供する。いくつかの実施形態では、2つのVPS源が、異なる周波数位相角で使用され、プラズマ結合の改善を示した。本開示のいくつかの実施形態は、デバイス側壁上のプラズマ処理を改善するためのサセプタ上の金属熱バリアとして窒化ケイ素膜を提供する。
いくつかの実施形態は、有利には、現在利用可能な窒化ケイ素膜及びプロセスと比較して、湿式エッチング後の改善されたデバイス側壁ステップカバレッジを提供する。
本開示の実施形態は、プラズマ強化原子層堆積(PEALD)SiNの膜特性を変調する装置及び方法を提供する。バッチ処理アーキテクチャにより、PEALD堆積に複数の(例えば2つ又は4つなど)RF発生器使用が可能となりうる。いくつかの実施形態では、膜特性変調は、堆積プロセスに使用されるRF発生器間の位相差を調整することにより達成することができる。この効果は、処理チャンバの異なる処理領域のRFプラズマがシステムに結合される方法を変更することによって、実現することができる。
本開示のいくつかの実施形態は、空間処理チャンバとも称されるバッチ処理チャンバを使用して、材料を堆積するプロセスを対象とする。図1は、ガス分配アセンブリ120(インジェクタ又はインジェクタアセンブリとも称される)とサセプタアセンブリ140とを含む、処理チャンバ100の断面図を示す。ガス分配アセンブリ120は、処理チャンバ内で使用される任意の種類のガス供給デバイスである。ガス分配アセンブリ120は、サセプタアセンブリ140に面する正面121を含む。正面121は、サセプタアセンブリ140に向けてガスの流れを供給するための任意の数の又は様々な開口部を有しうる。ガス分配アセンブリ120はまた、外周エッジ124も含み、図示される実施形態では、外周エッジ124は実質的に円形である。
使用されるガス分配アセンブリ120の具体的な種類は、使用されている特定のプロセスに応じて変化しうる。本開示の実施形態は、サセプタとガス分配アセンブリとの間の間隙が制御される任意の種類の処理システムと共に使用することができる。様々な種類のガス分配アセンブリ(例えば、シャワーヘッドなど)を採用することができるが、本開示の実施形態は、複数の実質的に平行なガスチャネルを有する空間的ガス分配アセンブリを用いると、特に有用でありうる。本明細書及び付随する特許請求の範囲で使用される「実質的に平行な(substantially parallel)」という用語は、ガスチャネルの長手方向軸が大体同じ方向に延びることを意味する。ガスチャネルの平行度には、若干の不完全性がありうる。二元反応では、複数の実質的に平行なガスチャネルは、少なくとも1つの第1の反応性ガスAのチャネル、少なくとも1つの第2反応性ガスBのチャネル、少なくとも1つのパージガスPのチャネル、及び/又は少なくとも1つの真空Vのチャネルを含むことができる。第1の反応性ガスAのチャネル(複数可)、第2反応性ガスBのチャネル(複数可)、及び、パージガスPのチャネル(複数可)から流れるガスは、ウエハの上面に向けられる。ガス流の一部は、ウエハの表面にわたって水平に移動し、パージガスPのチャネル(複数可)を通って処理領域から出る。基板がガス分配アセンブリの一方の端部から他方の端部まで移動することで、基板は処理ガスの各々に順に曝露され、基板表面上に層が形成されることになる。
いくつかの実施形態では、ガス分配アセンブリ120は、単一のインジェクタユニットで作製された剛性の静止体である。1つ又は複数の実施形態では、ガス分配アセンブリ120は、図2に示すように、複数の個別セクタ(例えば、複数のインジェクタユニット122)で構成される。単片体又は複数セクタ体のいずれであっても、説明される本開示の様々な実施形態と共に使用することができる。
サセプタアセンブリ140は、ガス分配アセンブリ120の下に配置される。サセプタアセンブリ140は、上面141と、上面141における少なくとも1つの凹部142とを含む。サセプタアセンブリ140は、底面143及びエッジ144も有する。凹部142は、処理されている基板60の形状及びサイズに応じて、任意の適した形状及びサイズとすることができる。図1に示す実施形態では、凹部142は、ウエハの底部を支持するための平らな底部を有しているが、凹部の底部は変化しうる。いくつかの実施形態では、凹部は、凹部の外周エッジの周辺に、ウエハの外周エッジを支持するようサイズ決定される段差領域を有する。ウエハの外周エッジの、この段差によって支持される分量は、例えば、ウエハの厚さ、及びウエハの裏側に既にある特徴の存在に応じて変動しうる。
いくつかの実施形態では、図1に示すように、サセプタアセンブリ140の上面141における凹部142は、凹部142内で支持される基板60が、サセプタ140の上面141と実質的に同一平面の上面61を有するように、サイズ決定される。本明細書及び添付の特許請求の範囲で使用される「実質的に同一平面の(substantially coplanar)」という用語は、ウエハの上面とサセプタアセンブリの上面とが、±0.2mm以内で同一平面にあることを意味する。ある実施形態では、上面は、0.5mm、±0.4mm、±0.35mm、±0.30mm、±0.25mm、±0.20mm、±0.15mm、±0.10mm又は±0.05mm以内で同一平面にある。
図1のサセプタアセンブリ140は、サセプタアセンブリ140を上昇させ、下降させ、かつ回転させることができる支持ポスト160を含む。サセプタアセンブリは、支持ポスト160の中心内にヒータ又はガスライン又は電子部品を含みうる。支持ポスト160は、サセプタアセンブリ140とガス分配アセンブリ120との間の間隙を広げたり狭めたりして、サセプタアセンブリ140を適切な位置へと移動させる、主たる手段でありうる。サセプタアセンブリ140は、サセプタアセンブリ140とガス分配アセンブリ120との間に所定の間隙170を作り出すためにサセプタアセンブリ140に対してマイクロ調整を行うことができる、微調整アクチュエータ162も含みうる。
いくつかの実施形態では、間隙170の距離は、約0.1mmから約5.0mmの範囲内、又は約0.1mmから約3.0mmの範囲内、又は約0.1mmから約2.0mmの範囲内、又は約0.2mmから約1.8mmの範囲内、又は約0.3mmから約1.7mmの範囲内、又は約0.4mmから約1.6mmの範囲内、又は約0.5mmから約1.5mmの範囲内、又は約0.6mmから約1.4mmの範囲内、又は約0.7mmから約1.3mmの範囲内、又は約0.8mmから約1.2mmの範囲内、又は約0.9mmから約1.1mmの範囲内であるか、或いは約1mmである。
図に示す処理チャンバ100は、内部でサセプタアセンブリ140が複数の基板60を保持できるカルーセル型チャンバである。図2に示すように、ガス分配アセンブリ120は、複数の別個のインジェクタユニット122を含んでよく、各インジェクタユニット122は、インジェクタユニットの下方でウエハが移動するにつれて、ウエハ上に膜を堆積させることができる。サセプタアセンブリ140上の、ほぼ対向している両側に配置された、2つのパイ型インジェクタユニット122が図示される。インジェクタユニット122のこの数は、例示目的のためだけに示される。より多い又はより少ない数のインジェクタユニット122を含むことができると理解されよう。いくつかの実施形態では、サセプタアセンブリ140の形状に一致する形状を形成するのに十分な数のパイ型インジェクタユニット122が存在する。いくつかの実施形態では、個々のパイ型インジェクタユニット122の各々は、他のインジェクタユニット122のいずれにも影響を与えることなく、個別に移動し、取り外され、かつ/又は交換されうる。例えば、ロボットがサセプタアセンブリ140とガス分配アセンブリ120との間の領域にアクセスして、基板60を搬入/搬出できるようにするために、1つのセグメントが上昇しうる。
複数のウエハが同じプロセスフローを経るようにこれら複数のウエハを同時に処理するために、複数のガスインジェクタを有する処理チャンバを使用することができる。例えば、図3に示すように、処理チャンバ100は、4つのガスインジェクタアセンブリ及び4つの基板60を有する。処理開始の際、基板60は、ガス分配アセンブリ120間に置くことができる。サセプタアセンブリ140を45°回転させる17と、ガス分配アセンブリ120間にある各基板60は、ガス分配アセンブリ120の下に点線円で示されるように、膜堆積のためにガス分配アセンブリ120まで移動することになる。更に45度回転させることにより、基板60はガス分配アセンブリ120から離れる方向に移動することになるだろう。基板60とガス分配アセンブリ120との数は、同じであっても異なっていてもよい。いくつかの実施形態では、処理されているウエハの数は、存在するガス分配アセンブリと同じになる。1つ又は複数の実施形態では、処理されているウエハの数は、ガス分配アセンブリの数の分数又は整数倍である。例えば、4つのガス分配アセンブリが存在する場合、4xの処理されているウエハが存在し、ここでxは1以上の整数値である。例示的な実施形態では、ガス分配アセンブリ120は、ガスカーテンによって分離された8つの処理領域を含み、サセプタアセンブリ140は、6つのウエハを保持することができる。
図3に示す処理チャンバ100は、実現可能な一構成を表しているにすぎず、本開示の範囲を限定するものと解釈すべきではない。ここでは、処理チャンバ100は、複数のガス分配アセンブリ120を含む。図示した実施形態では、処理チャンバ100の周囲に均等に離間した4つのガス分配アセンブリ(インジェクタアセンブリとも称される)が存在する。図示した処理チャンバ100は八角形であるが、これは実現可能な一形状であり、本開示の範囲を限定するものと解釈すべきではないことを当業者は理解するだろう。図示したガス分配アセンブリ120は台形であるが、単一の円形構成要素とすることができ、又は、図2に示すもののように、複数のパイ型セグメントで構成することもできる。
図3に示す実施形態は、ロードロックチャンバ180、又はバッファステーションのような補助チャンバを含む。このチャンバ180は、例えば基板(基板60とも称される)がチャンバ100に搬入/チャンバ100から搬出できるようにするため、処理チャンバ100の側面に結合される。サセプタ上に基板を移動させるために、チャンバ180内にウエハロボットが配置されてもよい。
カルーセル(例えば、サセプタアセンブリ140)の回転は、連続的であっても、間欠的(非連続的)であってもよい。連続処理において、ウエハは、インジェクタの各々に順に曝露されるよう常に回転している。非連続処理において、ウエハは、インジェクタ領域に移動して停止し、次いで、インジェクタ間の領域84に移動して停止することができる。例えば、カルーセルは、ウエハがインジェクタ間領域からインジェクタを越えて移動し(又は、インジェクタに隣接して停止し)、カルーセルが再度停止できる次のインジェクタ間領域へと続いて移動するように、回転することができる。インジェクタ間で停止することにより、各層の堆積と堆積との間に、追加の処理ステップ(例えばプラズマへの曝露)のための時間が提供されうる。
図4は、インジェクタユニット122と称されうる、ガス分配アセンブリ220のセクタ又は一部分を示す。インジェクタユニット122は、個別に、又は他のインジェクタユニットと組み合わせて、使用することができる。例えば、図5に示すように、図4のインジェクタユニット122を4つ組み合わせて、単一のガス分配アセンブリ220を形成する。(分かりやすくするために、4つのインジェクタユニットを分ける線は図示されない。)図4のインジェクタユニット122は、パージガスポート155及び真空ポート145に加えて、第1の反応性ガスポート125と第2のガスポート135との両方を有しているが、インジェクタユニット122に、これらの構成要素の全てが必要なわけではない。
図4と図5との両方を参照すると、1つ又は複数の実施形態によるガス分配アセンブリ220は、複数のセクタ(又は複数のインジェクタユニット122)を備え、各セクタは同一であっても異なっていてもよい。ガス分配アセンブリ220は、処理チャンバの中に位置付けられ、ガス分配アセンブリ220の前面121において複数の細長いガスポート125、135、155と、真空ポート145とを備える。複数の細長いガスポート125、135、155、及び真空ポート145は、ガス分配アセンブリ220の内周エッジ123に隣接するエリアから外周エッジ124に隣接するエリアに向かって延びる。図示する複数のガスポートは、第1の反応性ガスポート125と、第2のガスポート135と、第1の反応性ガスポートと第2の反応性ガスポートの各々を取り囲む真空ポート145と、パージガス真空ポート155とを含む。
図4又は図5に示す実施形態を参照して、ポートは少なくとも内周領域周辺から少なくとも外周領域周辺まで延びると記述するとしても、ポートは、単に内側領域から外側領域まで半径方向に延びるだけではない可能性がある。ポートは、真空ポート145が反応性ガスポート125及び反応性ガスポート135を取り囲むように、接線方向に延びる可能性がある。図4及び図5に示す実施形態では、くさび形の反応性ガスポート125、135は、内周領域及び外周領域に隣接したエッジを含む全てのエッジで、真空ポート145によって取り囲まれる。
図4を参照すると、基板が経路127に沿って移動するにつれ、基板表面の各部分は、様々な反応性ガスに曝露される。経路127を辿ると、基板は、パージガスポート155、真空ポート145、第1の反応性ガスポート125、真空ポート145、パージガスポート155、真空ポート145、第2のガスポート135、及び真空ポート145に曝露される、すなわちそれらに「遭遇する(see)」ことになる。したがって、図4に示す経路127の端部で、基板は、第1の反応性ガスポート125からの第1の反応性ガス、及び第2の反応性ガスポート135からの第2の反応性ガスに曝されて、層を形成した。図示されるインジェクタユニット122は、四分円となっているが、より大きい又はより小さいものである可能性もある。図5に示すガス分配アセンブリ220は、連続的に結合された図4の4つのインジェクタユニット122の組み合わせと見なすことができる。
図4のインジェクタユニット122は、反応性ガス同士を分離させるガスカーテン150を示す。「ガスカーテン(gas curtain)」という用語は、反応性ガスを混合しないように分離させるガス流又は真空の任意の組み合わせを説明するために使用される。図4に示すガスカーテン150は、真空ポート145の第1の反応性ガスポート125に隣接する部分、中間のパージガスポート155、及び真空ポート145の第2のガスポート135に隣接する部分を含む。このガス流と真空との組み合わせは、第1の反応性ガスと第2の反応性ガスとの気相反応を防止する又は最少化するために使用することができる。
図5を参照すると、ガス分配アセンブリ220からのガス流と真空との組み合わせは、複数の処理領域250への分離を形成する。処理領域は、250の間のガスカーテン150を用いて、個々のガスポート125、135の周りに大まかに画定される。図5に示す実施形態は、8つの別個のガスカーテン150を間に有する、8つの別個の処理領域250を構成する。処理チャンバは、少なくとも2つの処理領域を有することができる。いくつかの実施形態では、少なくとも3つ、4つ、5つ、6つ、7つ、8つ、9つ、10、11、又は12の処理領域が存在する。
処理中に、基板は、どの所与の時点においても2つ以上の処理領域250に曝露されうる。しかし、異なる処理領域に曝露される部分は、その2つを分離するガスカーテンを有することになる。例えば、基板の前方エッジが第2のガスポート135を含む処理領域に入る場合、基板の中間部分はガスカーテン150の下にあり、かつ、基板の後方エッジは第1の反応性ガスポート125を含む処理領域内にあることになる。
処理チャンバ100に結合された、例えばロードロックチャンバでありうるファクトリインターフェース280が図示される。参照のフレームを提供するために、基板60は、ガス分配アセンブリ220に重ね合わせて図示される。基板60は、ガス分配アセンブリ120の前面121の近くに保持されるよう、サセプタアセンブリ上に置かれることが多い。基板60は、ファクトリインターフェース280を介して、処理チャンバ100内の基板支持体又はサセプタアセンブリ上に搬入される(図3参照)。処理領域の中に配置された基板60が図示されうるが、それは、基板が、第1の反応性ガスポート125に隣接し、かつ2つのガスカーテン150a、150bの間に位置するからである。基板60を経路127に沿って回転させることにより、基板は、処理チャンバ100の周りを反時計回りに移動することになる。ゆえに、基板60は、第1の処理領域250aから第8の処理領域250hまでの処理領域に曝露されることになり、第1の処理領域と第8の処理領域との間に全ての処理領域が含まれる。
本開示の実施形態は、複数の処理領域250a−250hを有し、各処理領域がガスカーテン150によって隣接する領域から分離された処理チャンバ100を含む処理方法を対象とする。例えば、図5に処理チャンバが示される。処理チャンバ内のガスカーテンと処理領域の数は、ガス流の配置に応じて、任意の適した数とすることができる。図5に示す実施形態は、8つのガスカーテン150と8つの処理領域250a−250hとを有する。
複数の基板60は、例えば、図1及び図2に示すサセプタアセンブリ140などの基板支持体上に配置される。複数の基板60は、処理ために、処理領域周囲を回転する。概して、ガスカーテン150は、チャンバ内に反応性ガスが流入しない期間を含め、処理の間ずっと稼働している(ガスが流れ、真空がオンになっている)。
したがって、本開示の1つ又は複数の実施形態は、図5に示すもののようなバッチ処理チャンバを利用する処理方法を対象とする。基板60は、複数の処理領域250を有する処理チャンバに配置され、各処理領域は、ガスカーテン150により隣接する処理領域から分離されている。
図6は、図5に示される平面6に沿って切り取られた側壁102及び底部104を備えた処理チャンバ100の断面図を示す。支柱160上のサセプタアセンブリ140は、処理チャンバ100内に位置する。断面図は中心軸105を通り、サセプタアセンブリ140上の2つの基板60を通過する。断面図はまた、中心軸105の両側にあるガス分配アセンブリ120からの2つの反応性ガスポート135も示す。
図6に示す実施形態では、左側の反応性ガスポート135は、第1の電源310により電力供給され、右側の反応性ガスポート135は、第2の電源320により電力供給される。第1の電源310及び第2の電源320の各々は、ある位相で動作し、それらは、図示されるように、通常はほぼ同一である。従来、電源の位相は、処理中に制御されず、ドリフトする可能性がある。本発明者らは、位相ドリフトが膜応力の増加を引き起こす可能性があることを発見した。
図7は、本開示の1つ又は複数の実施形態による処理チャンバ100の実施形態を示す。処理チャンバ100は、中心軸105の周りに配置された複数の反応性ガスポート135を含むガス分配アセンブリ120を含む。反応性ガスポート135の各々は、ガスカーテン150によって隣接する反応性ガスポート(図5参照)から分離されている。
図7に示されている実施形態は、2つの反応性ガスポートのみが示される断面図を示す。これらの反応性ガスポートは、処理領域でプラズマを生成するためのガスを供給し、プラズマガスポートと称される。第1の電源310は、第1のプラズマガスポート135aに接続され、第1の整合回路315に結合される。第2の電源320は、第2のプラズマガスポート135bに接続され、第2の整合回路325と結合する。
マスター励振器350は、第1の電源310、第2の電源320、第1の整合回路315及び第2の整合回路325の各々に接続される。マスター励振器350は、第1の電源310及び第2の電源320のうちの1つ又は複数の位相を制御するように構成される。いくつかの実施形態のマスター励振器350は、電源の位相を制御する。
処理中に、電源の位相は、任意の数の要因に起因してドリフトする可能性がある。マスター励振器350は、個々の電源の位相を制御するために使用することができ、又は任意の若しくはすべての電源にわたり制御を提供することができる。いくつかの実施形態では、マスター励振器350は、第1の電源310と第2の電源320の位相を制御して、位相を約180°離すように構成される。例えば、第1の電源310の位相が毎分1°だけドリフトする場合、マスター励振器350は、位相が約180°離れたままになるように、第2の電源320の位相を変更することができる。いくつかの実施形態では、マスター励振器350は、第2の電源320の位相を第1の電源310の約170°から約190°以内に維持する。いくつかの実施形態では、マスター励振器350は、第2の電源320の位相を第1の電源310の約175°から約185°の範囲内に維持する。
第1の電源310及び第2の電源320の位相は、任意の適切な方法によってモニタすることができる。いくつかの実施形態において、位相は、第1の整合回路315及び第2の整合回路325をモニタすることにより、マスター励振器350によってモニタされる。いくつかの実施形態では、第1の電源310及び/又は第2の電源320の位相は、第1の整合回路315及び第2の整合回路325をモニタするフィードバック回路によって調整される。
プラズマガスポートの位置は、処理条件とパラメーターに応じて変えることができる。いくつかの実施形態では、ガス分配アセンブリ120は、中心軸105の周りに配置された8つの反応性ガスポートを含む。1つ又は複数の実施形態において、第1のプラズマガスポート135a及び第2のプラズマガスポート135bは、中心軸105の反対側に配置される。
いくつかの実施形態では、中心軸105の周りに配置された4つのプラズマガスポートがある。図8は、第1の整合回路315を備えた第1の電源310、第2の整合回路325を備えた第2の電源320、第3の整合回路335を備えた第3の電源330及び第4の整合回路345を備えた第4の電源340を含む実施形態を示す。電源及び整合回路の各々は、異なるプラズマガスポートに接続されており、中心軸105の周りに配置された4つの独立したプラズマガスポート135a、135b、135c、135dがある。マスター励振器350はまた、電源及び整合回路の各々に接続され、電源の1つ又は複数の位相を制御する。
いくつかの実施形態では、第1のプラズマガスポート135a、第2のプラズマガスポート135b、第3のプラズマガスポート135c及び第4のプラズマガスポート135dは、中心軸105の周りに約90°のインクリメントで配置される。1つ又は複数の実施形態では、プラズマガスポート135a、135b、135c、135dの各々の間に少なくとも1つの反応性ガスポート125a、125b、125c、125dがある。いくつかの実施形態では、反応性ガスポート125a、125b、125c、125d及びプラズマガスポート135a、135b、135c、135dの各々は、ガスカーテンによって分離されている(図5を参照)。
いくつかの実施形態では、電源の各々は、隣接する電源の位相に対して約170°から約190°の範囲で制御される位相を有する。例えば、プラズマガスポート135aの位相は、プラズマガスポート135aの両側に位置するプラズマガスポート135d及びプラズマガスポート135bの位相から約180°に維持することができる。いくつかの実施形態では、中心軸105に対して反対側のプラズマガスポートの位相はほぼ同じであるが、交互のガスポートの位相は約180°異なる。
いくつかの実施形態では、ガスポートの位相は約90°離れて維持され、したがって、第4のプラズマガスポート135dの位相は、第3のプラズマガスポート135cの位相よりも約90°高く、第3のプラズマガスポート135cの位相は、第2のプラズマガスポート135bの位相よりも約90°高く、第2のプラズマガスポート135bの位相は、第1のプラズマガスポート135aの位相よりも約90°高い。
いくつかの実施形態では、図7に示されるように、サセプタアセンブリ140は、ガス分配アセンブリ120から距離を置いて配置される。サセプタアセンブリ140は、サセプタアセンブリ140の上面に形成された凹部内で複数の基板60を支持するように構成される。サセプタアセンブリは、プラズマガスポートのいずれかの電源のいずれかによって生成されたプラズマの接地経路として機能する。
本開示のいくつかの実施形態は、基板を処理する方法、又は基板上に膜を形成する方法を対象とする。処理チャンバの第1の処理領域の第1プラズマガスポート135aに接続された第1電源310に、電力が供給される。第1の電源310は、第1の整合回路に結合される。処理チャンバの第1の処理領域とは異なる第2の処理領域の第2プラズマガスポート135bとして接続された第2電源320に、電力が供給される。第2の電源320は、第2の整合回路325に結合される。第1の電源310又は第2の電源320の1つ又は複数の位相は、第1の電源310、第2の電源320、第1の整合回路315及び第2の整合回路325に接続されたマスター励振器350を使用して制御される。
いくつかの実施形態では、マスター励振器350は、第1の整合回路315及び第2の整合回路325からのフィードバックをモニタし、フィードバックに基づいて、第1の電源310及び第2の電源320の1つ又は複数の位相を調整して、第1の電源310と第2の電源320の位相を約170°から約190°離れた範囲内に維持する。
いくつかの実施形態は、処理チャンバの第3の処理領域内の第3のプラズマガスポート135cに接続された第3の電源330に電力を供給することを更に含む。第3の処理領域は、第1の処理領域及び第2の処理領域と異なる。第3の電源330は、第3の整合回路335に結合される。第4の電源340は、処理チャンバの第4の処理領域内の第4のプラズマガスポート135dに接続される。第4の処理領域は、第1の処理領域、第2の処理領域及び第3の処理領域と異なる。第4の電源340は、第4の整合回路345に結合される。マスター励振器350は、第3の電源330及び/又は第4の電源340に接続され、それらを制御することができる。
本開示のいくつかの実施形態は、膜を堆積する方法を対象とする。いくつかの実施形態の膜は、酸化ケイ素、窒化ケイ素、酸窒化ケイ素、炭化ケイ素、炭窒化ケイ素又は酸炭窒化ケイ素を含む。いくつかの実施形態の膜は、ケイ素並びに炭素原子、酸素原子又は窒素原子のうちの1つ又は複数を含む。
図10及び図11は、本開示の1つ又は複数の実施形態による窒化ケイ素膜を形成するための方法400を示す。図10及び図11に示す実施形態はSiN膜を形成するが、当業者は、これが1つの可能なプロセスの単なる代表例であり、他の材料が形成可能であることを理解するだろう。
その上に金属層403を有する基板401が、410で提供される。これに関して使用される「提供される(provided)」という用語は、基板401が、少なくとも、膜形成のために処理チャンバ内に配置されることを意味する。基板401は、任意の適切な基板とすることができる。
金属層403は、任意の適切な金属で作ることができる。いくつかの実施形態では、金属層403は、コバルトを含む。いくつかの実施形態では、金属層403は本質的にコバルトからなる。このように使用される場合、「本質的にコバルトからなる(consists essentially of cobalt)」という用語は、金属層403が原子ベースで約95%、98%又は99%以上のコバルトであることを意味する。
金属層403の厚さは、例えば、金属層403の目的及び用途に応じて、任意の適切な厚さとすることができる。いくつかの実施形態では、金属層403は、約1Åから約10000nmの範囲の厚さを有する。いくつかの実施形態の金属層403の厚さは、約10nmから約500nmの範囲にある。いくつかの実施形態では、金属層403は、コバルトライナである。
420において、金属層403は、ケイ素前駆体に曝露されて、金属層403上にケイ素含有膜425を形成する。ケイ素前駆体は、オルガノシラン及びハロゲン化されたシランを含むがこれらに限定されない任意の適切なケイ素前駆体でありうる。いくつかの実施形態では、ケイ素前駆体は、モノクロロシラン、モノブロモシラン、モノヨードシラン、ジクロロシラン、ジブロモシラン又はジヨードシランのうちの1つ又は複数を含む。いくつかの実施形態では、ケイ素前駆体は、本質的にジクロロシランからなる。これに関して使用される「本質的に〜からなる(consists essentially of)」という用語は、ケイ素前駆体に存在する反応種が、モルベースで述べられた種の約95%、98%又は99%以上であることを意味する。不活性希釈ガス又はキャリアガスは、反応種含有量の計算には含まれない。いくつかの実施形態では、ケイ素前駆体は、本質的にモノクロロシランからなる。いくつかの実施形態では、ケイ素前駆体は、本質的にジブロモシランからなる。いくつかの実施形態では、ケイ素前駆体は、本質的にモノブロモシランからなる。いくつかの実施形態では、ケイ素前駆体は、本質的にジヨードシランからなる。いくつかの実施形態では、ケイ素前駆体は、本質的にモノヨードシランからなる。いくつかの実施形態では、ケイ素前駆体は、ジハロシランを含む。このように使用される「ジハロシラン」は、2つのハロゲン原子と2つの水素原子を持つシラン化合物である。ハロゲン原子は、塩素、臭素、又はヨウ素のいずれかでありうる。いくつかの実施形態では、ケイ素前駆体は、塩素系シラン(例えば、SiClH、SiCl、SiClH、ClSi−SiCl、ClHSi−SiHCl、ClSi−SiHCl)を含む。
ケイ素含有膜425は、一般に、金属層403の表面での物理的及び/又は化学的相互作用を通して、金属層403に化学吸着される。時間領域ALDプロセスでは、処理チャンバから、未反応のケイ素前駆体と反応副産物がパージされる。空間ALDプロセスでは、ケイ素前駆体を含む処理領域からガスカーテンを通って、基板が移動する。ケイ素含有膜425は、処理チャンバのパージ又はガスカーテンを通る移動時に、金属層403の表面上に少なくとも部分的に残る。当業者は、パージプロセス中に、又はガスカーテンを通過する間に、化学吸着分子のいくらかの量が置換される可能性があることを理解するだろう。
430において、ケイ素含有膜425は、窒素含有反応物に曝露され、金属層403上に窒化ケイ素膜435を形成する。窒素含有反応物は、分子窒素、アンモニア、ヒドラジン、窒素酸化物化合物、又はそれらの組み合わせを含むがこれらに限定されない、任意の適切な窒素含有ガスでありうる。いくつかの実施形態では、窒素含有反応物は、少なくとも1つのN−H結合を含む。いくつかの実施形態では、窒素含有反応物は、本質的にアンモニアからなる。これに関連して使用される「本質的にアンモニアからなる(consists essentially of ammonia)」という用語は、窒素含有反応物に存在する反応種がモルベースで約95%、98%又は99%以上のアンモニアであることを意味する。不活性希釈ガス又はキャリアガスは、反応種含有量の計算には含まれない。いくつかの実施形態では、窒素含有反応物は、不活性ガスと混合されたアミンを含む。いくつかの実施形態において、窒化ケイ素膜435は、熱プロセスにより形成される。このように使用される場合、「熱プロセス」は、プラズマ又はプラズマベースの反応物を使用しない。例えば、熱プロセスにおける窒素含有反応物は、プラズマとして又はプラズマと共に提供されない。
いくつかの実施形態では、窒化ケイ素膜435は共形膜である。このように使用される場合、「共形膜」は、膜の厚さが平均厚さに対して約10%、5%又は2%以上変化しないように、実質的に均一のままの厚さを有する。たとえば、トレンチに堆積された共形膜は、トレンチの底部、トレンチの側壁の底部、トレンチの側壁の中央、トレンチの側壁の上部、及びトレンチが内部に形成される上面でほぼ同じ厚さを有する。
440において、窒化ケイ素膜435を水素プラズマに曝露し、低エッチング窒化ケイ素膜445を形成する。本明細書及び添付の特許請求の範囲で使用される「低エッチング窒化ケイ素膜(low etch silicon nitride film)」という用語は、1%HFでの湿式エッチング速度を低下させる処理を受けた窒化ケイ素膜を指す。いくつかの実施形態の水素含有プラズマは、本質的に水素からなる。これに関連して使用される「本質的に水素からなる(consists essentially of hydrogen)」という用語は、プラズマに存在する反応種が原子ベースで約95%、98%又は99%以上の水素であることを意味する。不活性希釈ガス又はキャリアガスは、反応種含有量の計算には含まれない。
いくつかの実施形態では、水素含有プラズマは、水素並びに窒素原子及びアルゴン原子の1つ又は複数を含む。いくつかの実施形態では、水素含有プラズマは、原子ベースで約1%から約99%の範囲の水素、又は原子ベースで約10%から約90%の範囲の水素、又は原子ベースで約20%から約80%の範囲の水素を含む。いくつかの実施形態では、水素含有プラズマは、原子ベースで約40%、50%、60%、70%、80%又は90%以上の水素を含む。
いくつかの実施形態では、450において、方法400は、窒化ケイ素膜435を窒素プラズマに曝露することを更に含む。窒化ケイ素膜435は、440で水素含有プラズマに曝露する前、440で水素含有プラズマに曝露した後、又はその前後の両方で、窒素プラズマに曝露することができる。
いくつかの実施形態において、水素含有プラズマ及び窒素プラズマは、ある窒素含有量で水素含有プラズマに混合される。いくつかの実施形態では、水素含有プラズマは、反応性成分として、モルベースで約70%、80%、90%又は95%以上の合計量の水素及び窒素を含む。
水素含有プラズマ及び/又は窒素含有プラズマは、任意の適切なプラズマ装置によって生成することができる。プラズマは、基板又はペデスタルがプラズマ生成用の電極として機能する直接プラズマとすることができる。いくつかの実施形態では、プラズマは、電気経路内の基板又はペデスタルを使用せずにプラズマが生成される遠隔プラズマである。
いくつかの実施形態では、水素含有プラズマは、垂直プラズマ源(VPS)で生成される。垂直プラズマ源は、プレート電極間の間隙が基板の表面に実質的に垂直な方向に延びるように、垂直に配向された電極間にプラズマを生成する。ガス種がプレート間をウエハ表面に向かって流れる間に、プレート間にプラズマを生成することができる。プラズマは、源に実質的に封じ込まれ、電力供給されたプレートからウエハ表面に到達するスパッタ材料を最小限に抑える。本開示のいくつかの実施形態は、有利には、高温電極からスパッタされた材料による基板の汚染を最小化又は排除するプラズマ源を提供する。いくつかの実施形態はまた、有利には、基板表面を実質的に変化させないソフトプラズマを提供する。1つ又は複数の実施形態は、電気的帰路が基板を通過することを許さずにプラズマを生成できる装置を提供する。本開示のいくつかの実施形態は、ガス分配アセンブリに追加又はそこから除去することができるモジュール式遠隔プラズマ源を提供する。遠隔プラズマ源は、基板又は基板支持体を電極として使用せずにプラズマを生成する。
図12から17を参照すると、本開示の1つ又は複数の実施形態は、モジュール式容量結合プラズマ源500及びそれを使用する処理方法を対象とする。本明細書及び添付の特許請求の範囲で使用される「モジュール式(modular)」という用語は、プラズマ源500を処理チャンバに取り付けたり取り外したりできることを意味する。モジュール式源は、一般に、1人で移動させ、取り外し、又は取り付けることができる。
図12は、本開示の1つ又は複数の実施形態によるプラズマ源アセンブリ500の断面図を示す。図12に示されるプラズマ源アセンブリ500は、ガス入口515及び前面512を備えたハウジング510を含む。ガス入口515により、ガスの流れは、流路518に沿ってハウジング510を通って移動し、前面512の開口部513から出られるようになる。図示された実施形態は、説明目的で中心から外れて示されたガス入口515を有するが、当業者は、ガス入口515がハウジング510の中心に置かれ得ることを理解するだろう。加えて、いくつかの実施形態は、流路518を通るガス流の均一性を高めるためにプレナム516を含む。
プラズマ源アセンブリ500は、RF熱電極520及び少なくとも1つのリターン電極530を含む。リターン電極530は、RF熱電極520と完全な回路を形成する任意の導電性材料である。当業者は、リターン電極530が、電子が流れる経路を提供できることを理解するだろう。このように使用される「リターン(return)」という用語は、電極がプラズマ成分の電気経路の一部であり、電流又は電子の流れの方向を意味しないことを意味する。
図12から図14を参照すると、RF熱電極520は、第1の表面522と、第1の表面522の反対側の第2の表面524とを有する。図12は、プラズマ源アセンブリ500の断面図を示し、図13及び図14は、電極の部分斜視図を示す。これに関して使用されるように、第1の表面522と第2の表面524とは、RF熱電極520の厚さTの反対側にある。RF熱電極520は、一般に、高さH、厚さT及び長さLを有する直角プリズムとして成形される。RF熱電極520は、流路518に実質的に平行に配向された第1の表面522を有する。これに関連して使用される「実質的に平行(substantially parallel)」という用語は、表面が平行(0oとして定義)から±10o以内であることを意味する。
リターン電極530は、RF熱電極520と同様に成形される。リターン電極は、流路518に実質的に平行に配向される第1の表面532を有する。リターン電極530の第1の表面532は、間隙540を形成するために、RF熱電極520の第1の表面522から離間している。
RF熱電極(電力供給された電極)と接地プレート(リターン電極)との間の間隙は変更することができる。いくつかの実施形態では、間隙は、約4mmから約15mmの範囲であり、調整可能でありうる。RF熱電極の幅は、変えることができる。例えば、イオンを加速するために、プレートを先細にすることができる。使用中、RF熱電極とリターン電極との間の間隙を流れるガス種はイオン化される。イオン化種は、次に基板表面に接触することができる。様々な実施形態により形成されるプラズマは、基板表面を実質的に変化させないソフトプラズマである。
リターン電極530、530bは、アルミニウム、ステンレス鋼及び銅を含むがこれらに限定されない任意の適切な材料とすることができる。リターン電極530、530bは、任意の適切な電気特性を有することができる。いくつかの実施形態では、リターン電極530、530bは、接地電極である。接地電極は、電気的接地と電気的に接触する任意の導電性材料である。
いくつかの実施形態では、リターン電極530、530bは、RF熱電極520とは異なる電力供給された電極である。このように使用される場合、「RF熱電極とは異なる(different from the RF hot electrode)」とは、電気特性又は電位がRF熱電極とは異なることを意味する。例えば、生成されたプラズマの駆動力は、ウエハとの相互作用を最小限に抑えるために位相シフタを使用して、単一の源からプッシュプル方式で調整されうる。この種の実施形態では、RF熱電極520は、例えば、リターン電極530と180°位相がずれていることがある。
図13に示すように、プラズマ源アセンブリのいくつかの実施形態は、第2のリターン電極530bを更に備える。第2のリターン電極530bは、流路518に実質的に平行に配向される第1の表面532bを有する。第2のリターン電極530bの第1の表面532bは、RF熱電極520の第2の表面524から離間して、間隙540bを形成する。間隙540及び間隙540bは、同じ又は異なる寸法を有することができる。いくつかの実施形態では、RF熱電極520とリターン電極530、530bとの間の間隙540、540bは、約4mmから約15mmの範囲、又は約5mmから約14mmの範囲、又は約7mmから約13mmの範囲、又は約9mmから約12mmの範囲、又は約11mmである。
図15を参照すると、いくつかの実施形態では、RF熱電極520とリターン電極530、530bとの間の間隙540、540bは、電極の高さHに沿って変化する。図示される実施形態では、厚さTは、前面512に隣接するよりもガス入口515により隣接している。別の言い方をすると、間隙540、540bのサイズは、前面512に隣接するよりもガス入口515に隣接する方がより小さい。任意の特定の動作理論に拘束されることなく、RF熱電極520の先細りした厚さにより、イオンがウエハに向かって加速される可能性があると考えられる。
RF熱電極520の厚さTは、例えば、電極材料に応じて、任意の適切な厚さとすることができる。いくつかの実施形態では、RF熱電極は、約3mmから約11mmの範囲、又は約4mmから約10mmの範囲、又は約6mmから約9mm若しくは約8mmの範囲の厚さを有する。
RF熱電極520の高さHは、変えることができる。いくつかの実施形態では、RF熱電極520の高さHは、約8mmから約40mmの範囲、又は約9mmから約55mmの範囲、又は約10mmから約50mmの範囲、又は約11mmから約25mmの範囲、又は約12mmから約20mmの範囲、又は約13mmから約15mm若しくは約14mmの範囲である。
いくつかの実施形態は、RF熱電極520の下方エッジ529に隣接するスペーサ560を含む。図15を参照すると、RF熱電極520が、2つのリターン電極530の間に示される。スペーサ560は、RF熱電極520の下方エッジ529を基板60及びサセプタアセンブリ140から分離する。いくつかの実施形態では、スペーサ560の存在は、RF熱電極520のスパッタリングが基板60を汚染することを防止又は最小化するのに役立つ。スペーサ560は、誘電体(例えば、セラミック材料)を含むがこれに限定されない任意の適切な材料で作ることができる。スペーサ560のサイズは、基板60の近傍からRF熱電極520の下方エッジ529を動かすように調整することができる。いくつかの実施形態では、スペーサ560は、約10mmから約25mmの範囲、又は約13mmから約20mm若しくは約17mmの範囲の長さLsを有する。
図16は、本開示の別の実施形態を示す。RF熱電極520は、下方エッジ529に隣接するスペーサ560を有する。リターン電極531(例えば、接地又は電力供給された)は、スペーサ560に隣接して、スペーサを基板60及びサセプタアセンブリから分離する。任意の特定の動作理論に拘束されることなく、スペーサ560とリターン電極531との組み合わせにより、RF熱電極520と基板との直接の相互作用が最小限に抑えられると考えられる。2つのRF熱電極520及び2つのリターン電極530が図17に示されるが、当業者は、任意の適切な数のRF熱電極520及びリターン電極530が存在する可能性があることを理解するだろう。
垂直プラズマ源で生成されるプラズマの周波数は変化しうる。いくつかの実施形態では、高密度のプラズマを生成するために、高周波が使用される。いくつかの実施形態では、垂直プラズマ源は、13.56MHz、20MHz、40MHz、60MHz又は100MHzを超える周波数で動作する。
図10の方法を再び参照すると、決定点460に到達する。低エッチング窒化ケイ素膜445が所定の厚さに成長又は堆積又は形成されている場合、方法400は、いくつかの後処理470に進む。低エッチング速度の窒化ケイ素膜445が所定の厚さに達していない場合、方法400は、420でケイ素前駆体、430で窒素含有反応物、及び440で水素含有プラズマへの曝露を繰り返し、所定の厚さの低エッチング窒化ケイ素膜445を形成する。450でのオプションの窒素含有プラズマ曝露は、繰り返すか省略することができる。いくつかの実施形態では、450でのオプションの窒素含有プラズマ曝露は、420から440の各サイクルよりも少ない回数実行される。例えば、いくつかの実施形態では、450での窒素含有プラズマ曝露は、1つおきのサイクルごと、3サイクルごと、4サイクルごと、5サイクルごと、6サイクルごと、7サイクルごと、8サイクルごと、9サイクルごと、10サイクルごと、サイクル、15サイクルごと、20サイクルごと、25サイクルごと、30サイクルごと、40サイクルごと、50サイクルごと、100サイクルごと、又は10を超える任意のサイクル間隔で起こる。
方法400の温度は、下層への損傷を防ぐのに十分低い温度になるよう制御することができる。典型的には、金属層上への窒化ケイ素膜の低温堆積により、膜中に高い水素含有量がもたらされ、高い湿式エッチング速度で膜を作り出す。方法400の実施形態は、水素含有量が低く、湿式エッチング速度がより低い金属膜上への窒化ケイ素の低温堆積を可能にする。いくつかの実施形態では、方法は、約250℃以下の温度で実行される。いくつかの実施形態では、基板は、ケイ素前駆体、窒素含有反応物、水素含有プラズマ、及びオプションの窒素含有プラズマへの曝露中、250℃以下の温度に維持される。いくつかの実施形態では、基板の温度は、225℃、200℃、175℃、又は150℃以下に維持される。
低エッチング窒化ケイ素膜445の形成後、金属層への損傷は実質的にない。これに関連して使用される「損傷が実質的にない(substantially no damage)」という用語は、TEMによって観察された際に、低エッチング窒化ケイ素膜内に拡散するコバルト球体がほとんど又はまったくないことを意味する。
低エッチング窒化ケイ素膜は、1:100のHF:HOで約5Å/分以下のエッチング速度を有する。いくつかの実施形態では、低エッチング窒化ケイ素膜のエッチング速度は、約4、3、2、1又は0.5Å/分以下である。
図5及び図9から図11を参照すると、本開示の別の実施形態が提供される。基板60は、処理チャンバ100の第1の処理領域250a内のケイ素前駆体に曝露される。基板60は、ガスカーテン150を通って処理チャンバ100の第2の処理領域250bまで横方向に移動する。基板60は、処理チャンバ100の第2の処理領域250b内の窒素含有反応物に曝露される。基板60は、ガスカーテン150を通って処理チャンバの第3の処理領域250cまで横方向に移動する。基板60は、第1の整合回路315に結合された第1の位相を有する第1の電源310によって生成された第1の水素含有プラズマに曝露される。
基板60は、ガスカーテン150を介して処理チャンバ100の第4の処理領域250eに横方向に移動する。基板は、第4の処理領域250eでケイ素前駆体に曝露され、ガスカーテン150を通って第5の処理領域250fに移動し、そこで基板は、窒素含有反応物に曝露される。次に、基板は、ガスカーテン150を通って第6の処理領域250gまで横方向に移動する。第6の処理領域250gでは、基板は、第2の整合回路325に結合された第2の電源320によって生成された第2の水素含有プラズマに曝露される。第2の電源320は、第2の位相を有する。第1の位相及び/又は第2の位相は、約170°から約190°の範囲の位相差を維持するように制御される。
いくつかの実施形態では、第1のプラズマ及び第2のプラズマは、処理チャンバ100の中心軸105の両側に位置する処理チャンバ100の部分で基板に曝露される。
いくつかの実施形態では、基板は、処理チャンバの追加の処理領域250d、250hで窒素含有プラズマに曝露され、窒素含有プラズマへの曝露後に、基板をガスカーテンを通して第3、第4、第5、第6又は第1の処理領域の1つに横方向に移動させる。図示される実施形態では、追加の処理領域250d、250hは、窒素含有プラズマ領域の後に位置する。いくつかの実施形態において、追加の処理領域250d、250hは、処理チャンバの窒素含有反応物領域と水素含有プラズマ領域との間に位置する。
本明細書全体を通しての、「一実施形態(one embodiment)」、「ある実施形態(certain embodiments)」、「1つ又は複数の実施形態(one or more embodiments)」、又は、「実施形態(an embodiment)」に対する言及は、実施形態に関連して説明される特定の特徴、構造、材料、又は特性が、本開示の少なくとも1つの実施形態に含まれることを意味する。ゆえに、本明細書全体の様々な箇所での「1つ又は複数の実施形態では」、「ある実施形態では」、「一実施形態では」、又は「実施形態では」といった表現の表出は、必ずしも本開示の同一の実施形態に言及するわけではない。更に、特定の特徴、構造、材料、又は特性は、1つ又は複数の実施形態において、任意の適した様態で組み合わされることがある。
本明細書の開示は特定の実施形態を参照して説明されているが、これらの実施形態は本開示の原理及び用途の例示にすぎないと理解すべきである。本開示の本質及び範囲から逸脱することなく、本開示の方法及び装置に対して様々な修正及び変形が実行可能であることが、当業者には明らかだろう。ゆえに、本開示は、付随する特許請求の範囲及びそれらの均等物に含まれる修正及び変形を含むことが意図される。

Claims (15)

  1. 窒化ケイ素膜の形成方法であって、
    その上に金属層を有する基板を提供することと、
    前記金属層の金属表面をケイ素前駆体に曝露して、前記金属表面の上にケイ素含有膜を形成することと、
    前記ケイ素含有膜を窒素含有反応物に曝露し、前記金属表面の上に窒化ケイ素膜を形成することと、
    前記金属表面の上の前記窒化ケイ素膜を水素含有プラズマに曝露すること、及び該窒化ケイ素膜を窒素プラズマに曝露することを含む、前記金属表面の上に低エッチング窒化ケイ素膜を形成することと
    を含み、
    約250℃以下の温度で実行される方法。
  2. 前記金属層がコバルトを含む、請求項1に記載の形成方法。
  3. 前記水素含有プラズマが本質的に水素からなる、請求項1または2に記載の形成方法。
  4. 前記水素含有プラズマが、窒素原子及びアルゴン原子のうちの1つ又は複数を更に含む、請求項1または2に記載の形成方法。
  5. 前記水素含有プラズマが、原子ベースで1−99%の水素を含む、請求項4に記載の形成方法。
  6. 前記水素含有プラズマが、原子ベースで約50%以上の水素を含む、請求項5に記載の形成方法。
  7. 前記窒素プラズマに曝露することが、前記水素含有プラズマに曝露する前に起こる、請求項1から6のいずれか一項に記載の形成方法。
  8. 前記窒素プラズマに曝露することが、前記水素含有プラズマに曝露した後に起こる、請求項1から6のいずれか一項に記載の形成方法。
  9. 前記窒素プラズマが、窒素原子とアルゴン原子との混合物を含む、請求項1から8のいずれか一項に記載の形成方法。
  10. 前記水素含有プラズマが垂直プラズマ源で生成される、請求項1からのいずれか一項に記載の形成方法。
  11. 前記垂直プラズマ源が20MHzを超える周波数で動作する、請求項10に記載の形成方法。
  12. 前記ケイ素前駆体、前記窒素含有反応物及び前記水素含有プラズマ並びに前記窒素プラズマへの曝露を繰り返して、所定の厚さの低エッチング窒化ケイ素膜を形成することを更に含む、請求項1から11のいずれか一項に記載の形成方法。
  13. 前記所定の厚さの前記低エッチング窒化ケイ素膜が形成された後に、前記金属層への損傷が実質的にない、請求項12に記載の形成方法。
  14. 前記低エッチング窒化ケイ素膜が、1:100のHF:HOで約2Å/分以下のエッチング速度を有する、請求項13に記載の形成方法。
  15. 前記窒化ケイ素膜が、プラズマを使用しない熱プロセスによって前記金属表面の上に形成される、請求項1から14のいずれか一項に記載の形成方法。
JP2019537833A 2017-01-13 2018-01-11 低温窒化ケイ素膜のための方法及び装置 Active JP6942188B2 (ja)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US201762446276P 2017-01-13 2017-01-13
US62/446,276 2017-01-13
PCT/US2018/013320 WO2018132568A1 (en) 2017-01-13 2018-01-11 Methods and apparatus for low temperature silicon nitride films

Publications (2)

Publication Number Publication Date
JP2020504457A JP2020504457A (ja) 2020-02-06
JP6942188B2 true JP6942188B2 (ja) 2021-09-29

Family

ID=62840161

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2019537833A Active JP6942188B2 (ja) 2017-01-13 2018-01-11 低温窒化ケイ素膜のための方法及び装置

Country Status (6)

Country Link
US (2) US11017997B2 (ja)
JP (1) JP6942188B2 (ja)
KR (1) KR102335188B1 (ja)
CN (1) CN110178201B (ja)
TW (1) TWI745528B (ja)
WO (1) WO2018132568A1 (ja)

Families Citing this family (11)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9564309B2 (en) 2013-03-14 2017-02-07 Asm Ip Holding B.V. Si precursors for deposition of SiN at low temperatures
US11549181B2 (en) 2013-11-22 2023-01-10 Applied Materials, Inc. Methods for atomic layer deposition of SiCO(N) using halogenated silylamides
US9576792B2 (en) 2014-09-17 2017-02-21 Asm Ip Holding B.V. Deposition of SiN
US11268190B2 (en) * 2015-06-16 2022-03-08 Versum Materials Us, Llc Processes for depositing silicon-containing films using halidosilane compounds
US10410857B2 (en) 2015-08-24 2019-09-10 Asm Ip Holding B.V. Formation of SiN thin films
US10580645B2 (en) * 2018-04-30 2020-03-03 Asm Ip Holding B.V. Plasma enhanced atomic layer deposition (PEALD) of SiN using silicon-hydrohalide precursors
CN112930581A (zh) * 2018-10-19 2021-06-08 朗姆研究公司 沉积氮化硅膜的方法
TW202117056A (zh) * 2019-09-19 2021-05-01 美商應用材料股份有限公司 使用鹵化矽烷基醯胺之SiCO(N)原子層沉積的方法
US11359281B2 (en) * 2020-01-26 2022-06-14 Applied Materials, Inc. Selective deposition of SiCON by plasma ALD
US11447865B2 (en) 2020-11-17 2022-09-20 Applied Materials, Inc. Deposition of low-κ films
KR20220081905A (ko) * 2020-12-09 2022-06-16 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 증착용 실리콘 전구체

Family Cites Families (35)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CA1327338C (en) * 1987-02-02 1994-03-01 Chorng-Ping Chang Process for producing devices containing silicon nitride films
JP2006511087A (ja) 2002-12-20 2006-03-30 アプライド マテリアルズ インコーポレイテッド 高品位低温窒化シリコン層を形成する方法および装置
US7172792B2 (en) * 2002-12-20 2007-02-06 Applied Materials, Inc. Method for forming a high quality low temperature silicon nitride film
US7972663B2 (en) * 2002-12-20 2011-07-05 Applied Materials, Inc. Method and apparatus for forming a high quality low temperature silicon nitride layer
US6943097B2 (en) * 2003-08-19 2005-09-13 International Business Machines Corporation Atomic layer deposition of metallic contacts, gates and diffusion barriers
US20060019032A1 (en) * 2004-07-23 2006-01-26 Yaxin Wang Low thermal budget silicon nitride formation for advance transistor fabrication
JP4669679B2 (ja) * 2004-07-29 2011-04-13 東京エレクトロン株式会社 窒化珪素膜の製造方法及び半導体装置の製造方法
US20060084283A1 (en) 2004-10-20 2006-04-20 Paranjpe Ajit P Low temperature sin deposition methods
CN101167165B (zh) * 2005-05-26 2011-12-21 应用材料股份有限公司 增加pecvd氮化硅膜层的压缩应力的方法
US8138104B2 (en) * 2005-05-26 2012-03-20 Applied Materials, Inc. Method to increase silicon nitride tensile stress using nitrogen plasma in-situ treatment and ex-situ UV cure
US20070082507A1 (en) * 2005-10-06 2007-04-12 Applied Materials, Inc. Method and apparatus for the low temperature deposition of doped silicon nitride films
US7964143B2 (en) * 2007-06-20 2011-06-21 New Jersey Institute Of Technology Nanotube device and method of fabrication
KR101444707B1 (ko) * 2008-06-03 2014-09-26 에어 프로덕츠 앤드 케미칼스, 인코오포레이티드 실리콘 함유 막의 저온 증착
US8563095B2 (en) * 2010-03-15 2013-10-22 Applied Materials, Inc. Silicon nitride passivation layer for covering high aspect ratio features
US20110272024A1 (en) * 2010-04-13 2011-11-10 Applied Materials, Inc. MULTI-LAYER SiN FOR FUNCTIONAL AND OPTICAL GRADED ARC LAYERS ON CRYSTALLINE SOLAR CELLS
US9324576B2 (en) * 2010-05-27 2016-04-26 Applied Materials, Inc. Selective etch for silicon films
WO2012061593A2 (en) * 2010-11-03 2012-05-10 Applied Materials, Inc. Apparatus and methods for deposition of silicon carbide and silicon carbonitride films
US8329575B2 (en) * 2010-12-22 2012-12-11 Applied Materials, Inc. Fabrication of through-silicon vias on silicon wafers
JP6022166B2 (ja) * 2011-02-28 2016-11-09 株式会社日立国際電気 半導体装置の製造方法、基板処理装置およびプログラム
JP6088178B2 (ja) * 2011-10-07 2017-03-01 株式会社日立国際電気 半導体装置の製造方法、基板処理装置およびプログラム
KR20130092884A (ko) * 2012-02-13 2013-08-21 에스케이하이닉스 주식회사 반도체 소자의 배선 구조체 및 제조 방법
US9023734B2 (en) * 2012-09-18 2015-05-05 Applied Materials, Inc. Radical-component oxide etch
US10573511B2 (en) 2013-03-13 2020-02-25 Asm Ip Holding B.V. Methods for forming silicon nitride thin films
US9824881B2 (en) * 2013-03-14 2017-11-21 Asm Ip Holding B.V. Si precursors for deposition of SiN at low temperatures
KR101461139B1 (ko) * 2013-07-17 2014-11-13 주식회사 코디에스 플라즈마 소스 및 플라즈마 에칭 장치
US9905415B2 (en) * 2013-10-03 2018-02-27 Versum Materials Us, Llc Methods for depositing silicon nitride films
TW201522696A (zh) * 2013-11-01 2015-06-16 Applied Materials Inc 使用遠端電漿cvd技術的低溫氮化矽膜
US9401273B2 (en) * 2013-12-11 2016-07-26 Asm Ip Holding B.V. Atomic layer deposition of silicon carbon nitride based materials
JP6577695B2 (ja) * 2013-12-18 2019-09-18 大陽日酸株式会社 シリコン窒化膜の形成方法
US9887129B2 (en) * 2014-09-04 2018-02-06 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor structure with contact plug
US9214333B1 (en) * 2014-09-24 2015-12-15 Lam Research Corporation Methods and apparatuses for uniform reduction of the in-feature wet etch rate of a silicon nitride film formed by ALD
US10096464B2 (en) * 2014-10-04 2018-10-09 Applied Materials, Inc. Atomic layer deposition of high density silicon dioxide
US9589790B2 (en) * 2014-11-24 2017-03-07 Lam Research Corporation Method of depositing ammonia free and chlorine free conformal silicon nitride film
JP2017139297A (ja) * 2016-02-02 2017-08-10 東京エレクトロン株式会社 成膜方法及び成膜装置
US9685406B1 (en) * 2016-04-18 2017-06-20 International Business Machines Corporation Selective and non-selective barrier layer wet removal

Also Published As

Publication number Publication date
JP2020504457A (ja) 2020-02-06
TWI745528B (zh) 2021-11-11
WO2018132568A1 (en) 2018-07-19
CN110178201A (zh) 2019-08-27
US20190348271A1 (en) 2019-11-14
US11017997B2 (en) 2021-05-25
US20210265157A1 (en) 2021-08-26
CN110178201B (zh) 2023-06-16
TW201839799A (zh) 2018-11-01
KR102335188B1 (ko) 2021-12-02
KR20190096449A (ko) 2019-08-19

Similar Documents

Publication Publication Date Title
JP6942188B2 (ja) 低温窒化ケイ素膜のための方法及び装置
JP6946320B2 (ja) スペーサ用の窒化ケイ素膜の選択的堆積
TWI597378B (zh) 利用高頻電漿沉積金屬的方法
US20110039026A1 (en) Film deposition apparatus, film deposition method, and computer readable storage medium
KR102405729B1 (ko) 저주파수 바이어스를 활용한 유전체 막들의 기하학적 선택적 증착
US20170053792A1 (en) High Temperature Thermal ALD Silicon Nitride Films
US10147599B2 (en) Methods for depositing low K and low wet etch rate dielectric thin films
KR102226827B1 (ko) 서셉터를 회전시키기 위한 플라즈마 소스
TW201737295A (zh) 雙端饋電可調諧電漿源
US20210249230A1 (en) Deposition radial and edge profile tunability through independent control of teos flow
US11887818B2 (en) Methods and systems to modulate film stress
US11705312B2 (en) Vertically adjustable plasma source
KR101807567B1 (ko) Ald 산화막 형성 방법 및 장치
KR20230081649A (ko) 처리 어셈블리, 챔버 및 방법

Legal Events

Date Code Title Description
A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20190905

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20201104

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20210202

A02 Decision of refusal

Free format text: JAPANESE INTERMEDIATE CODE: A02

Effective date: 20210323

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20210713

C60 Trial request (containing other claim documents, opposition documents)

Free format text: JAPANESE INTERMEDIATE CODE: C60

Effective date: 20210713

A911 Transfer to examiner for re-examination before appeal (zenchi)

Free format text: JAPANESE INTERMEDIATE CODE: A911

Effective date: 20210726

C21 Notice of transfer of a case for reconsideration by examiners before appeal proceedings

Free format text: JAPANESE INTERMEDIATE CODE: C21

Effective date: 20210727

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20210810

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20210907

R150 Certificate of patent or registration of utility model

Ref document number: 6942188

Country of ref document: JP

Free format text: JAPANESE INTERMEDIATE CODE: R150