TWI745528B - 用於低溫氮化矽膜的方法及設備 - Google Patents

用於低溫氮化矽膜的方法及設備 Download PDF

Info

Publication number
TWI745528B
TWI745528B TW107101169A TW107101169A TWI745528B TW I745528 B TWI745528 B TW I745528B TW 107101169 A TW107101169 A TW 107101169A TW 107101169 A TW107101169 A TW 107101169A TW I745528 B TWI745528 B TW I745528B
Authority
TW
Taiwan
Prior art keywords
plasma
substrate
silicon nitride
nitride film
hydrogen
Prior art date
Application number
TW107101169A
Other languages
English (en)
Other versions
TW201839799A (zh
Inventor
閆文波
共 鄭
李寧
米海拉 巴賽諾
立群 夏
馬里貝 瑪登納朵賈奇亞
Original Assignee
美商應用材料股份有限公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 美商應用材料股份有限公司 filed Critical 美商應用材料股份有限公司
Publication of TW201839799A publication Critical patent/TW201839799A/zh
Application granted granted Critical
Publication of TWI745528B publication Critical patent/TWI745528B/zh

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/0217Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon nitride not containing oxygen, e.g. SixNy or SixByNz
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02518Deposited layers
    • H01L21/02521Materials
    • H01L21/02538Group 13/15 materials
    • H01L21/0254Nitrides
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/34Nitrides
    • C23C16/345Silicon nitride
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45527Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45527Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations
    • C23C16/45536Use of plasma, radiation or electromagnetic fields
    • C23C16/45542Plasma being used non-continuously during the ALD reactions
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02205Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
    • H01L21/02208Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si
    • H01L21/02211Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound being a silane, e.g. disilane, methylsilane or chlorosilane
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/02274Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition in the presence of a plasma [PECVD]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/0228Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition deposition by cyclic CVD, e.g. ALD, ALE, pulsed CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/3065Plasma etching; Reactive-ion etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/324Thermal treatment for modifying the properties of semiconductor bodies, e.g. annealing, sintering
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/687Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches
    • H01L21/68714Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support
    • H01L21/68771Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support characterised by supporting more than one semiconductor substrate

Landscapes

  • Engineering & Computer Science (AREA)
  • Chemical & Material Sciences (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Plasma & Fusion (AREA)
  • General Chemical & Material Sciences (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • Electromagnetism (AREA)
  • Inorganic Chemistry (AREA)
  • Chemical Vapour Deposition (AREA)
  • Formation Of Insulating Films (AREA)

Abstract

用於形成氮化矽膜之處理方法可包含以下步驟:在低於或等於約250 ºC之溫度下將金屬表面暴露於矽前驅物、含氮反應劑及含氫電漿,以形成具低蝕刻率之氮化矽膜,而不會損壞所述金屬表面。

Description

用於低溫氮化矽膜的方法及設備
本揭示內容的實施例涉及用以沉積氮化矽膜的方法及設備。詳言之,本揭示內容的實施例涉及低溫沉積氮化矽膜作為鈷襯墊(cobalt liner)的方法及設備。
隨著半導體裝置尺寸在10 nm尺度(regime)下持續縮減,使用光微影技術的習用圖案化製程變得更有挑戰性。部分這些挑戰會導致不精準的圖案化,並使元件效能降級。此外,多重圖案化技術使用複雜且昂貴的製造處理設備。
歷史上,已藉由ALD在爐室中沉積矽系低k膜。為了實現所選擇的膜性質,通常在高於500 ºC的溫度下在爐室中沉積膜。隨著每個晶片節點的熱預算不斷降低,對於在低於500 ºC的溫度下沉積低k膜的方法有所需求。
在本案所屬技術領域中有需要在低溫下沉積具有低濕式蝕刻率之氮化矽膜的方法及設備。
本揭示內容的一或多個實施例涉及了形成氮化矽膜之方法。所述方法可包含以下步驟:提供基板,所述基板上具有金屬層。可將金屬表面暴露於矽前驅物,以在金屬表面上形成含矽膜。可將含矽膜暴露於含氮反應劑,以在基板表面上形成氮化矽膜。可將基板表面上的氮化矽膜暴露於含氫電漿,以形成低蝕刻氮化矽膜。所述方法可在低於或等於約250 ºC的溫度下進行。
本揭示內容的額外實施例涉及了形成氮化矽膜的方法。所述方法可包含以下步驟:提供基板,其上具有鈷層。可將基板表面暴露於矽前驅物,以在鈷層上形成含矽膜。可將含矽膜暴露於含氮反應劑,以在鈷層上形成氮化矽膜。可將基板表面上的氮化矽膜暴露於高密度含氫電漿,以形成低蝕刻氮化矽膜。可由垂直電漿源生成高密度含氫電漿。可重複對矽前驅物、含氮反應劑及含氫電漿的暴露,以形成預定厚度之低蝕刻氮化矽膜。所述方法可在低於或等於約250 ºC的溫度下進行。在形成低蝕刻氮化矽膜之後,沒有濺射的鈷球體(cobalt sphere)擴散進入該低蝕刻氮化矽膜。
本揭示內容的進一步實施例涉及了沉積膜的方法。該方法可包含以下步驟:提供具有鈷層之基板。可在處理腔室之第一製程區域中,將基板暴露於矽前驅物。可側向移動基板穿過氣簾(gas curtain)至處理腔室的第二製程區域。可在處理腔室之第二製程區域中,將基板暴露於含氮反應劑。可側向移動基板穿過氣簾至處理腔室的第三製程區域。可將基板暴露於第一含氫電漿,該第一含氫電漿可由第一功率源所生成,該第一功率源可耦接至第一匹配電路。第一功率源可具有第一相位。可側向移動基板穿過氣簾至處理腔室的第四製程區域。可在第四製程區域中將基板暴露於矽前驅物。可側向移動基板穿過氣簾至處理腔室的第五製程區域。可在處理腔室的第五製程區域中將基板表面暴露於含氮反應劑。可側向移動基板穿過氣簾至處理腔室的第六製程區域。可在處理腔室的第六製程區域中將基板暴露於第二含氫電漿。第二含氫電漿可由第二功率源生成,第二功率源可耦接至第二匹配電路。第二功率源可具有第二相位。第一相位及第二相位中之一或多者可受到控制,以將維持在約170º至約190º的範圍內之相位差。
在描述本揭示內容的若干示例性實施例之前,要瞭解的是,本揭示內容不限於以下說明中所闡述之架構或製程步驟的細節。本揭示內容能有其它實施例且能以各種方式施行或執行。
如本文所使用的「基板(substrate)」指的是任何基板或形成於基板上的材料表面,在製造程序期間於所述基板或材料表面上進行膜處理。舉例而言,取決於應用,可於其上進行處理的基板表面可包括諸如矽、氧化矽、應變矽、絕緣體上矽(SOI)、經碳摻雜之氧化矽、非晶矽、經摻雜之矽、鍺、砷化鎵、玻璃、藍寶石及任何其它材料(如金屬、金屬氮化物、金屬合金及其它傳導性材料)等材料。在不受限制的情況下,基板可包括半導體晶圓。可使基板暴露於預處理製程,以拋光、蝕刻、還原、氧化、羥基化、退火及/或烘烤基板表面。除了在基板本身的表面上直接進行膜處理之外,在本揭示內容中,也可如下文所描述之細節般,在形成於基板上之下方層(underlayer)上進行所揭示的任何膜處理步驟,且如前後文所指示,術語「基板表面(substrate surface)」欲包括這樣的下方層。因此,舉例而言,當膜/層或部分膜/層已沉積至基板表面上,則新沉積之膜/層的暴露表面可成為基板表面。
根據一或多個實施例,本方法使用原子層沉積(ALD)製程。在此類實施例中,基板表面依序或實質上依序暴露於前驅物(或反應性氣體)。如本說明書各處所使用,「實質上依序(substantially sequentially)」意指,前驅物暴露的大部分期間不與對共試劑(co-reagent)之暴露重疊,儘管可能存在某些重疊。如本說明書及隨附請求項所使用,術語「前驅物(precursor)」、「反應劑(reactant)」、「反應性氣體(reactive gas)」及類似物可交替地使用,以指稱可與基板表面反應的任何氣態物種。
如本說明書及隨附請求項所使用,術語「前驅物(precursor)」、「反應劑(reactant)」、「反應性氣體(reactive gas)」及類似物可交替地使用,以指稱可與基板表面反應的任何氣態物種。
本揭示內容的一或多個實施例涉及在低溫(<=250 ºC)下沉積供鈷襯墊所用之氮化矽的空間性電漿增強原子層沉積(spatial plasma enhanced atomic layer deposition;PEALD)製程。裝置中存在的鈷會限制氮化矽製程的溫度。某些實施例可有利地提供使用H2 、N2 及/或Ar氣體中之一或多者的垂直電漿源(VPS),而在250 ºC下沉積SiN的製程。可觀察到所產生的膜具有低濕式蝕刻率及裝置結構上之良好階梯覆蓋率。在1%的HF蝕刻1分鐘之後,所述階梯覆蓋率可高達80%。某些實施例可有利地提供氮化矽膜,其中僅少量或不損壞下方鈷襯墊。
某些實施例可有利地提供使用具不同頻率的VPS電漿源之製程,而容許調節離子密度及電漿方向性。在某些實施例中,可使用具不同頻率相位角的兩個VPS源,並顯示出對電漿耦合的改良。本揭示內容的某些實施例提供氮化矽膜作為承載座上的金屬熱阻障,以改良裝置側壁上的電漿處理。
相較於目前可用的氮化矽膜和製程,某些實施例可有利地在濕式蝕刻後提供改良的裝置側壁階梯覆蓋率。
本揭示內容的實施例提供了設備及方法,以調節電漿增強原子層沉積(PEALD)之SiN的膜性質。批量處理架構可容許使用多個(如,2個或4個) RF產生器來進行PEALD沉積。在某些實施例中,可藉由調節供沉積製程所用的RF產生器之間的相位差,來實現膜特性改質。可藉由改變處理腔室的不同製程區域之RF電漿耦接至系統內的方式來實現所述功效。
本揭示內容的某些實施例涉及使用批次處理腔室,也稱為空間性處理腔室(spatial processing chamber),來沉積材料的製程。第1圖繪示處理腔室100的截面,處理腔室100可包括氣體分佈組件120及承載座組件140,氣體分佈組件120也可稱作注入器或注入器組件。氣體分佈組件120可為用於處理腔室中之任何類型的氣體傳遞裝置。氣體分佈組件120可包括前表面121,前表面121面對承載座組件140。前表面121可具有任何數量或許多開口,以朝承載座組件140傳遞氣體流。氣體分佈組件120也可包括外周圍邊緣124,外周圍邊緣124在所示的實施例中實質上為圓形。
可取決於所使用之特定製程,而改變被使用之氣體分佈組件120的具體類型。可與任何類型的處理系統一同使用本揭示內容的實施例,所述處理系統中之承載座與氣體分佈組件之間的間隙受到控制。儘管可採用各種類型的氣體分佈組件(例如,噴淋頭),本揭示內容的實施例特別適用於具有複數個實質上平行的氣體通道的空間性氣體分佈組件。如本說明書及隨附請求項所使用,術語「實質上平行的(substantially parallel)」意指氣體通道的伸長軸在相同的大致方向上延伸。在氣體通道的平行性上可存在些許的不完美。在二元反應中,複數個實質上平行的氣體通道可包括至少一個第一反應性氣體A通道、至少一個第二反應性氣體B通道、至少一個淨化氣體P通道及/或至少一個真空V通道。從(多個)第一反應性氣體A通道、(多個)第二反應性氣體B通道及(多個)淨化氣體P通道流入的氣體可被導向晶圓的頂表面。某些氣流水平地移動越過晶圓的表面,並經由(多個)淨化氣體P通道離開製程區域。從氣體分佈組件的一端向另一端移動的基板將依序暴露於所述製程氣體,而在基板表面上形成層。
在某些實施例中,氣體分佈組件120可為由單一注入器單元製成的硬質靜止物體。在一或多個實施例中,如第2圖所繪示,氣體分佈組件120可由複數個獨立扇形區域(如,注入器單元122)構成。單件式主體或多個扇形主體都可與本揭示內容所述的各種實施例一起使用。
承載座組件140位在氣體分佈組件120下方。承載座組件140可包括頂表面141及至少一個凹部142,所述至少一個凹部142位在頂表面141中。承載座組件140也可具有底表面143及邊緣144。取決於待處理基板60的形狀及尺寸,凹部142可為任何合適的形狀及尺寸。在第1圖所繪示的實例中,凹部142具有平坦底部,以支撐晶圓的底部;然而,凹部的底部可變化。在某些實施例中,凹部可具有步階區域(step region)圍繞凹部的外周圍邊緣,可調整所述步階區域的尺寸以支撐晶圓的外周圍邊緣。可取決於,例如,晶圓的厚度及已呈現於晶圓的背側上之特徵的存在,來變化由所述步階所支撐之晶圓的外周圍邊緣之量。
在某些實施例中,如第1圖所繪示,可調整承載座組件140之頂表面141中之凹部142的尺寸,以使被支撐於凹部142中的基板60所具有之頂表面61與承載座140之頂表面141實質上共平面。如此說明書及隨附請求項中所使用,術語「實質上共平面(substantially coplanar)」指的是,晶圓的頂表面與承載座組件的頂表面在±0.2 mm內共平面。在某些實施例中,彼等頂表面可在0.5 mm、± 0.4 mm、± 0.35 mm、± 0.30 mm、± 0.25 mm、± 0.20 mm、± 0.15 mm、± 0.10 mm或± 0.05 mm內共平面。
第1圖的承載座組件140可包括支撐柱160,支撐柱160能升高、降低及旋轉承載座組件140。承載座組件在支撐柱160的中心內可包括加熱器、或氣體管線、或電性部件。支撐柱160可為增加或減少承載座組件140與氣體分佈組件120之間的間隙、將承載座組件140移動到適當位置的主要手段。承載座組件140也可包括微調致動器162,微調致動器162可對承載座組件140做出微調整,以在承載座組件140與氣體分佈組件120之間產生預定間隙170。
在某些實施例中,間隙170的距離在約0.1 mm至約5.0 mm的範圍內,或在約0.1 mm至約3.0 mm的範圍內,或在約0.1 mm至約2.0 mm的範圍內,或在約0.2 mm至約1.8 mm的範圍內,或在約0.3 mm至約1.7 mm的範圍內,或在約0.4 mm至約1.6 mm的範圍內,或在約0.5 mm至約1.5 mm的範圍內,或在約0.6 mm至約1.4 mm的範圍內,或在約0.7 mm至約1.3 mm的範圍內,或在約0.8 mm至約1.2 mm的範圍內,或在約0.9 mm至約1.1 mm的範圍內,或約1 mm。
圖式中繪示的處理腔室100可為旋轉料架式(carousel-type)腔室,其中承載座組件140可固持複數個基板60。如第2圖所示,氣體分佈組件120可包括複數個單獨的注入器單元122,當晶圓在注入器單元下方移動時,各注入器單元122能在晶圓上沈積膜。繪示的兩個派狀的(pie-shaped)注入器單元122位在承載座組件140的大約相反側上,且位於承載座組件140之上方。僅為了說明用途而繪示此注入器單元122的數量。將瞭解的是,可包括更多或更少的注入器單元122。在某些實施例中,存在充足數量之派狀注入器單元122,以形成符合承載座組件140之形狀的形狀。在某些實施例中,在不影響任何其它注入器單元122的情況下,可獨立地移動、移除及/或替換各該等單獨的派狀注入器單元122。舉例而言,可升起一個區段以容許機器人進出承載座組件140與氣體分佈組件120之間的區域,以加載/卸載基板60。
可使用具有多個氣體注入器的處理腔室來同步處理多個晶圓,致使彼等晶圓經歷相同的製程流程。舉例而言,如第3圖所示,處理腔室100具有四個氣體注入器組件和四個基板60。在處理開始時,可將基板60定位在氣體分佈組件120之間。旋轉17承載座組件140達45°將導致介於氣體分佈組件120之間的各基板60移動至氣體分佈組件120,以進行膜沉積,如由氣體分佈組件120之下的虛線圓形所繪示。額外的45°旋轉可將基板60移動離開氣體分佈組件120。基板60及氣體分佈組件120的數量可相同或不同。在某些實施例中,待處理晶圓與氣體分佈組件的數量相同。在一或多個實施例中,待處理晶圓的數量為氣體分佈組件的數量之分數或整數倍。舉例而言,若有四個氣體分佈組件,則存在4x個待處理晶圓,其中x是大於或等於一的整數值。在示範性實施例中,氣體分佈組件120包括由氣簾分隔的八個製程區域,且承載座組件140可固持六個晶圓。
第3圖所繪示之處理腔室100僅代表一個可能的配置,且不應被用作本揭示內容之範圍的限制。於此,處理腔室100可包括複數個氣體分佈組件120。在所示的實施例中,存在有繞著處理腔室100平均地間隔之四個氣體分佈組件(亦稱作注入器組件)。所示處理腔室100為八角形;然而,本案所屬技術領域中具通常知識者將瞭解的是,這是一個可能的形狀,且不應被用作本揭示內容 的限制。所示氣體分佈組件120為梯形,但可為單一圓形部件,或以複數個派狀區段構成,如第2圖所示者。
第3圖所示的實施例包括負載鎖定腔室180,或像是緩衝站的輔助腔室。此腔室180連接至處理腔室100的一側,以允許,例如,基板(也可指基板60)自腔室100裝載/卸載。可將晶圓機器人定位在腔室180中,以將基板移動至承載座上。
旋轉料架(如,承載座組件140)的旋轉可為連續的或間歇的(不連續的)。在連續的處理中,晶圓可恆定地旋轉,以便它們輪流暴露於各個注入器。在不連續的處理中,可將晶圓移動至注入器區域並停止,且接著移動至介於注入器之間的區域84並停止。舉例而言,旋轉料架可旋轉,使得晶圓可自注入器間區域移動越過注入器(或停在注入器附近),並至下一個注入器間區域上,旋轉料架可於此再次暫停。注入器之間的暫停可提供時間給各層沉積之間的額外處理步驟(如,暴露於電漿)。
第4圖繪示氣體分佈組件220的區段或部分,其可指注入器單元122。可個別或與其它注入器單元結合來使用注入器單元122。舉例而言,如第5圖所示,第4圖的四個注入器單元122可結合而形成單一氣體分佈組件220。(為了清楚之故,未繪示分隔該四個注入器單元的線。)儘管除了淨化氣體埠155及真空埠145之外,第4圖的注入器單元122還具有第一 反應性氣體埠125及第二氣體埠135二者,但注入器單元122並不需要所有這些部件。
請參見第4及5圖,依據一或更多個實施例的氣體分佈組件220可包含複數個區段(或注入器單元122),其中各區段可一致或不同。氣體分佈組件220位於處理腔室內,且在氣體分佈組件220的前表面121中包含複數個伸長的氣體埠125、135、155及真空埠145。複數個伸長的氣體埠125、135、155及真空埠145可從與內周圍邊緣123相鄰的區塊朝向與氣體分佈組件220的外周圍邊緣124相鄰的區塊延伸。所繪示的複數個氣體埠可包括第一反應性氣體埠125、第二氣體埠135、真空埠145及淨化氣體埠155,而真空埠145圍繞第一反應性氣體埠及第二反應性氣體埠中之各者。
參照第4或5圖中所示的實施例,儘管聲明所述埠從至少圍繞內周邊區域處向至少圍繞外周邊區域處延伸,然而,所述埠可不只徑向地從內部區域向外部區域延伸。當真空埠145圍繞反應性氣體埠125及反應性氣體埠135時,所述埠可在切線方向上延伸。在第4及5圖所示的實施例中,楔形反應性氣體埠125、135在所有邊緣(包括鄰近內周邊區域及外周邊區域處)上被真空埠145圍繞。
請參見第4圖,在基板沿著路徑127移動時,基板表面的各部分暴露於各種反應性氣體。依循路徑127,基板將暴露於,或「看見(see)」,淨化氣體埠155、真空埠145、第一反應性氣體埠125、真空埠145、淨化氣體埠155、真空埠145、第二氣體埠135及真空埠145。因此,在第4圖所示之路徑127的端點,基板已暴露於來自第一反應性氣體埠125的第一反應性氣體和來自第二反應性氣體埠135的第二反應性氣體,以形成層。所示注入器單元122構成四分之一圓形,但可更大或更小。第5圖所示的氣體分佈組件220可被視為串聯連接之四個第4圖之注入器單元122的組合。
第4圖的注入器單元122示出氣簾150,氣簾150可分隔反應性氣體。術語「氣簾(gas curtain)」用以描述氣流或真空的任何組合,其可分隔反應性氣體以免混合。第4圖所示之氣簾150可包含:第一反應性氣體埠125旁邊之部分真空埠145、中間的淨化氣體埠155及第二氣體埠135旁邊的部分真空埠145。此氣流及真空的組合可用以防止或最小化第一反應性氣體與第二反應性氣體的氣相反應。
請參見第5圖,來自氣體分佈組件220之氣流和真空的組合可形成分隔至複數個製程區域250內。可以250之間的氣簾150,將製程區域大致界定於個別氣體埠125、135周圍。第5圖所示的實施例由八個單獨的製程區域250構成,而八個分隔氣簾150位在該等製成區域250之間。處理腔室可具有至少兩個製程區域。在某些實施例中,可存在至少三、四、五、六、七、八、九、10、11或12個製程區域。
在處理期間,可在任何給定時間將基板暴露於超過一個製程區域250。然而,將有氣簾分隔兩個暴露於不同製程區域的部分。舉例而言,若基板的前導邊緣進入包括第二氣體埠135之製程區域,基板的中間部分將在氣簾150下方,且基板的尾隨邊緣將位在包括第一反應性氣體埠125之製程區域中。
工廠介面280(其可為,例如,負載鎖定腔室)顯示為連接至處理腔室100。所繪示的基板60重疊在氣體分佈組件220上,以提供參考框。基板60可通常坐落於承載座組件,而被固持在接近氣體分佈組件120之前表面121處。基板60透過工廠介面280裝載進入處理腔室100到基板支撐件或承載座組件上(請參見第3圖)。基板60可顯示為定位在製程區域內,因為基板位於第一反應性氣體埠125附近並介於兩個氣簾150a、150b之間。沿著路徑127旋轉基板60將使基板繞處理腔室100而逆時針地移動。因此,基板60將暴露於第一製程區域250a至第八製程區域250h(包括其間的所有製程區域)。
本揭示內容的實施例涉及了包含處理腔室100的處理方法,處理腔室100具複數個製程區域250a至250h,各製程區域藉由氣簾150與相鄰區域分隔。舉例而言,第5圖所示的處理腔室。處理腔室內之氣簾和製程區域的數量可為任何合適的數量,這取決於氣流的佈置。第5圖所示之實施例具有八個氣簾150及八個製程區域250a至250h。
複數個基板60可定位於基板支撐件上,例如,第1及2圖所示之承載座組件140。複數個基板60可繞製程區域旋轉以進行處理。通常,氣簾150在整個處理期間是接合的(氣體流動且真空開啟),包括當沒有反應性氣體流入腔室的時段。
因此,本揭示內容的一或多個實施例涉及了利用類似第5圖所示之批次處理腔室的處理方法。可將基板60置入處理腔室,該處理腔室具有複數個製程區域250,各製程區域藉由氣簾150與相鄰的製程區域分隔。
第6圖繪示沿著第5圖中之平面6所截取之處理腔室100的截面視圖,處理腔室100具側壁102和底部104。支撐柱160上的承載座組件140位在處理腔室100內。截面視圖通過中心軸105,致使承載座組件140上有兩個基板60。截面視圖亦顯示位在中心軸105的相對側上、來自氣體分佈組件120的兩個反應性氣體埠135。
在第6圖所示的實施例中,左側的反應性氣體埠135由第一功率源310供電,且右側的反應性氣體埠135由第二功率源320供電。如圖所示之第一功率源310和第二功率源320中的各者在典型地大致相同的相位下操作。傳統上,功率源的相位在處理期間不受控制並且可能漂移。發明人已發現相位漂移可導致膜應力的增加。
第7圖繪示依據本揭示內容的一或多個實施例的處理腔室100之實施例。處理腔室100可包括氣體分佈組件120,氣體分佈組件120包含繞中心軸105佈置的複數個反應性氣體埠135。各反應性氣體埠135藉由氣簾150與相鄰反應性氣體埠分隔(請參見第5圖)。
第7圖所示實施例圖解了截面視圖,其中僅繪示兩個反應性氣體埠。這些反應性氣體埠提供了用以在處理區域中產生電漿的氣體,而可指稱為電漿氣體埠。第一功率源310連接至第一電漿氣體埠135a並耦接第一匹配電路315。第二功率源320連接至第二電漿氣體埠135b並耦接第二匹配電路325。
主激發器350連接至第一功率源310、第二功率源320、第一匹配電路315及第二匹配電路325中之各者。主激發器350經配置以控制第一功率源310及第二功率源320中之一或多者的相位。某些實施例的主激發器350可控制功率源的相位。
在處理期間,由於許多因素,功率源的相位可漂移。可使用主激發器350來控制個別功率源的相位,或可對任何或所有功率源提供控制。在某些實施例中,主激發器350可經配置以控制第一功率源310和第二功率源320的相位,以將相位保持相隔約180º。舉例而言,若第一功率源310的相位每分鐘漂移1º,則主激發器350可改變第二功率源320的相位,致使相位維持相隔約180º。在某些實施例中,主激發器350可將第二功率源320的相位維持在第一功率源310之約170º至約190º內。在某些實施例中,主激發器350可將第二功率源320的相位維持在第一功率源310之約175º至約185º內。
可藉由任何合適的方法監控第一功率源310和第二功率源320的相位。在某些實施例中,可藉由監控第一匹配電路315及第二匹配電路325而由主激發器350監控相位。在某些實施例中,可由回饋電路調整第一功率源310及/或第二功率源320的相位,而回饋電路可監控第一匹配電路315及第二匹配電路325。
電漿氣體埠的位置可取決於處理條件及參數而變化。在某些實施例中,氣體分佈組件120可包含繞中心軸105排列的八個反應性氣體埠。在一或多個實施例中,第一電漿氣體埠135a及第二電漿氣體埠135b可定位在中心軸105的相對側上。
在某些實施例中,有四個電漿氣體埠繞中心軸105而定位。第8圖繪示一實施例,其具有:第一功率源310伴隨第一匹配電路315、第二功率源320伴隨第二匹配電路325、第三功率源330伴隨第三匹配電路335,及第四功率源340伴隨第四匹配電路345。各個功率源和匹配電路連接至不同的電漿氣體埠,使得有四個獨立的電漿氣體埠135a、135b、135c、135d繞中心軸105排列。主激發器350也連接至各個功率源及匹配電路,並控制所述功率源中之一或多者的相位。
在某些實施例中,第一電漿氣體埠135a、第二電漿氣體埠135b、第三電漿氣體埠135c和第四電漿氣體埠135d以約90°的增量(increment)繞中心軸105安置。在一或多個實施例中,有至少一個反應性氣體埠125a、125b、125c、125d介於電漿氣體埠135a、135b、135c、135d各者之間。在某些實施例中,各反應性氣體埠125a、125b、125c、125d及電漿氣體埠135a、135b、135c、135d由氣簾分隔(請參見第5圖)。
在某些實施例中,各個功率源所具有之相位,相對於相鄰功率源的相位,可被控制在約170°至約190°的範圍內。舉例而言,可以將電漿氣體埠135a的相位維持在離電漿氣體埠135d及電漿氣體埠135b的相位約180°,其中電漿氣體埠135d及電漿氣體埠135b位在電漿氣體埠135a的任一側。在某些實施例中,對中心軸105而言相對的電漿氣體埠之相位大約相同,而交替氣體埠的相位差異約180°。
在某些實施例中,可將氣體埠的相位維持在相隔約90°,致使第四電漿氣體埠135d的相位比第三電漿氣體埠135c的相位高約90°,而第三電漿氣體埠135c的相位比第二電漿氣體埠135b的相位高約90°,而第二電漿氣體埠135b的相位比第一電漿氣體埠135a的相位高約90°。
在某些實施例中,如第7圖所繪示,可將承載座組件140定位在與氣體分佈組件120相距一定距離。承載座組件140可經配置以將複數個基板60支撐在凹部中,所述凹部形成在承載座組件140的頂表面中。承載座組件可作為任何功率源在任何電漿氣體埠中生成之電漿的接地路徑。
本揭示內容的某些實施例涉及處理基板或在基板上形成膜的方法。可對第一功率源310供電,第一功率源310連接處理腔室的第一製程區域中之第一電漿氣體埠135a。第一功率源310耦接至第一匹配電路。可對第二功率源320供電,第二功率源320連接第二製程區域中之第二電漿氣體埠135b,第二製程區域不同於處理腔室的第一製程區域。第二功率源320耦接至第二匹配電路325。可使用主激發器350來控制第一功率源310或第二功率源320中之一或多者的相位,主激發器350連接至第一功率源310、第二功率源320、第一匹配電路315及第二匹配電路325。
在某些實施例中,主激發器350可監控來自第一匹配電路315和第二匹配電路325的回饋,並根據回饋調整第一功率源310及第二功率源320中之一或多者的相位,以將第一功率源310和第二功率源320的相位維持在相隔約170º至約190º的範圍內。
某些實施例進一步包含:對第三功率源330供電,第三功率源330連接處理腔室的第三製程區域中之第三電漿氣體埠135c。第三製程區域不同於第一製程區域和第二製程區域。第三功率源330耦接至第三匹配電路335。第四功率源340連接製程腔室的第四製程區域中之第四電漿氣體埠135d。第四製程區域不同於第一製程區域、第二製程區域和第三製程區域。第四功率源340耦接至第四匹配電路345。主激發器350連接且能控制第三功率源330及/或第四功率源340。
本揭示內容的某些實施例涉及沉積膜的方法。某些實施例的膜可包含氧化矽、氮化矽、氧氮化矽、碳化矽、碳氮化矽或氧碳氮化矽。某些實施例的膜可包含矽,及碳、氧或氮原子中之一或多者。
第10及11圖繪示根據本揭示內容的一或多個實施例之用於形成氮化矽膜的方法400。儘管第10及11圖所示之實施例形成的是SiN膜,本案所屬技術領域中具通常知識者將可理解,這僅代表一個可能的製程,且也可形成其它材料。
於410處,提供基板401,基板401上具有金屬層403。如就這方面所使用,術語「提供」意指基板401至少定位於處理腔室內,以供膜形成。基板401可為任何合適的基板。
金屬層403可由任何合適的金屬製成。在某些實施例中,金屬層403可包含鈷。在某些實施例中,金屬層403基本上由鈷組成。如以這種方式所使用,術語「基本上由鈷組成」意指,以原子計,金屬層403為大於或等於約95%、98%或99%的鈷。
取決於,例如,金屬層403的目的及用途,金屬層403的厚度可為任何合適的厚度。在某些實施例中,金屬層403具有的厚度在約1 Å至約10,000 nm的範圍內。某些實施例之金屬層403厚度在約10 nm至約500 nm的範圍內。在某些實施例中,金屬層403為鈷襯墊。
於420處,金屬層403可暴露於矽前驅物,以在金屬層403上形成含矽膜425。矽前驅物可為任何合適的矽前驅物(包括有機矽烷及鹵化矽烷,但不以此為限)。在某些實施例中,矽前驅物可包含單氯矽烷、單溴矽烷、單碘矽烷、二氯矽烷、二溴矽烷或二碘矽烷中之一或多者。在某些實施例中,矽前驅物基本上由二氯矽烷組成。如就這方面所使用,術語「基本上由…組成」意指存在於矽前驅物中的反應性物種,以莫耳計,大於或等於所記載物種之約95%、98%或99%。惰性稀釋劑或載氣不包括在反應性物種含量的計算中。在某些實施例中,矽前驅物基本上由單氯矽烷構成。在某些實施例中,矽前驅物基本上由二溴矽烷構成。在某些實施例中,矽前驅物基本上由單溴矽烷構成。在某些實施例中,矽前驅物基本上由二碘矽烷構成。在某些實施例中,矽前驅物基本上由單碘矽烷組成。在某些實施例中,矽前驅物包含二鹵矽烷。如以此方式所使用,「二鹵矽烷(dihalosilane)」為具有兩個鹵原子及兩個氫原子的矽烷化合物。鹵原子可為氯、溴或碘中之任一者。在某些實施例中,矽前驅物包含氯系矽烷(如,SiClH3 、SiCl2 H2 、SiCl3 H、Cl3 Si-SiCl3 、Cl2 HSi-SiHCl2 、Cl3 Si-SiH2 Cl)。
含矽膜425通常透過金屬層403的表面處之物理及/或化學交互作用而化學吸附至金屬層403。在時域ALD製程中,可淨化處理腔室中之未反應的矽前驅物和反應副產物。在空間ALD製程中,可從含有矽前驅物的製程區域移動基板穿過氣簾。在製程腔室之淨化或移動穿過氣簾之後,含矽膜425至少部分地保留在金屬層403的表面上。本案所屬技術領域中具通常知識者將可理解到,在淨化製程期間或在穿過氣簾時,某些量的經化學吸附分子可能會被取代。
於430處,含矽膜425可暴露於含氮反應劑,以在金屬層403上形成氮化矽膜435。含氮反應劑可為任何合適的含氮氣體,包括分子氮、氨、聯氨、氮氧化合物或前述含氮氣體之組合物,但不以此為限。在某些實施例中,含氮反應劑包含至少一個N-H鍵。在某些實施例中,含氮反應劑基本上由氨組成。如就這方面所使用,術語「基本上由氨組成」意指存在於含氮反應劑中的反應性物種,以莫耳計,為大於或等於約95%、98%或99%的氨。惰性稀釋劑或載氣不包括在反應性物種含量的計算中。在某些實施例中,含氮反應劑包含胺類與惰性氣體之混合。在某些實施例中,可藉由熱製程形成氮化矽膜435。如以此方式所使用,「熱製程(thermal process)」不使用電漿或電漿系反應劑。舉例而言,熱製程中的含氮反應劑不以電漿形式提供,或不與電漿一起提供。
在某些實施例中,氮化矽膜435為共形膜。如以此方式所使用,「共形膜(conformal film)」具有保持實質上均勻之厚度,使得膜的厚度相對於平均厚度的變化不大於或等於約10%、5%或2%。舉例而言,沉積進入溝槽的共形膜在溝槽的底部、溝槽側壁的底部、溝槽側壁的中間、溝槽側壁的頂部及其中形成溝槽之頂表面等處具有大致相同的厚度。
於440處,氮化矽膜435可暴露於氫電漿,以形成低蝕刻氮化矽膜445。如本說明書及隨附請求項所使用,術語「低蝕刻氮化矽膜(low etch silicon nitride film)」指的是已受到處理而降低在1%的HF中之濕式蝕刻率的氮化矽膜。某些實施例的含氫電漿基本上由氫組成。如就這方面所使用,術語「基本上由氫組成(consists essentially of hydrogen)」意指,以原子計,存在於電漿中的反應性物種為大於或等於約95%、98%或99%的氫。惰性稀釋劑或載氣不包括在反應性物種含量的計算中。
在某些實施例中,含氫電漿可包含氫,還可包含氮及氬原子之一或多者。在某些實施例中,含氫電漿可包含範圍在約1至約99%的氫(以原子計),或範圍在約10至約90%的氫(以原子計),或範圍在約20至約80%的氫(以原子計)。在某些實施例中,以原子計,含氫電漿可包含大於或等於約40%、50%、60%、70%、80%或90%的氫。
在某些實施例中,於450處,方法400可進一步包含:將氮化矽膜435暴露於氮電漿。氮化矽膜435暴露於氮電漿可發生在440處暴露於含氫電漿之前、在440處暴露於含氫電漿之後,或在440處暴露於含氫電漿之前及之後二者。
在某些實施例中,含氫電漿及氮電漿經混合成為具有氮成分的含氫電漿。在某些實施例中,含氫電漿包含作為反應性成分之氫及氮,以莫耳計,所述氫及氮的組合量大於或等於約70%、80%、90%或95%。
可藉由任何合適的電漿設備生成含氫電漿及/或含氮電漿。電漿可為直接電漿(direct plasma),其中基板或底座可作為用於電漿生成的電極。在某些實施例中,電漿可為遠端電漿,其中電漿的生成不需在電氣路徑中使用基板或底座。
在某些實施例中,可在垂直電漿源(verticalplasma source;VPS)中生成含氫電漿。垂直電漿源可在垂直定向的電極之間生成電漿,致使板電極之間的間隙在實質上垂直於基板表面的方向上延伸。當氣體物種在板之間朝向晶圓表面流動的同時,電漿可在板之間生成。電漿實質上受限於來源,且可最小化從被供電板到達晶圓表面的濺射材料。本揭示內容的某些實施例可有利地提供電漿源,所述電漿源可最小化或消除基板受到從熱電極濺射之材料的汙染。某些實施例也有利地提供軟性電漿(soft plasma),軟性電漿實質上不改變基板表面。一或多個實施例提供的設備可生成電漿,而不允許電返回路徑(electrical return path)穿過基板。本揭示內容的某些實施例可提供模組化遠端電漿源,其可加入氣體分佈組件或自氣體分佈組件移除。遠端電漿源可生成電漿,而不使用基板或基板支撐件作為電極。
請參見第12至17圖,本揭示內容的一或多個實施例涉及模組化電容性耦合電漿源500,及利用所述模組化電容性耦合電漿源500的處理方法。如本說明書及隨附請求項所使用,術語「模組化(modular)」意指電漿源500可附接至處理腔室或自處理腔室移除。通常可藉由單人移動、移除或附接模組化源。
第12圖繪示根據本揭示內容的一或多個實施例之電漿源組件500的截面。第12圖所示之電漿源組件500可包括殼體510,殼體510具有氣體入口515及前面部512。氣體入口515容許氣流沿著流路徑518移動通過殼體510,並離開前面部512中之開口513。為了敘述目的,所示實施例具有以偏中心方式圖解的氣體入口515,但本案所屬技術領域中具通常知識者將可理解,氣體入口515可置中設置在殼體510中。此外,某些實施例可包括氣室516,以增加氣體流過流路徑518的均勻性。
電漿源組件500可包括RF熱電極(RF hot electrode) 520和至少一個返回電極(return electrode) 530。返回電極530可為任何導電材料而與RF熱電極520形成完整電路。本案所屬技術領域中具通常知識者將理解到,返回電極530可提供通道供電子流動。以此方式所使用之術語「返回(return)」意指電極是電漿部件的電通道的一部分,且不意味著電流或電子的流動方向。
請參見第12至14圖,RF熱電極520具有第一表面522和第二表面524,第二表面524與第一表面522相對。第12圖繪示電漿源組件500的截面,而第13及14圖繪示電極的部分透視圖。如就這方面所使用,第一表面522和第二表面524在RF熱電極520的厚度T之相對側上。RF熱電極520通常經調整形狀為具有高度H、厚度T和長度L的矩形棱柱。RF熱電極520具有實質上平行於流動路徑518而定向的第一表面522。如就這方面所使用,術語「實質上平行(substantially parallel)」是指表面在平行(定義為0°)的±10°內。
返回電極530以類似於RF熱電極520的方式成形。返回電極具有以實質上平行於流動路徑518而定向之第一表面532。返回電極530的第一表面532與RF熱電極520的第一表面522間隔開,以形成間隙540。
介於RF熱電極(被供電電極)與接地板(返回電極)之間的間隙可變化。在某些實施例中,間隙可在約4mm至約15mm的範圍內,且為可調整的。RF熱電極的寬度可變化。舉例而言,板可為錐形,以加速離子。在使用中,於RF熱電極與返回電極之間的間隙中流動的氣體物種變得離子化。經離子化的物種可隨後接觸基板表面。由諸多實施例形成的電漿為軟性電漿,其實質上不會改變基板表面。
返回電極530、530b可為任何合適的材料(包括鋁、不鏽鋼及銅,但不以此為限)。返回電極530、530b可具有任何合適的電氣特性。在某些實施例中,返回電極530、530b為接地電極。接地電極是與電接地電接觸的任何導電材料。
在某些實施例中,返回電極530、530b為與RF熱電極520不同之被供電電極。如以這種方式所使用,「與RF熱電極不同」意指電性質或電位與RF熱電極不同。舉例而言,可使用移相器(phase shifter),以推-拉方式從單一源調諧所產生之電漿的驅動功率,以最小化與晶圓的交互作用。在此類實施例中,RF熱電極520可為,例如,與返回電極530異相180°。
如第13圖所示,電漿源組件的某些實施例可進一步包含第二返回電極530b。第二返回電極530b具有以實質上平行於流動路徑518而定向之第一表面532b。第二返回電極530b的第一表面532b與RF熱電極520的第二表面524間隔開,以形成間隙540b。間隙540及間隙540b可具有相同或不同的維度。在某些實施例中,介於RF熱電極520與返回電極530、530b之間的間隙540、540b在約4 mm至約15 mm的範圍內,或在約5 mm至約14 mm的範圍內,或在約7 mm至約13 mm的範圍內,或在約9 mm至約12 mm的範圍內,或約11 mm。
請參見第15圖,在某些實施例中,介於RF熱電極520與返回電極530、530b之間的間隙540、540b可沿著電極的高度H改變。在所繪示的實施例中,厚度T在氣體入口515鄰近處大於在前面部512鄰近處。也就是說,間隙540、540b的尺寸有所差異,其在氣體入口515鄰近處小於在前面部512鄰近處。不受任何特定的操作理論束縛,咸信RF熱電極520的錐形厚度可導致離子朝晶圓加速。
取決於,例如,電極材料,RF熱電極520的厚度T可為任何合適的厚度。在某些實施例中,RF熱電極具有之厚度在約3 mm至約11 mm的範圍內,或在約4 mm至約10 mm的範圍內,或在約6 mm至約9 mm或約8 mm的範圍內。
RF熱電極520的高度H可以改變。在某些實施例中,RF熱電極520的高度H在約8 mm至約40 mm的範圍內,或在約9 mm至約55 mm的範圍內,或在約10 mm至約50 mm的範圍內,或在約11 mm至約25 mm的範圍內,或在約12 mm至約20 mm的範圍內,或在約13 mm至約15 mm或約14 mm的範圍內。
某些實施例可包括分隔件560,分隔件560與RF熱電極520的下方邊緣529相鄰。請參見第15圖,所圖解的RF熱電極520介於兩個返回電極530之間。分隔件560將RF熱電極520的下方邊緣529與基板60和承載座組件140隔開。在某些實施例中,分隔件560的存在有助於避免或最小化RF熱電極520的濺射對基板60造成汙染。分隔件560可由任何合適的材料製成,所述材料可包括,但不限於,介電質(如,陶瓷材料)。分隔件560的尺寸可經調整,以自基板60的附近移動RF熱電極520的下邊緣529。在某些實施例中,分隔件560具有的長度Ls在約10 mm至約25 mm的範圍內,或在約13 mm至約20 mm的範圍內,或約17 mm。
第16圖繪示本揭示內容的另一個實施例。RF熱電極520具有分隔件560鄰近下邊緣529。返回電極 531 (如,接地或供電)與分隔件560相鄰,而將分隔件與基板60和承載座組件隔開。不受任何特定的操作理論束縛,咸信分隔件560與返回電極531的組合可最小化RF熱電極520與基板的直接交互作用。儘管第17圖中圖解兩個RF熱電極520和兩個返回電極530,本案所屬技術領域中具通常知識者將可理解,可存在任何合適數量的RF熱電極520和返回電極530。
在垂直電漿源中所生成之電漿的頻率可變化。在某些實施例中,可使用高頻率來產生具高密度的電漿。在某些實施例中,可在高於13.56 MHz、20 MHz、40 MHz、60 MHz或100 MHz等之頻率下操作垂直電漿源。
請回頭參見第10圖之方法,達到決定點460。若低蝕刻氮化矽膜445已生長或沉積或形成達預定厚度,則方法400可繼續移動至某些後處理470。若低蝕刻率氮化矽膜445尚未達到預定厚度,則方法400可重複在420處暴露於矽前驅物、在430處暴露於含氮反應劑,且在440處暴露於含氫電漿,以形成預定厚度的低蝕刻氮化矽膜445。可重複或略過在450處之可選的含氮電漿暴露。在某些實施例中,在450處進行之可選的含氮電漿暴露少於420至440的每個循環。舉例而言,在某些實施例中,在450處之含氮電漿暴露發生在每隔一個循環、每第三個循環、每第四個循環、每第五個循環、每第六個循環、每第七個循環、每第八個循環、每第九個循環、每第十個循環、每第15個循環、每第20個循環、每第25個循環、每第30個循環、每第40個循環、每第50個循環、每第100個循環處,或在任何循環間隔大於10處。
可將方法400的溫度控制在足夠低的溫度,以避免損壞下方層。典型地,在金屬層上低溫沉積氮化矽膜可導致膜中的高氫含量,因而產生具高濕式蝕刻率的膜。方法400的實施例能在金屬膜上以低溫沉積具低氫含量和較低濕式蝕刻率之氮化矽。在某些實施例中,可在低於或等於約250 ºC的溫度下進行所述方法。在某些實施例中,在暴露於矽前驅物、含氮反應劑、含氫電漿及可選的含氮電漿暴露期間,可將基板維持在不超過250 ºC的溫度下。在某些實施例中,可將基板的溫度維持在不超過225 ºC、200 ºC、175 ºC或150 ºC。
在形成低蝕刻氮化矽膜445之後,實質上不損壞金屬層。如就這方面所使用,術語「實質上不損壞(substantially no damage)」意指在透過TEM觀察的情況下,很少或沒有鈷球體(cobalt sphere)擴散進入低蝕刻氮化矽膜。
在1:100的HF:H2 O中,低蝕刻氮化矽膜具有小於或等於約5 Å/min之蝕刻率。在某些實施例中,低蝕刻氮化矽膜的蝕刻率可小於或等於約4、3、2、1或0.5 Å/min。
請參見第5及9至11圖,茲提供本揭示內容的另一個實施例。可在處理腔室100的第一製程區域250a中,將基板60暴露於矽前驅物。可側向移動基板60穿過氣簾150至處理腔室100的第二製程區域250b。可在處理腔室100的第二製程區域250b中,將基板60暴露於含氮反應劑。可側向移動基板60穿過氣簾150至處理腔室的第三製程區域250c。可將基板60暴露於第一含氫電漿,第一含氫電漿由具第一相位之第一功率源310所生成,第一功率源310耦接第一匹配電路315。
可穿過氣簾150而側向移動基板60至處理腔室100的第四製程區域250e。基板在第四製程區域250e中被暴露於矽前驅物,並被移動穿過氣簾150至第五製程區域250f,基板在第五製程區域250f被暴露於含氮反應劑。基板接著被側向移動穿過氣簾150至第六製程區域250g。在第六製程區域250g中,基板被暴露於第二含氫電漿,第二含氫電漿可由第二功率源320生成,而第二功率源320耦接至第二匹配電路325。第二功率源320可具有第二相位。第一相位及/或第二相位可受到控制,以將相位差維持在約170º至約190º的範圍內。
在某些實施例中,可在位於處理腔室100的中心軸105之相對側上之處理腔室100的部分中,使第一電漿和第二電漿對基板暴露。
在某些實施例中,可在處理腔室的額外製程區域250d、250h中,將基板暴露於含氮電漿,並在暴露於含氮電漿之後,側向移動基板穿過氣簾至所述第三、第四、第五、第六或第一製程區域中之一者。在所示的實施例中,額外製程區域250d、250h位在含氮電漿區域之後。在某些實施例中,額外製程區域250d、250h位在處理腔室的含氮反應劑區域與含氫電漿區域之間。
貫穿此說明書對「一個實施例(one embodiment)」、「若干實施例(certain embodiments)」、「一或多個實施例(one or more embodiments)」或「一實施例(an embodiment)」的參照意指結合所述實施例所描述的特定特徵、結構、材料或特性可被包括在本揭示內容的至少一個實施例中。因此,貫穿此說明書各處出現的,如,「在一或多個實施例中」、「在若干實施例中」、「在一個實施例中」或「在一實施例中」等詞語不一定指稱本揭示內容的相同實施例。進而,可以任何合適的方式在一或多個實施例中結合特定特徵、結構、材料或特性。
儘管已參照特定實施例描述本文之揭示內容,但應該理解的是,這些實施例僅僅是對本揭示內容之原理和應用的說明。對於本案所屬技術領域中具通常知識者來說顯而易見的是,在不悖離本揭示內容之精神及範疇的情況下,可對本揭示內容之方法及設備進行各種改質及變化。因此,本揭示內容欲包括在隨附申請專利範圍及其等效者之範疇內的改質及變化。
60:基板
61:頂表面
84:區域
100‧‧‧處理腔室102‧‧‧側壁104‧‧‧底部105‧‧‧中心軸120‧‧‧氣體分佈組件121‧‧‧前表面122‧‧‧注入器單元123‧‧‧內周圍邊緣124‧‧‧外周圍邊緣125、125a~125d‧‧‧反應性氣體埠127‧‧‧路徑135‧‧‧第二氣體埠135a~135d‧‧‧電漿氣體埠140‧‧‧承載座組件141‧‧‧頂表面142‧‧‧凹部143‧‧‧底表面144‧‧‧邊緣145‧‧‧真空埠150‧‧‧氣簾155‧‧‧淨化氣體埠160‧‧‧支撐柱162‧‧‧微調致動器170‧‧‧間隙180‧‧‧負載鎖定腔室250‧‧‧製程區域250a~250h‧‧‧製程區域280‧‧‧工廠介面310、320、330、340‧‧‧功率源315、325、335、340‧‧‧匹配電路350‧‧‧主激發器400‧‧‧方法410~470‧‧‧步驟401‧‧‧基板403‧‧‧金屬層425‧‧‧含矽膜435‧‧‧氮化矽膜445‧‧‧氮化矽膜500‧‧‧電漿源510‧‧‧殼體512‧‧‧前面部513‧‧‧開口515‧‧‧氣體入口516‧‧‧氣室518‧‧‧流路徑520‧‧‧RF熱電極522‧‧‧第一表面524‧‧‧第二表面529‧‧‧邊緣530、530b、531‧‧‧返回電極532、532b‧‧‧第一表面540、540b‧‧‧間隙560‧‧‧分隔件
可通過參照實施例(其中某些圖解於隨附圖示中),以更具體地描述本揭示內容(簡短總結於前文),來更了解本揭示內容之上述特徵的細節。然而,應注意的是,隨附圖式僅圖解此揭示內容的典型實施例,且因此不應被視為其範疇之限制,本揭示內容可允許其他等效實施例。
第1圖繪示根據本揭示內容的一或多個實施例之批次處理腔室的截面視圖;
第2圖繪示根據本揭示內容的一或多個實施例之批次處理腔室的部分透視圖;
第3圖繪示根據本揭示內容的一或多個實施例之批次處理腔室的示意圖;
第4圖繪示根據本揭示內容的一或多個實施例之用於批次處理腔室中的楔形氣體分佈組件之一部分的示意圖;
第5圖繪示根據本揭示內容的一或多個實施例之批次處理腔室的示意圖;以及
第6圖繪示根據本揭示內容的一或多個實施例之批次處理腔室的截面視圖;
第7圖繪示根據本揭示內容的一或多個實施例之批次處理腔室的截面視圖;
第8圖繪示根據本揭示內容的一或多個實施例之批次處理腔室的示意圖;
第9圖繪示根據本揭示內容的一或多個實施例之批次處理腔室的示意圖;
第10圖繪示根據本揭示內容的一或多個實施例之處理方法的流程圖;
第11圖繪示根據本揭示內容的一或多個實施例之處理方法的示意代表圖;
第12圖繪示根據本揭示內容的一或多個實施例之電漿源組件的示意截面視圖;
第13圖繪示依據本揭示內容的一或多個實施例之電漿源組件的部分透視圖;
第14圖繪示依據本揭示內容的一或多個實施例之電漿源組件的部分透視圖;
第15圖繪示依據本揭示內容的一或多個實施例之電漿源組件的部分示意側視圖;
第16圖繪示依據本揭示內容的一或多個實施例之電漿源組件電極的部分截面側視示意圖;以及
第17圖繪示依據本揭示內容的一或多個實施例之處理腔室的截面視圖。
國內寄存資訊 (請依寄存機構、日期、號碼順序註記) 無
國外寄存資訊 (請依寄存國家、機構、日期、號碼順序註記) 無
400‧‧‧方法
410~470‧‧‧步驟

Claims (20)

  1. 一種形成一氮化矽膜之方法,該方法包含以下步驟:將一基板暴露於一矽前驅物,以於基板表面上形成一含矽膜,該基板上具有一金屬層;將該含矽膜暴露於一含氮反應劑,以於該基板表面上形成一氮化矽膜,而不使用電漿;以及將該基板表面上之該氮化矽膜暴露於一含氫電漿,以形成一低蝕刻氮化矽膜,其中在低於或等於約250℃之一溫度下進行該方法。
  2. 如請求項1所述之方法,其中該金屬層包含鈷。
  3. 如請求項1所述之方法,其中該含氫電漿基本上由氫組成。
  4. 如請求項1所述之方法,其中該含氫電漿進一步包含氮及氬原子中之一或多者。
  5. 如請求項4所述之方法,其中,以原子計,該含氫電漿包含1至99%的氫。
  6. 如請求項5所述之方法,其中,以原子計,該含氫電漿包含大於或等於約50%的氫。
  7. 如請求項1所述之方法,進一步包含以下 步驟:將該氮化矽膜暴露於一氮電漿。
  8. 如請求項7所述之方法,其中暴露於該氮電漿發生在暴露於該含氫電漿之前。
  9. 如請求項7所述之方法,其中暴露於該氮電漿發生在暴露於該含氫電漿之後。
  10. 如請求項7所述之方法,其中該氮電漿包含氮及氬原子之一混合物。
  11. 如請求項1所述之方法,其中該含氫電漿係在一垂直電漿源中生成。
  12. 如請求項11所述之方法,其中該垂直電漿源在大於20MHz之一頻率下運作。
  13. 如請求項1所述之方法,進一步包含以下步驟:重複暴露該基板表面於該矽前驅物,以形成額外含矽膜於該低蝕刻氮化矽膜上、暴露該額外含矽膜於該含氮反應劑,以形成額外氮化矽膜及暴露該額外氮化矽膜於該含氫電漿,以形成額外低蝕刻氮化矽膜直到形成該低蝕刻氮化矽膜的一預定厚度。
  14. 如請求項13所述之方法,其中在形成該低蝕刻氮化矽膜的該預定厚度之後,實質上不損壞該金屬層。
  15. 如請求項14所述之方法,其中在1:100的HF:H2O中,該低蝕刻氮化矽膜具有小於或等於約 2Å/min之一蝕刻率。
  16. 一種形成一氮化矽膜之方法,該方法包含以下步驟:將一基板暴露於一矽前驅物,以於基板表面上形成一含矽膜,該基板上具有一鈷層;將該含矽膜暴露於一含氮反應劑,以於該鈷層上形成一氮化矽膜,而不使用電漿;以及將該基板上之該氮化矽膜暴露於一含氫電漿,以形成一低蝕刻氮化矽膜,該含氫電漿由一垂直電漿源所生成;以及重複暴露該基板表面於該矽前驅物,以形成額外含矽膜於該低蝕刻氮化矽膜上、暴露該額外含矽膜於該含氮反應劑,以形成額外氮化矽膜及暴露該額外氮化矽膜於該含氫電漿,以形成額外低蝕刻氮化矽膜直到形成該低蝕刻氮化矽膜的一預定厚度,其中在低於或等於約250℃之一溫度下進行該方法,且在形成該低蝕刻氮化矽膜之後,沒有濺射的鈷球體(cobalt sphere)擴散進入該低蝕刻氮化矽膜。
  17. 一種沉積一膜之方法,該方法包含以下步驟:在一處理腔室之一第一製程區域中,將一基板暴 露於一第一矽前驅物,以形成一含矽膜,該基板上具有一鈷層;側向移動該基板穿過一第一氣簾(gas curtain)至該處理腔室之一第二製程區域;在該處理腔室之該第二製程區域中,將該含矽膜暴露於一第一含氮反應劑,以形成一氮化矽膜,而不使用電漿;側向移動該基板穿過一第二氣簾至該處理腔室之一第三製程區域;在該處理腔室之該第三製程區域中,將該氮化矽膜暴露於一第一含氫電漿,以形成一低蝕刻氮化矽膜,該第一含氫電漿由一第一功率源所生成,該第一功率源耦接至一第一匹配電路,該第一功率源具有一第一相位;側向移動該基板穿過一第三氣簾至該處理腔室之一第四製程區域;在該第四製程區域中,將該基板暴露於一第二矽前驅物,以形成一含矽膜;側向移動該基板穿過一第四氣簾至該處理腔室之一第五製程區域;在該處理腔室之該第五製程區域中,將該含矽膜暴露於一第二含氮反應劑,以形成一氮化矽膜,而不 使用電漿;側向移動該基板穿過一第五氣簾至該處理腔室之一第六製程區域;在該處理腔室之該第六製程區域中,將該氮化矽膜暴露於一第二含氫電漿,以形成一低蝕刻氮化矽膜,該第二含氫電漿由一第二功率源所生成,該第二功率源耦接至一第二匹配電路,該第二功率源具有一第二相位;以及控制該第一相位及/或該第二相位,以維持在約170°至約190°的範圍內之一相位差。
  18. 如請求項17所述之方法,其中該第三製程區域及該第六製程區域係位在該處理腔室之一中心軸的相對側上。
  19. 如請求項17所述之方法,進一步包含以下步驟:在該處理腔室之一額外製程區域中,將該基板暴露於一含氮電漿,且在暴露於該含氮電漿之後,側向移動該基板穿過一額外氣簾至該第三、第四、第五、第六或第一製程區域中之一者。
  20. 如請求項19所述之方法,其中該額外製程區域係位於該第二製程區域與該第三製程區域之間,並位於該第五製程區域與該第六製程區域之間,致使該基板在暴露於該含氫電漿之前暴露於該含氮電漿。
TW107101169A 2017-01-13 2018-01-12 用於低溫氮化矽膜的方法及設備 TWI745528B (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US201762446276P 2017-01-13 2017-01-13
US62/446,276 2017-01-13

Publications (2)

Publication Number Publication Date
TW201839799A TW201839799A (zh) 2018-11-01
TWI745528B true TWI745528B (zh) 2021-11-11

Family

ID=62840161

Family Applications (1)

Application Number Title Priority Date Filing Date
TW107101169A TWI745528B (zh) 2017-01-13 2018-01-12 用於低溫氮化矽膜的方法及設備

Country Status (6)

Country Link
US (2) US11017997B2 (zh)
JP (1) JP6942188B2 (zh)
KR (1) KR102335188B1 (zh)
CN (1) CN110178201B (zh)
TW (1) TWI745528B (zh)
WO (1) WO2018132568A1 (zh)

Families Citing this family (10)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9564309B2 (en) 2013-03-14 2017-02-07 Asm Ip Holding B.V. Si precursors for deposition of SiN at low temperatures
US11549181B2 (en) 2013-11-22 2023-01-10 Applied Materials, Inc. Methods for atomic layer deposition of SiCO(N) using halogenated silylamides
US9576792B2 (en) 2014-09-17 2017-02-21 Asm Ip Holding B.V. Deposition of SiN
CN107889510A (zh) * 2015-06-16 2018-04-06 弗萨姆材料美国有限责任公司 卤硅烷化合物和组合物以及用于使用其沉积含硅膜的方法
US10410857B2 (en) 2015-08-24 2019-09-10 Asm Ip Holding B.V. Formation of SiN thin films
US10580645B2 (en) * 2018-04-30 2020-03-03 Asm Ip Holding B.V. Plasma enhanced atomic layer deposition (PEALD) of SiN using silicon-hydrohalide precursors
WO2020081397A1 (en) * 2018-10-19 2020-04-23 Lam Research Corporation Method of depositing silicon nitride films
TW202117056A (zh) * 2019-09-19 2021-05-01 美商應用材料股份有限公司 使用鹵化矽烷基醯胺之SiCO(N)原子層沉積的方法
US11359281B2 (en) * 2020-01-26 2022-06-14 Applied Materials, Inc. Selective deposition of SiCON by plasma ALD
US11447865B2 (en) 2020-11-17 2022-09-20 Applied Materials, Inc. Deposition of low-κ films

Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20080274605A1 (en) * 2004-07-29 2008-11-06 Semiconductor Leading Edge Technologies, Inc. Method of manufacturing silicon nitride film, method of manufacturing semiconductor device, and semiconductor device
TW201439105A (zh) * 2013-03-14 2014-10-16 Asm Ip Holding Bv 低溫SiN沈積用的Si前驅物
US20150179519A1 (en) * 2012-02-13 2015-06-25 SK Hynix Inc. Interconnection structures in a semiconductor device and methods of manufacturing the same
TW201621981A (zh) * 2014-09-04 2016-06-16 台灣積體電路製造股份有限公司 半導體元件及形成半導體元件之方法

Family Cites Families (31)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CA1327338C (en) * 1987-02-02 1994-03-01 Chorng-Ping Chang Process for producing devices containing silicon nitride films
EP1584100A2 (en) 2002-12-20 2005-10-12 Applied Materials, Inc. A method and apparatus for forming a high quality low temperature silicon nitride layer
US7172792B2 (en) * 2002-12-20 2007-02-06 Applied Materials, Inc. Method for forming a high quality low temperature silicon nitride film
US7972663B2 (en) * 2002-12-20 2011-07-05 Applied Materials, Inc. Method and apparatus for forming a high quality low temperature silicon nitride layer
US6943097B2 (en) * 2003-08-19 2005-09-13 International Business Machines Corporation Atomic layer deposition of metallic contacts, gates and diffusion barriers
US20060019032A1 (en) * 2004-07-23 2006-01-26 Yaxin Wang Low thermal budget silicon nitride formation for advance transistor fabrication
US20060084283A1 (en) 2004-10-20 2006-04-20 Paranjpe Ajit P Low temperature sin deposition methods
CN101208783B (zh) * 2005-05-26 2010-05-19 应用材料股份有限公司 通过后pecvd沉积uv处理增加氮化硅膜的拉伸应力的方法
US8138104B2 (en) * 2005-05-26 2012-03-20 Applied Materials, Inc. Method to increase silicon nitride tensile stress using nitrogen plasma in-situ treatment and ex-situ UV cure
US20070082507A1 (en) * 2005-10-06 2007-04-12 Applied Materials, Inc. Method and apparatus for the low temperature deposition of doped silicon nitride films
US7964143B2 (en) * 2007-06-20 2011-06-21 New Jersey Institute Of Technology Nanotube device and method of fabrication
KR101266135B1 (ko) * 2008-06-03 2013-05-27 도쿄엘렉트론가부시키가이샤 실리콘 함유 막의 저온 증착
US8563095B2 (en) * 2010-03-15 2013-10-22 Applied Materials, Inc. Silicon nitride passivation layer for covering high aspect ratio features
US20110272024A1 (en) * 2010-04-13 2011-11-10 Applied Materials, Inc. MULTI-LAYER SiN FOR FUNCTIONAL AND OPTICAL GRADED ARC LAYERS ON CRYSTALLINE SOLAR CELLS
US9324576B2 (en) * 2010-05-27 2016-04-26 Applied Materials, Inc. Selective etch for silicon films
US8440571B2 (en) * 2010-11-03 2013-05-14 Applied Materials, Inc. Methods for deposition of silicon carbide and silicon carbonitride films
US8329575B2 (en) * 2010-12-22 2012-12-11 Applied Materials, Inc. Fabrication of through-silicon vias on silicon wafers
JP6022166B2 (ja) * 2011-02-28 2016-11-09 株式会社日立国際電気 半導体装置の製造方法、基板処理装置およびプログラム
JP6088178B2 (ja) * 2011-10-07 2017-03-01 株式会社日立国際電気 半導体装置の製造方法、基板処理装置およびプログラム
US9023734B2 (en) * 2012-09-18 2015-05-05 Applied Materials, Inc. Radical-component oxide etch
US10573511B2 (en) 2013-03-13 2020-02-25 Asm Ip Holding B.V. Methods for forming silicon nitride thin films
KR101461139B1 (ko) 2013-07-17 2014-11-13 주식회사 코디에스 플라즈마 소스 및 플라즈마 에칭 장치
US9905415B2 (en) * 2013-10-03 2018-02-27 Versum Materials Us, Llc Methods for depositing silicon nitride films
TW201522696A (zh) 2013-11-01 2015-06-16 Applied Materials Inc 使用遠端電漿cvd技術的低溫氮化矽膜
US9401273B2 (en) * 2013-12-11 2016-07-26 Asm Ip Holding B.V. Atomic layer deposition of silicon carbon nitride based materials
JP6577695B2 (ja) * 2013-12-18 2019-09-18 大陽日酸株式会社 シリコン窒化膜の形成方法
US9214333B1 (en) * 2014-09-24 2015-12-15 Lam Research Corporation Methods and apparatuses for uniform reduction of the in-feature wet etch rate of a silicon nitride film formed by ALD
US10096464B2 (en) * 2014-10-04 2018-10-09 Applied Materials, Inc. Atomic layer deposition of high density silicon dioxide
US9589790B2 (en) * 2014-11-24 2017-03-07 Lam Research Corporation Method of depositing ammonia free and chlorine free conformal silicon nitride film
JP2017139297A (ja) * 2016-02-02 2017-08-10 東京エレクトロン株式会社 成膜方法及び成膜装置
US9685406B1 (en) * 2016-04-18 2017-06-20 International Business Machines Corporation Selective and non-selective barrier layer wet removal

Patent Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20080274605A1 (en) * 2004-07-29 2008-11-06 Semiconductor Leading Edge Technologies, Inc. Method of manufacturing silicon nitride film, method of manufacturing semiconductor device, and semiconductor device
US20150179519A1 (en) * 2012-02-13 2015-06-25 SK Hynix Inc. Interconnection structures in a semiconductor device and methods of manufacturing the same
TW201439105A (zh) * 2013-03-14 2014-10-16 Asm Ip Holding Bv 低溫SiN沈積用的Si前驅物
TW201621981A (zh) * 2014-09-04 2016-06-16 台灣積體電路製造股份有限公司 半導體元件及形成半導體元件之方法

Non-Patent Citations (5)

* Cited by examiner, † Cited by third party
Title
APL, 68, 3257, 1996.
APL, 79, 665, 2001.
Atomic layer controlled deposition of silicon nitride with self‐limiting mechanism, Hiroshi Goto, Kentaro Shibahara and Shin Yokoyama, Appl. Phys. Lett. 68, 3257 (1996); https://doi.org/10.1063/1.116566 *
D. Alvarez et al, 2018 ECS Meeting
Low-temperature formation of silicon nitride gate dielectrics by atomic-layer deposition, Anri Nakajima, Takashi Yoshimoto, Toshirou Kidera and Shin Yokoyama. Appl. Phys. Lett. 79, 665 (2001); https://doi.org/10.1063/1.1388026 *

Also Published As

Publication number Publication date
TW201839799A (zh) 2018-11-01
KR20190096449A (ko) 2019-08-19
JP2020504457A (ja) 2020-02-06
CN110178201B (zh) 2023-06-16
WO2018132568A1 (en) 2018-07-19
US20210265157A1 (en) 2021-08-26
JP6942188B2 (ja) 2021-09-29
US11017997B2 (en) 2021-05-25
KR102335188B1 (ko) 2021-12-02
US20190348271A1 (en) 2019-11-14
CN110178201A (zh) 2019-08-27

Similar Documents

Publication Publication Date Title
TWI745528B (zh) 用於低溫氮化矽膜的方法及設備
JP2019511118A (ja) スペーサ用の窒化ケイ素膜の選択的堆積
US20110039026A1 (en) Film deposition apparatus, film deposition method, and computer readable storage medium
KR102405729B1 (ko) 저주파수 바이어스를 활용한 유전체 막들의 기하학적 선택적 증착
TWI715572B (zh) 用於沉積低k及低濕式蝕刻率介電薄膜的方法
TWI733021B (zh) 電漿源組件、處理腔室與處理基板的方法
JP7175266B2 (ja) スパッタリングシャワーヘッド
US11887818B2 (en) Methods and systems to modulate film stress
US11705312B2 (en) Vertically adjustable plasma source
US11923172B2 (en) Paired dynamic parallel plate capacitively coupled plasmas
JP2004319819A (ja) 化学的気相成長装置および化学的気相成長方法