CN107889510A - 卤硅烷化合物和组合物以及用于使用其沉积含硅膜的方法 - Google Patents

卤硅烷化合物和组合物以及用于使用其沉积含硅膜的方法 Download PDF

Info

Publication number
CN107889510A
CN107889510A CN201680034649.2A CN201680034649A CN107889510A CN 107889510 A CN107889510 A CN 107889510A CN 201680034649 A CN201680034649 A CN 201680034649A CN 107889510 A CN107889510 A CN 107889510A
Authority
CN
China
Prior art keywords
silicon
hydrogen
trisilalkane
disilane
plasma
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
CN201680034649.2A
Other languages
English (en)
Inventor
雷新建
李建恒
J·F·莱曼
A·C·库珀
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Versum Materials US LLC
Original Assignee
Versum Materials US LLC
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Versum Materials US LLC filed Critical Versum Materials US LLC
Priority to CN202410125885.1A priority Critical patent/CN118007094A/zh
Publication of CN107889510A publication Critical patent/CN107889510A/zh
Pending legal-status Critical Current

Links

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/34Nitrides
    • C23C16/345Silicon nitride
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B01PHYSICAL OR CHEMICAL PROCESSES OR APPARATUS IN GENERAL
    • B01JCHEMICAL OR PHYSICAL PROCESSES, e.g. CATALYSIS OR COLLOID CHEMISTRY; THEIR RELEVANT APPARATUS
    • B01J29/00Catalysts comprising molecular sieves
    • B01J29/04Catalysts comprising molecular sieves having base-exchange properties, e.g. crystalline zeolites
    • B01J29/06Crystalline aluminosilicate zeolites; Isomorphous compounds thereof
    • B01J29/40Crystalline aluminosilicate zeolites; Isomorphous compounds thereof of the pentasil type, e.g. types ZSM-5, ZSM-8 or ZSM-11, as exemplified by patent documents US3702886, GB1334243 and US3709979, respectively
    • CCHEMISTRY; METALLURGY
    • C01INORGANIC CHEMISTRY
    • C01BNON-METALLIC ELEMENTS; COMPOUNDS THEREOF; METALLOIDS OR COMPOUNDS THEREOF NOT COVERED BY SUBCLASS C01C
    • C01B33/00Silicon; Compounds thereof
    • C01B33/08Compounds containing halogen
    • C01B33/107Halogenated silanes
    • CCHEMISTRY; METALLURGY
    • C07ORGANIC CHEMISTRY
    • C07FACYCLIC, CARBOCYCLIC OR HETEROCYCLIC COMPOUNDS CONTAINING ELEMENTS OTHER THAN CARBON, HYDROGEN, HALOGEN, OXYGEN, NITROGEN, SULFUR, SELENIUM OR TELLURIUM
    • C07F7/00Compounds containing elements of Groups 4 or 14 of the Periodic Table
    • C07F7/02Silicon compounds
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/02Pretreatment of the material to be coated
    • C23C16/0254Physical treatment to alter the texture of the surface, e.g. scratching or polishing
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/24Deposition of silicon only
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/36Carbonitrides
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/40Oxides
    • C23C16/401Oxides containing silicon
    • C23C16/402Silicon dioxide
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/4401Means for minimising impurities, e.g. dust, moisture or residual gas, in the reaction chamber
    • C23C16/4404Coatings or surface treatment on the inside of the reaction chamber or on parts thereof
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/448Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for generating reactive gas streams, e.g. by evaporation or sublimation of precursor materials
    • C23C16/4488Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for generating reactive gas streams, e.g. by evaporation or sublimation of precursor materials by in situ generation of reactive gas by chemical or electrochemical reaction
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45527Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations
    • C23C16/45536Use of plasma, radiation or electromagnetic fields
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45553Atomic layer deposition [ALD] characterized by the use of precursors specially adapted for ALD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02164Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon oxide, e.g. SiO2
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/0217Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon nitride not containing oxygen, e.g. SixNy or SixByNz
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02205Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
    • H01L21/02208Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si
    • H01L21/02211Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound being a silane, e.g. disilane, methylsilane or chlorosilane
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/02274Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition in the presence of a plasma [PECVD]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/0228Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition deposition by cyclic CVD, e.g. ALD, ALE, pulsed CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02518Deposited layers
    • H01L21/02521Materials
    • H01L21/02524Group 14 semiconducting materials
    • H01L21/02532Silicon, silicon germanium, germanium
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02612Formation types
    • H01L21/02617Deposition types
    • H01L21/0262Reduction or decomposition of gaseous compounds, e.g. CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/32055Deposition of semiconductive layers, e.g. poly - or amorphous silicon layers

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Organic Chemistry (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Materials Engineering (AREA)
  • General Chemical & Material Sciences (AREA)
  • Metallurgy (AREA)
  • Mechanical Engineering (AREA)
  • Physics & Mathematics (AREA)
  • Inorganic Chemistry (AREA)
  • Manufacturing & Machinery (AREA)
  • Power Engineering (AREA)
  • General Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Plasma & Fusion (AREA)
  • Electromagnetism (AREA)
  • Electrochemistry (AREA)
  • Crystallography & Structural Chemistry (AREA)
  • Chemical Vapour Deposition (AREA)
  • Silicon Compounds (AREA)
  • Formation Of Insulating Films (AREA)

Abstract

本文描述了卤硅烷化合物、用于合成卤硅烷化合物的方法、包含卤硅烷前体的组合物以及用于使用卤硅烷前体沉积含硅膜(例如硅、无定形硅、氧化硅、氮化硅、碳化硅、氮氧化硅、碳氮化硅、掺杂硅膜和金属掺杂氮化硅膜)的方法。本文所述的卤硅烷前体化合物的实例包括但不限于一氯乙硅烷(MCDS)、一溴乙硅烷(MBDS)、一碘乙硅烷(MIDS)、一氯丙硅烷(MCTS)和一溴丙硅烷(MBTS)、一碘丙硅烷(MITS)。本文还描述了用于在约500℃或更低的一个或多个沉积温度下沉积含硅膜(例如但不限于硅、无定形硅、氧化硅、氮化硅、碳化硅、氮氧化硅、碳氮化硅、掺杂硅膜和金属掺杂氮化硅膜)的方法。

Description

卤硅烷化合物和组合物以及用于使用其沉积含硅膜的方法
本申请要求于2015年6月16日提交的申请No.62/180382和2015年6月18日提交的申请No.62/181,494的权益。申请No.62/180382和62/181,494的公开内容通过引用并入本文。
技术领域
本文描述了卤硅烷(halidosilane)化合物、用于制备卤硅烷化合物的方法、包含卤硅烷化合物的组合物以及用于使用卤硅烷化合物沉积含硅膜(例如硅、无定形硅、氧化硅、氮化硅、碳化硅、氮氧化硅、碳氮化硅等)的方法。
背景技术
含硅膜被广泛用于半导体或电子应用。例如,氮化硅薄膜已经因其独特的物理、化学和机械性能而被广泛用于各种应用。在半导体器件中,氮化硅膜被用作栅极绝缘、扩散掩模、侧壁间隔体、钝化和封装等用途。典型地,在前道工序(FEOL)中使用的氮化硅膜目前使用二氯硅烷和氨在约750℃或更低的一个或多个温度下在热壁反应器中通过低压化学气相沉积(LPCVD)进行沉积。随着集成电路(IC)的横向和垂直尺寸不断缩小,为了避免硅原子(Si)和金属之间的不希望的反应和实现具有精确掺杂分布控制的超高集成器件,越来越需要在低得多的温度(550℃或更低)下沉积氮化硅膜。需要更好的前体以满足这一需要。
本领域中有用于制备含卤素和硅烷的化合物的许多实例。例如,参考文献"Preparation of disilanyl chloride and disilanyl bromide by the reaction ofdisilane with hydrogen halide",Abedini,M.等,J.Inorg.Nucl.Chem.第25卷(第3期),第307-309页(1963)描述了用于通过在适当的卤化铝催化剂存在下使Si2H6分别与HCl或HBr反应,制备也称为一氯乙硅烷的乙硅烷基氯化物(H3SiSiH2Cl)和也称为一溴乙硅烷的乙硅烷基溴化物(H3SiSiH2Br)的方法。参考文献"Formation and identification ofchlorodisilanes and monochlorotrisilane",Drake,J.E.等,Inorg.Nucl.Chem.Lett.,第4卷(7),第385-388页(1968)描述了用于通过缩合Si2H6和BCl3,使反应混合物升温至0℃,并使反应混合物在该温度下保持8小时以导致形成以下产物:B2H6、Si2H5Cl、Si2H4Cl2、Si2H3Cl3和Si2H2Cl4,而制备氯乙硅烷类和一氯丙硅烷类的方法。美国专利No.8,206,676公开了一氯硅烷的制备,其包括在足以提供最终产物的时间和温度下使硅烷和HCl与催化剂接触。
前文指出的专利和出版物的公开内容通过引用并入本文。
发明内容
本文描述了卤硅烷化合物、用于制备卤硅烷化合物的方法、包含卤硅烷前体的组合物,用于使用卤硅烷前体和衬底沉积含硅膜(例如硅、无定形硅、氧化硅、氮化硅、碳化硅、氮氧化硅、碳氮化硅、金属掺杂氮化硅膜等)的方法,其中所述衬底具有在其上沉积的至少一个含硅膜。本文所述的卤硅烷前体化合物的实例包括但不限于一氯乙硅烷(MCDS)、一溴乙硅烷(MBDS)、一碘乙硅烷(MIDS)、一氯丙硅烷(MCTS)、一溴丙硅烷(MBTS)和一碘丙硅烷(MITS)。本文还描述了用于在约500℃或更低的一个或多个沉积温度下将含硅膜(例如但不限于氮化硅、氮氧化硅、氧化硅或硅膜)的沉积到衬底的方法,其中所述衬底具有在其上沉积的至少一个含硅膜。
在一个方面,提供了在衬底的至少一部分上沉积氮化硅膜的方法,所述方法包括:
a.在反应器内提供所述衬底;
b.使所述衬底与选自一氯乙硅烷(MCDS)、一溴乙硅烷(MBDS)、一碘乙硅烷(MIDS)、一氯丙硅烷(MCTS)和一溴丙硅烷(MBTS)、一碘丙硅烷(MITS)及其组合的卤硅烷前体接触(例如,在足以化学吸附所述卤硅烷前体的条件下);
c.吹扫未反应的卤硅烷前体;
d.使具有吸附的卤硅烷前体的所述衬底与含氮源接触以形成Si-N-Si键;
e.吹扫未反应的含氮源;
其中所述方法是等离子体增强或热沉积工艺,并且重复方法步骤
b.至e.直到获得期望厚度的所述氮化硅膜。在某些实施方式中,含氮源包括氨、肼、单烷基肼、二烷基肼、有机胺、有机二胺、氨等离子体、氮/氩等离子体、氮/氦等离子体、氢/氮等离子体、有机胺等离子体、有机二胺等离子体及其组合。
在其中有机胺等离子体或有机二胺等离子体被用作含氮源的实施方式中,示例性有机胺或有机胺等离子体包括但不限于二乙胺等离子体、二甲胺等离子体、三甲基等离子体、三甲胺等离子体、烷氧基胺等离子体、甲胺、乙胺、乙二胺、乙醇胺、哌嗪、N,N'-二甲基乙二胺、咪唑烷、环三亚甲基三胺及其组合。
用于本发明的前述方面和其他方面的吹扫气体可以包括选自氮气、氩气和氦气及其混合物中的至少一个成员,其中所述吹扫气体除去未反应的前体以及反应副产物。
在另一个方面,提供了在衬底的至少一部分上沉积氧化硅膜的方法,所述方法包括:
a.在反应器内提供所述衬底;
b.使所述衬底与选自一氯乙硅烷(MCDS)、一溴乙硅烷(MBDS)、一碘乙硅烷(MIDS)、一氯丙硅烷(MCTS)和一溴丙硅烷(MBTS)、一碘丙硅烷(MITS)及其组合的卤硅烷前体接触(例如,在足以化学吸附所述卤硅烷前体的条件下);
c.吹扫未反应的卤硅烷前体;
d.使具有吸附的卤硅烷前体的所述衬底与含氧源接触以形成Si-O-Si键;
e.吹扫未反应的含氧源;
其中所述方法是等离子体增强或热沉积工艺,并且重复方法步骤b.至e.直到获得期望厚度的所述氧化硅膜。在某些实施方式中,含氧源包括臭氧、水(H2O)(例如去离子水、纯化水和/或蒸馏水)、氧气(O2)、氧等离子体、NO、N2O、NO2、一氧化碳(CO)、二氧化碳(CO2)及其组合。
在另一个实施方式中,提供了在衬底的至少一部分上沉积硅膜的方法,所述方法包括:
a.在反应器内提供所述衬底;
b.使所述衬底与选自一氯乙硅烷(MCDS)、一溴乙硅烷(MBDS)、一碘乙硅烷(MIDS)、一氯丙硅烷(MCTS)和一溴丙硅烷(MBTS)、一碘丙硅烷(MITS)及其组合的卤硅烷前体接触(例如,在足以化学吸附所述卤硅烷前体的条件下);
c.吹扫未反应的卤硅烷前体;
d.任选地使具有吸附的卤硅烷前体的所述衬底与含氢源接触以形成所述硅膜;
e.吹扫掉反应副产物;
其中所述方法是等离子体增强或热沉积工艺,并且重复方法步骤b.至e.直到获得期望厚度的无定形硅膜。在某些实施方式中,含氢源是包括氢等离子体、氩等离子体、氦等离子体、氙等离子体及其混合物的等离子体源。在其它实施方式中,含氢源选自氢、氢/氦、氢/氩、氯化氢、氢/氯化氢及其混合物。
在某些优选的实施方式中,形成含硅膜的沉积工艺选自等离子体增强原子层沉积(PEALD)、等离子体增强化学气相沉积(PECVD)和等离子体增强循环化学气相沉积(PECCVD)。在这些实施方式中,用于沉积工艺的等离子体是原位产生的等离子体、远程产生的等离子体或其组合。
在本文所述发明的另一个方面,提供了制备选自一氯乙硅烷(MCDS)、一溴乙硅烷(MBDS)、一碘乙硅烷(MIDS)、一氯丙硅烷(MCTS)、一溴丙硅烷(MBTS)和一碘丙硅烷(MITS)的卤硅烷化合物的方法,所述方法包括:提供选自乙硅烷或丙硅烷的聚硅烷和选自氯化氢、碘化氢和溴化氢的卤化氢的反应混合物;和使所述反应混合物与催化剂在一定温度和一定时间下接触以提供卤硅烷最终产物,其中所述催化剂包含选自分子筛、包含AlCl3的二氧化硅载体、包含AlnCl(3n+1)的离子液体,其中n≥1,及其组合中的至少一种。该反应将大于约50%的聚硅烷前体转化成卤代的硅烷产物,其中大于约75%是期望的单卤硅烷。超过约50%的粗产率可以通过优化反应的竞争转化率和选择性分布而实现。粗产物然后通过真空蒸馏(例如如下所述)最方便地纯化至大于约98%的纯度。
在本文所述发明的另一个方面,提供了容器,所述容器包含一定体积的卤硅烷前体化合物,所述容器具有能够以稳定状态容纳所述卤硅烷前体化合物的内表面改性,
其中所述内表面选自以下:
(a)由机械抛光产生的表面;
(b)由电解抛光产生的表面;
(c)由形成有机分子的疏水性保护层而产生的表面;
(d)由提供不锈钢的内表面而产生的表面;
(e)由提供铝的内表面而产生的表面;
(f)由提供镍的内表面而产生的表面;
(g)由聚合物涂层产生的表面;
(h)具有氧化硅涂层的表面;
(i)具有分子地键合至所述金属的晶体碳层的表面;
(j)具有金属氟化物的钝化层的表面;
(k)具有通过暴露于硅烷而键合至金属的硅烷的钝化层的表面;
(l)具有失活的羟基的表面;和
其中所述一定体积的所述卤硅烷前体化合物选自一氯乙硅烷(MCDS)、一溴乙硅烷(MBDS)、一氯丙硅烷(MCTS)、一溴丙硅烷(MBTS)、一碘丙硅烷(MITS)和一碘乙硅烷(MIDS)。用于改性容器内表面的方法在US8590705中公开;其通过引入并入本文。
本发明的实施方式可以单独使用或彼此组合使用。
附图说明
图1提供了使用实施例3中所述的PEALD样工艺沉积的氮化硅膜的SEM图像。
具体实施方式
本文描述了卤硅烷化合物、用于制备卤硅烷化合物的方法、包含卤硅烷前体的组合物以及用于使用卤硅烷前体沉积含硅膜(例如硅、无定形硅、氧化硅、氮化硅、碳化硅、氮氧化硅、碳氮化硅、掺杂硅膜和金属掺杂氮化硅膜)的方法。在整个说明书中,术语“卤化物”(halido)表示具有键合至硅原子的卤原子的化合物,其中所述卤原子选自氯、溴和碘。本文所述的卤硅烷前体化合物的实例包括但不限于一氯乙硅烷(MCDS)、一溴乙硅烷(MBDS)、一碘乙硅烷(MIDS)、一氯丙硅烷(MCTS)和一溴丙硅烷(MBTS)、一碘丙硅烷(MITS)。本文还描述了用于在约500℃或更低(例如约150℃至约200℃,约200℃至约300℃和优选约250至约400℃)的一个或多个沉积温度下沉积含硅膜(例如但不限于硅、无定形硅、氧化硅、氮化硅、碳化硅、氮氧化硅、碳氮化硅、掺杂硅膜和金属掺杂氮化硅膜)的方法。
虽然不受理论或解释的约束,但据信本文所述的卤硅烷前体(例如但不限于一氯乙硅烷(MCDS)、一溴乙硅烷(MBDS)、一碘乙硅烷(MIDS)、一氯丙硅烷(MCTS)、一碘丙硅烷(MITS)和一溴丙硅烷(MBTS))在加热时可以产生H2Si:二自由基或H3Si.自由基。还据信这些自由基促进在衬底的表面上形成锚定含硅的片段,例如SiH2或SiH3。在其中使用卤硅烷前体沉积氮化硅或金属掺杂氮化硅膜的实施方式中,这些锚定的SiH2或SiH3片段可以进一步与氨等离子体或氢/氮等离子体相互作用以在表面上形成Si-NH或SiNH2物质,其与卤代基团反应,或在某些实施方式中与含金属前体反应,以分别形成Si-N-Si或Si-N-金属键,导致形成氮化硅或金属掺杂氮化硅。
如前所述,本文所述的卤硅烷前体化合物(例如但不限于一氯乙硅烷(MCDS)、一溴乙硅烷(MBDS)、一碘乙硅烷(MIDS)、一氯丙硅烷(MCTS)和一溴丙硅烷(MBTS)、一碘丙硅烷(MITS))被用于沉积含硅膜。可以使用卤硅烷前体沉积的含硅膜的实例包括硅、无定形硅、氧化硅、氮化硅、碳化硅、氮氧化硅、碳氮化硅、掺杂硅膜(例如硼掺杂硅、磷掺杂硅、锗掺杂硅)和金属掺杂氮化硅膜(例如铝掺杂的氮化硅、硼掺杂的氮化硅)。在一些实施方式中,掺杂剂选自碳、氧、硼、磷、锗、铝、镓,并且范围基于XPS测量为0.1至50原子%。
在一个特定实施方式中,卤硅烷前体化合物被用于沉积高质量氮化硅膜。术语“高质量”是指展示出以下特性中的一个或多个的氮化硅膜:密度为约2.1g/cc或更大(即,2.1g/cc至3.0g/cc,2.1g/cc至2.8g/cc,2.1g/cc至2.6g/cc,2.1g/cc至2.4g/cc,2.2g/cc至3.0g/cc,2.2g/cc至2.8g/cc,2.2g/cc至2.6g/cc,2.2g/cc至2.4g/cc);湿蚀刻速率小于(即或更小,或更小,或更小,或更小,或更小,或更小,或更小,或更小,或更小,或更小,或更小, 或更小,或更小),如在1:100稀HF(dHF)酸的溶液中测量的;氢杂质为约5e20at/cc或更小(即5e20at/cc或更小,4e20at/cc或更小,3e20at/cc或更小,1e20at/cc或更小,9e19at/cc或更小,8e19at/cc或更小,5e19at/cc或更小),如通过SIMS测量的;及其组合。关于蚀刻速率,热生长氧化硅膜在1:100dHF中具有的蚀刻速率。
如前所述,使用沉积工艺沉积含硅膜。与本文所述的方法一起使用的沉积工艺包括化学气相沉积(CVD)或原子层沉积(ALD)工艺。在本文所述的方法的某些实施方式中,沉积工艺是基于等离子体的工艺或等离子体增强工艺,如等离子体增强原子层沉积(PEALD)、等离子体增强化学气相沉积(PECVD)和等离子体增强循环化学气相沉积(PECCVD)。在这些或其他沉积工艺中,等离子体是原位产生的等离子体、远程产生的等离子体或其组合。在其中沉积工艺是ALD工艺的实施方式中,可以使用各种商业ALD反应器例如单晶片式、半间歇式、间歇炉式或卷对卷式反应器来沉积含硅膜。
在一个方面,提供了在衬底的至少一部分上沉积氮化硅膜的方法,所述方法包括:
a.在反应器内提供所述衬底;
b.使所述衬底与选自一氯乙硅烷(MCDS)、一溴乙硅烷(MBDS)、一碘乙硅烷(MIDS)、一氯丙硅烷(MCTS)和一溴丙硅烷(MBTS)、一碘丙硅烷(MITS)及其组合的卤硅烷前体接触,以化学吸附所述卤硅烷前体;
c.吹扫未反应的卤硅烷前体;
d.使具有吸附的卤硅烷前体的所述衬底与含氮源接触以形成Si-N-Si键;
e.吹扫未反应的含氮源;
其中所述方法是等离子体增强或热沉积工艺,并且重复方法步骤
b.至e.直到获得期望厚度的所述氮化硅膜。在某些实施方式中,含氮源包括氨、肼、单烷基肼、二烷基肼、有机胺、有机二胺、氨等离子体、氮/氩等离子体、氮/氦等离子体、氢/氮等离子体、有机胺等离子体、有机二胺等离子体及其组合。
在另一个方面,提供了在衬底的至少一部分上沉积氧化硅膜的方法,所述方法包括:
a.在反应器内提供所述衬底;
b.使所述衬底与选自一氯乙硅烷(MCDS)、一溴乙硅烷(MBDS)、一碘乙硅烷(MIDS)、一氯丙硅烷(MCTS)和一溴丙硅烷(MBTS)、一碘丙硅烷(MITS)及其组合的卤硅烷前体接触,以化学吸附所述卤硅烷前体;
c.吹扫未反应的卤硅烷前体;
d.使具有吸附的卤硅烷前体的所述衬底与含氧源接触以形成Si-O-Si键;
e.吹扫未反应的含氧源;
其中所述方法是等离子体增强或热沉积工艺,并且重复方法步骤b.至e.直到获得期望厚度的所述氧化硅膜。在某些实施方式中,含氧源包括臭氧、水(H2O)(例如去离子水、纯化水和/或蒸馏水)、氧气(O2)、氧等离子体、NO、N2O、NO2、一氧化碳(CO)、二氧化碳(CO2)及其组合。
在另一个实施方式中,提供了在衬底的至少一部分上沉积硅膜的方法,所述方法包括:
a.在反应器内提供所述衬底;
b.使所述衬底与选自一氯乙硅烷(MCDS)、一溴乙硅烷(MBDS)、一碘乙硅烷(MIDS)、一氯丙硅烷(MCTS)和一溴丙硅烷(MBTS)、一碘丙硅烷(MITS)及其组合的卤硅烷前体接触,以化学吸附所述卤硅烷前体;
c.吹扫未反应的卤硅烷前体;
d.任选地使具有吸附的卤硅烷前体的所述衬底与含氢源接触以形成所述硅膜;
e.吹扫掉反应副产物;
其中所述方法是等离子体增强或热沉积工艺,并且重复方法步骤b.至e.直到获得期望厚度的所述硅膜。在某些实施方式中,含氢源包括氢等离子体、氢/氩等离子体、氢/氦等离子体、氢、氢/氦、氢/氩、氢/氯化氢、氯化氢及其混合物。
在本文所述的方法的一个特定实施方式中,所述方法被用于在反应器中的衬底的至少一部分上沉积金属掺杂氮化硅膜,所述方法包括:
a.使所述衬底与含金属前体接触以化学吸附所述含金属前体;
b.吹扫未反应的含金属前体;
c.使具有吸附的含金属前体的所述衬底与含氮源接触以形成金属-NH或金属-NH2
d.吹扫未吸附的含氮源;
e.引入卤硅烷前体以化学吸附所述含硅前体;
f.吹扫未反应的卤硅烷前体;
g.使具有吸附的卤硅烷前体的所述衬底与含氮源接触以形成Si-N-Si键;和
h.吹扫未吸附的含氮源;
其中沉积工艺是等离子体增强或热工艺,并且重复方法步骤a至h直到达到期望厚度的膜。含氮源包括氨、肼、单烷基肼、二烷基肼、有机胺、有机二胺、氨等离子体、氮/氩等离子体、氮/氦等离子体、氢/氮等离子体及其组合。在一些实施方式中,在步骤e之前,可以多次重复步骤a至d以产生包含金属掺杂氮化硅的纳米层状结构。在这个或另外的实施方式中,多次重复步骤e至h以产生包含金属掺杂氮化硅的纳米层状结构。在一个特定实施方式中,可以使用含铝前体(如AlCl3、三甲基铝(TMA)或三(二甲基氨基)铝(TDMAA))作为含金属前体,其可以帮助沉积保形(conformal)氮化硅膜以获得10nm或更低的小特征尺寸,因为AlCl3、TMA或TDMAA对表面上的NH或NH2更具反应性,并且还可以催化表面上的Si-H和NH或NH2之间的反应,以提供半成品半导体衬底中的沟槽或通孔的顶部、侧壁和底部的保形沉积。如本文所用,保形沉积被定义为在具有通孔或沟槽或这两者的结构化或特征化的衬底中沉积的金属掺杂氮化硅介电膜的两个厚度的百分比,底部台阶覆盖率是以下比率(以%表示):特征的底部的厚度除以特征的顶部的厚度;并且中部台阶覆盖率是以下比率(以%表示):特征的侧壁的厚度除以特征的顶部的厚度。使用本文所述的方法沉积的膜展示出约60%或更高、约70%或更高、约80%或更高、或者约90%或更高的台阶覆盖率,其表明膜是保形的。
在另一个实施方式中,含硼前体(如BCl3、三甲基硼烷(TMB)、三乙基硼烷(TEB)或三(二甲基氨基)硼烷(TDMAB))可以用作含金属前体,其可以帮助沉积保形氮化硅膜以获得10nm或更低的特征尺寸,因为BCl3、TMB、TEB或TDMAB对表面上的NH或NH2更具反应性,并且还可以催化表面上的Si-H和NH或NH2之间的反应,以提供半成品半导体衬底中的沟槽或通孔的顶部、侧壁和底部的保形沉积。
又一个实施方式,所述方法是在反应器中的衬底上沉积高质量的金属掺杂氮化硅的工艺,其包括:
a.使所述衬底与含金属前体接触以化学吸附所述含金属前体;
b.吹扫未反应的含金属前体;
c.使具有化学吸附的含金属前体的所述衬底与卤硅烷前体接触以化学吸附所述卤硅烷前体;
d.吹扫未反应的卤硅烷前体;
e.使具有吸附的含金属前体和吸附的卤硅烷前体的所述衬底与含氮源接触以形成Si-N-Si以及金属-N-Si键;
f.吹扫未吸附的含氮源;
其中所述工艺是等离子体增强或热工艺,并且重复步骤a至f直到达到期望厚度的膜。在一些实施方式中,可以在含金属前体之前引入卤硅烷前体。含氮源包括氨、肼、单烷基肼、二烷基肼、有机胺、有机二胺、氨等离子体、氮/氩等离子体、氮/氦等离子体或氢/氮等离子体及其组合。
在其中含硅膜被金属掺杂的实施方式中,含金属前体包含选自可用于本文所述的沉积工艺的烷基金属、羰基金属、金属氨化物和其它挥发性含金属化合物的金属化合物。示例性含金属化合物包括但不限于烷基金属,如二乙基锌,三甲基铝(TMA),三乙基铝(TEA),烷基准金属,如三甲基硼烷(TMB),三乙基硼烷(TEB),(2,4-二甲基戊二烯基)(乙基环戊二烯基)钌,双(2,4-二甲基戊二烯基)钌,(2,4-二甲基戊二烯基)(甲基环戊二烯基)钌,双(乙基环戊二烯基)钌;羰基金属,如六羰基叔丁基乙炔二钴(CCTBA)或环戊二烯基二羰基钴(CpCo(CO)2),Ru3(CO)12;金属氨化物,如三(二甲基氨基)铝(TDMAA),三(二乙基氨基)铝(TDEAA),四(二甲基氨基)锆(TDMAZ),四(二乙基氨基)锆(TDEAZ),四(乙基甲基氨基)锆(TEMAZ),四(二甲基氨基)铪(TDMAH),四(二乙基氨基)铪(TDEAH),和四(乙基甲基氨基)铪(TEMAH),四(二甲基氨基)钛(TDMAT),四(二乙基氨基)钛(TDEAT),四(乙基甲基氨基)钛(TEMAT),叔丁基亚氨基三(二乙基氨基)钽(TBTDET),叔丁基亚氨基三(二甲基氨基)钽(TBTDMT),叔丁基亚氨基三(乙基甲基氨基)钽(TBTEMT),乙基亚氨基三(二乙基氨基)钽(EITDET),乙基亚氨基三(二甲基氨基)钽(EITDMT),乙基亚氨基三(乙基甲基氨基)钽(EITEMT),叔戊基亚氨基三(二甲基氨基)钽(TAIMAT),叔戊基亚氨基三(二乙基氨基)钽,五(二甲基氨基)钽,叔戊基亚氨基三(乙基甲基氨基)钽,双(叔丁基亚氨基)双(二甲基氨基)钨(BTBMW),双(叔丁基亚氨基)双(二乙基氨基)钨,双(叔丁基亚氨基)双(乙基甲基氨基)钨;金属卤化物,如氯化钛,氯化铝(AlCl3),氯化硼(BCl3),四氯化铪,五氯化钽,六氯化钨,准金属氯化物,如氯化硼(BCl3),氯化镓,和准金属氨化物,如三(二甲基氨基)硼烷(TDMAB),三(二乙基氨基)硼烷(TDEAB),三(二甲基氨基)镓(TDMAG),三(二乙基氨基)镓(TDEAG)。
在整个说明书中,术语“烷基”表示具有1至10或1至6个碳原子的直链或支链官能团。示例性烷基包括但不限于甲基、乙基、丙基、异丙基、丁基、异丁基、仲丁基、叔丁基、戊基、异戊基、叔戊基、己基、异己基和新己基。在某些实施方式中,烷基可以具有与其连接的一个或多个官能团,例如但不限于烷氧基、二烷基氨基或其组合。在其它实施方式中,烷基不具有与其连接的一个或多个官能团。例如,烷基金属是具有至少一个金属-碳键的含金属化合物,例如三甲基铝、三正丙基铝、三异丙基铝、三仲丁基铝、三异丁基铝;烷基准金属,例如三甲基硼烷(TMB)、三乙基硼烷(TEB)、三甲基镓(TMGa)、三乙基镓(TEGa)。
在整个说明书中,术语“反应器”是指将衬底表面交替地暴露于一种或多种含硅前体、氧源、含氮源或含氢源的典型的单晶片式ALD反应器、半间歇式ALD反应器或间歇炉式ALD反应器。膜生长通过表面反应、每个前体或试剂的脉冲长度和沉积温度的自限式控制而进行。然而,一旦衬底表面饱和,则膜生长停止。在另一个实施方式中,通过将衬底移动或旋转至反应器的不同部分而使包括卤硅烷前体和反应性气体的各种反应物暴露于衬底,并且每个部分通过惰性气体幕而分隔(例如,空间ALD反应器或卷对卷式ALD反应器)。ALD样或PEALD样工艺在本文中定义为循环CVD或PECVD工艺,其在衬底上提供显示为具有以下中的至少一项的高保形氮化硅膜,例如氮化硅或碳氮化硅:如通过椭偏仪测量的不均匀性百分比为约5%或更小,沉积速率为或更大/循环,或其组合。
在整个说明书中,术语“含硅膜”是指选自硅、无定形硅、多晶硅、微晶硅、硼掺杂硅、锗掺杂硅、氧化硅、氮化硅、碳化硅、氮氧化硅、碳氮化硅、碳掺杂氮化硅、铝掺杂氮化硅、硼掺杂氮化硅、镓掺杂氮化硅和其他金属掺杂氮化硅的膜。
在本文所述的沉积方法中,沉积温度使用以下温度中的一个或多个作为端点:100、125、150、175、200、225、250、275、300、325、350、375、400、425、450、475和500℃。示例性沉积温度范围包括但不限于以下:约100℃至约500℃;或约200℃至约450℃。
如前所述,本文所述的方法可以用于在衬底的至少一部分上沉积含硅膜。合适的衬底的实例包括但不限于硅、SiO2、Si3N4、有机硅酸盐玻璃(OSG)、氟硅酸盐玻璃(FSG)、碳化硅、氢化碳化硅、氮化硅、氢化氮化硅、碳氮化硅、氢化碳氮化硅、氮化硼、抗反射涂层、光致抗蚀剂、锗、含锗物、含硼物、Ga/As、柔性衬底、有机聚合物、多孔有机和无机材料、金属(如铜、钨、铝、钴、镍、钽)、扩散屏障层(例如但不限于TiN、Ti(C)N、TaN、Ta(C)N、Ta、W、WC、WCN或WN)、金属氧化物(如氧化铪、氧化钛、氧化锆)、III/V族金属或准金属(如GaAs、InP、GaP和GaN)。膜与各种后续处理步骤(例如化学机械平面化(CMP)和各向异性蚀刻工艺)是相容的。
沉积的含硅膜具有应用,其包括但不限于计算机芯片、光学器件、磁性信息存储器、支撑材料或衬底上的涂层、微机电系统(MEMS)、纳米机电系统、薄膜晶体管(TFT)、发光二极管(LED)、有机发光二极管(OLED)、IGZO和液晶显示器(LCD)。所得固体氧化硅或碳掺杂氧化硅的潜在用途包括但不限于浅沟槽绝缘、中间层电介质、钝化层、蚀刻停止层、双间隔体的部分和用于图案化的牺牲层。
在某些实施方式中,所得氮化硅或含硅膜或涂层可以暴露于沉积后处理,例如但不限于等离子体后处理(如氢等离子体或氦等离子体或氩等离子体或其混合物)、化学处理、紫外线曝光、电子束曝光和/或影响膜的一个或多个性质的其它处理。
在一些特定实施方式中,含硅膜是氮化硅或金属掺杂氮化硅膜。在一些实施方式中,膜具有6或更低的介电常数。在这些或其他实施例中,膜可以具有约5或更低、或约4或更低、或约3.5或更低的介电常数。特别地,介电常数的范围可以为约2至约6、约3至约6、并且在一些情况下为约3至约5。然而,设想可以形成具有其它介电常数(例如,更高或更低)的膜,取决于膜的期望最终用途。
在另一个实施方式中,含硅膜是硅或金属掺杂硅膜。示例性硅膜包括但不限于无定形硅、多晶硅、微晶硅、锗掺杂硅、锗掺杂多晶硅、硼掺杂硅或硼掺杂多晶硅。
在另一个实施方式中,提供了用于沉积包含选自一氯乙硅烷(MCDS)、一溴乙硅烷(MBDS)、一碘乙硅烷(MIDS)、一氯丙硅烷(MCTS)和一溴丙硅烷(MBTS)、一碘丙硅烷(MITS)的卤硅烷前体的含硅物的容器。在一个特定实施方式中,容器包括至少一个可加压容器(优选具有不锈钢),其配有适当的阀和配件以允许将一种或多种前体递送到用于CVD或ALD工艺的反应器。在这个或其它实施方式中,将卤硅烷前体提供在由不锈钢构成的可加压容器中,并且前体的纯度为98重量%或更高或者99.5%或更高,其适合大多数半导体应用。在某些实施方式中,如果需要,这样的容器还可以具有用于将前体与一种或多种另外的前体混合的装置。在这些或其它实施方式中,一个或多个容器中的内容物可以与另外的前体预先混合。在其他实施方式中,容器具有能够以稳定状态容纳含硅物、以避免在储存或使用过程中的任何可能分解的内表面改性,其中所述内表面选自以下:
(a)由机械抛光产生的表面;
(b)由电解抛光产生的表面;
(c)由形成有机分子的疏水性保护层而产生的表面;
(d)由提供不锈钢的内表面而产生的表面;
(e)由提供铝的内表面而产生的表面;
(f)由提供镍的内表面而产生的表面;
(g)由聚合物涂层产生的表面;
(h)具有氧化硅涂层的表面;
(i)具有分子地键合至金属的晶体碳层的表面;
(j)具有金属氟化物的钝化层的表面;
(k)具有通过暴露于硅烷而键合至金属的硅烷的钝化层的表面;
(l)具有失活的羟基的表面。
在本文所述发明的又一个方面,本文描述了用于在一种或多种催化剂存在下,从包含乙硅烷(Si2H6)或丙硅烷(Si3H8)和氯化氢(HCl)的反应混合物,制备具有式Si2H5Cl(一氯乙硅烷)或Si3H7Cl(一氯丙硅烷)的卤硅烷前体的方法。在其中使用乙硅烷作为原料的实施方式中,由于乙硅烷的一个硅原子的多次氯化或乙硅烷的两个硅原子的单次氯化的可能性,因此二氯乙硅烷的结构异构体以1,1-二氯-和1,2-二氯-形式存在。在一个这样的实施方式中,提供了用于制备包含选自一氯乙硅烷、1,1-二氯乙硅烷、1,2-二氯乙硅烷及其组合的至少一种的最终产物的方法,所述方法包括:提供乙硅烷和氯化氢的反应混合物;和使所述反应混合物与催化剂在一定温度和一定时间下接触以提供所述最终产物,其中所述催化剂包含选自沸石、分子筛和负载在惰性载体上的金属氯化物中的至少一种。
本文所述的方法通过乙硅烷和氯化氢在所选择的催化剂上的反应,克服了用于以20摩尔%或更高、或者更优选50摩尔%或更高(基于乙硅烷的使用)的产率选择性地制备氯化乙硅烷(如一氯乙硅烷、1,1-二氯乙硅烷、1,2-二氯乙硅烷、或一氯乙硅烷和二氯乙硅烷的组合)的规模可变方法的缺失。在一个实施方式中,催化剂由分子筛或沸石组成。本文所述的方法使用催化剂选择、催化剂接触时间、温度、压力及其组合以选择性地形成一种氯乙硅烷超过另外的氯乙硅烷,并且有利地使副产物浓度适应下游加工操作(即纯化)。因此,本文所述的方法允许以适于工业的规模从商品化学品和廉价催化剂直接合成一氯乙硅烷、二氯乙硅烷或其组合,而没有现有技术中描述用于制备氯化乙硅烷的方法所呈现的复杂性(例如乙硅烷与单质氯直接反应的显著安全问题)和缺点(例如氯化银的高成本)。
在一个实施方式中,最终产物一氯乙硅烷或二氯乙硅烷可以根据方程式1和2通过使包含乙硅烷和无水氯化氢的反应混合物与酸性沸石催化剂接触而生产。
Si2H6+HCl→Si2H5Cl+H2 (方程式1)
Si2H6+2HCl→Si2H4Cl2+2H2 (方程式2)
在这个或其它实施方式中,本文所述的方法可以通过优化流系统中反应气体混合物与催化剂之间的接触时间而以高选择性和产率连续进行,以使前体消耗最大化,同时使副产物形成最小化。最终产物的组成和产率可以通过改变以下条件中的一个或多个而优化:HCl/乙硅烷进料比、气体-催化剂接触(保留时间)、催化剂组成(包括大小、孔隙率、载体和预处理程序)、温度和压力。
在一个实施方式中,反应在填充床反应器或一系列填充床反应器中进行,并且催化剂是固体酸。在一个特定实施方式中,固体酸催化剂可以由沸石或分子筛组成。合适的沸石催化剂的实例包括但不限于结构化沸石,如Y-型(FAU)、丝光沸石(MOR)、ZSM-5(MFI)、ZSM-11(MEL)、ZSM-12(MTW)、MCM-22(MWW)、沸石β(BEA),以及其它具有限定的Si/Al比率的合适的催化剂(例如非无定形铝硅酸盐),及其组合。Si/Al比率的范围可以为约10至约200、或约10至约100,但优选为约10至约60。
与沸石相关的阳离子包括但不限于质子、碱金属阳离子、碱土金属(alkalinemetal)阳离子、三价金属阳离子和络合阳离子(例如铵)。催化剂性能在活性、选择性和/或寿命方面的增强也可以在某些实施方式中通过在催化剂中引入一种或多种另外的催化金属而获得。在这些或其他实施方式中,可以采用包含金属(例如但不限于铂、钯、银或铁)。
在用于制备卤硅烷前体的方法的这个实施方式或其他实施方式中,合成反应在约20℃至约200℃范围的一个或多个温度下发生。用于合成范围的特定反应器温度的实例包括但不限于20℃至200℃或80℃至140℃。
在本文所述的合成方法的某些实施方式中,反应压力的范围可以为约0.1至约115psia(1至800kPa)或10至45psia(70至310kPa)。在一个特定实施方式中,反应在约15至约20psia范围的压力下运行。
在合成方法的某些优选实施方式中,反应混合物中的试剂是气态的。在这些实施方式中,催化剂与反应混合物的接触时间可以通过反应物(例如乙硅烷和HCl)气体流速进行调节。气体-催化剂接触时间的范围可以为约5秒至约200秒。反应性混合物与催化剂接触的示例性时间包括具有以下端点中的任何一个或多个的范围:5、10、20、30、40、50、60、70、80、90、100、110、120、130、140、150、160、170、180、190或200秒。特定接触时间范围的实例包括但不限于20至100秒或10至40秒。
反应混合物内的HCl/乙硅烷进料比可以选自0.5至3.5,优选0.5至2.0的范围,其中较低的值通常有利于一氯乙硅烷产物,而较高的值有利于更加高度氯化的乙硅烷,包括但不限于1,1-二氯乙硅烷和1,2-二氯乙硅烷。
在某些实施方式中,选择催化剂孔隙率以有利于一种氯硅烷产物超过其它可能的氯硅烷产物。例如,具有较小孔径的多孔沸石将产生一氯乙硅烷超过更加高度氯化的乙硅烷。在另一个实施方式中,具有不同酸度水平的酸性沸石有利于产生一种氯硅烷产物超过其他可能的氯硅烷产物。在又一个实施方式中,本发明包括调节酸位点的浓度和强度(例如,具有不同酸位点浓度或酸强度的酸性沸石可以有利于产生一种氯硅烷产物超过其它可能的氯硅烷产物)。
在一个优选实施方式中,氯化乙硅烷产物在一个温度下在冷凝器中被捕获,而未反应的乙硅烷在第二较低温度下被捕获。然后捕获的乙硅烷作为用于生产氯乙硅烷的原料被再循环到方法中。氯乙硅烷冷凝器的温度范围为约0℃至约-50℃。在一个特定实施方式中,氯乙硅烷冷凝器的温度是-30℃。乙硅烷冷凝器的温度范围为约-50℃至约-120℃。在一个特定实施方式中,乙硅烷冷凝器的温度是-80℃。在另一个特定实施方式中,本发明包括H2和HCl的排放,而未反应的乙硅烷在第二冷却器冷凝器中被捕获,这允许未反应的HCl和H2副产物通过直至涤气系统。
在一个特定实施方式中,最终产物卤硅烷化合物基本上不含含卤化物杂质,例如但不限于HCl、HBr、HI、金属卤化物和准金属卤化物。术语“基本上不含”是指最终产物卤硅烷化合物包含2重量%或更少、1重量%或更少、0.5重量%或更少、0.1重量%或更少、500百万分之一(ppm)或更少、100ppm或更少、50ppm或更少、10ppm或更少和5ppm或更少的一种或多种卤离子或卤化氢。已知某些卤离子(例如氯化物)用作卤硅烷化合物的分解催化剂以及不利于电子器件的性能的潜在污染物。卤硅烷化合物的逐渐降解可能直接影响膜沉积方法,使得半导体制造商难以满足膜规格。此外,保质期或稳定性受到卤硅烷化合物的更高降解速率的负面影响,由此影响本发明化合物和组合物的使用寿命(例如,1-2年保质期,其是给定压力下的材料降解的函数)。降解产物压力可以随副产品气体浓度增加(例如硅烷和乙硅烷)而实质性增加。因此,卤硅烷化合物的加速分解提出了与这些可燃和/或自燃气体副产物(如硅烷)的形成有关的安全和性能顾虑。
基本上不含卤化物的根据本发明的组合物可以通过实施有效的纯化过程以从粗产物中除去卤化物(例如但不限于氯化物),使得最终纯化产物基本上不含卤化物、氯化氢、HBr、HI和其他金属卤化物和准金属卤化物而获得。粗产物还可以通过各种纯化方法处理,以使最终产物基本上不含卤化物(如氯化物)。这样的方法在现有技术中充分描述,并且可以包括但不限于纯化方法,如蒸馏、过滤或吸附。蒸馏常用于通过利用沸点差异分离杂质与期望产物。吸附也可以用于利用组分的吸附性质的差异实现分离,使得最终产物基本上不含卤化物。吸附剂(例如可商购MgO-Al2O3掺和物)可用于除去卤化物(如氯化氢(HCl)),其可用作原料或作为副产物产生。
在其中使用HCl作为试剂合成卤硅烷化合物的一个实施方式中,氯化乙硅烷产物通过在其中氯化乙硅烷产物处于液相的温度下使惰性气体流通过氯化乙硅烷产物的容器而纯化。合适的惰性气体包括但不限于氦气、氩气和氮气。容器中的氯化乙硅烷产物的温度范围为约0℃至约-80℃。在一个特定实施方式中,氯化乙硅烷产物的温度是-30℃。在另一个实施方式中,含有乙硅烷杂质的所得惰性气体流可以在冷凝器中被捕获并作为原料再循环到方法,用于生产氯乙硅烷。在一些实施方式中,乙硅烷可以被再循环返回到反应器中。
在这个或其他实施方式中,氯化乙硅烷产物通过分馏纯化。分馏可以在其中分离氯化乙硅烷以产生纯化产物(如一氯乙硅烷)的温度和压力条件下进行。在一个实施方式中,在初始纯化之后,通过使惰性气体流通过氯化乙硅烷产物的容器进行分馏。在另外的实施方式中,进行分馏,而不进行氯化乙硅烷的任何其它纯化。为了限制产物降解,在一些实施方式中,优先在部分真空的条件下完成蒸馏以降低重沸器中馏出物馏分的沸点和粗产物的温度。在其他实施方式中,蒸馏可以在高于一个大气压的压力下进行。分馏压力的合适压力范围为约10至约1500托,实例包括50、100、200、300、400、500、600、700、800、900和1000托。选择再沸器温度以在选择的压力条件下维持温和回流,并且范围可以为约-80℃至约120℃。在本发明的一个实施方式中,合适的再沸器温度的实例是-78、-60、-40、-20、0、10、20、30、40、50、60和70℃。在本发明的另一个实施方式中,蒸馏重沸器的残余物包含选自Si2H3Cl3、Si3H6Cl2、Si2H2Cl4、Si3H5Cl3和Si3H4Cl4中的至少一个成员,由此证实本发明的蒸馏方法可以除去氯化、溴和碘杂质。
本发明的某些方面通过以下实施例说明。这些实施例不应限制所附权利要求的范围。
实施例
实施例1:在沸石H-ZSM-5/不锈钢填充管式反应器中通过HCl与乙硅烷的反应连续合成一氯乙硅烷
用粒状沸石H-ZSM-5(沸石ZSM-5H-ZSM-5(Si/Al=25)的酸形式)的12”床填充1”内直径的管式反应器,催化剂床填充成不锈钢丸(2mm直径)的浓度逐渐降低的四个区域。从催化剂床的前端开始的三个区域中的不锈钢丸/催化剂比率为3:1、2:1、1:1。用未稀释的催化剂填充第四个区域。在氮气流下加热催化剂8小时到250℃以使催化剂脱水。在向反应器中加入HCl之前启动乙硅烷流(50sccm)和氦气(50sccm)流。表1总结了一系列实验的数据。在所有实验中,反应器中的压力为约1个大气压。第二栏中的温度对应于反应器的外部表皮温度,以℃表示。标记为区域1-3的栏中的数据是在催化剂床中的三个位置测量的温度,以℃表示,区域1在催化剂床的前端,区域2在催化剂床的中心,且区域3在催化剂床的末端。气体流以标准立方厘米每分钟(sccm)测量。报告的转化率是转化为一氯乙硅烷或更加高度氯化的乙硅烷的乙硅烷的百分比。选择性被定义为包含一氯乙硅烷的氯化乙硅烷的百分比。计算的产率是转化率乘以选择性。
表1:在沸石H-ZSM-5/不锈钢填充管式反应器中通过HCl与乙硅烷的反应连续合成一氯乙硅烷。
表1中的数据证实催化剂接触时间(保留时间)、氯化氢/乙硅烷比率和温度对使用H-ZSM-5催化剂的MCDS产率的影响。实验1和2表明,氦稀释流的小的变化对MCDS产率具有小的影响。然而,实验6-9显示,在不存在氦的情况下基于总HCl/乙硅烷流的保留时间的变化确实影响转化率和选择性二者。转化率和选择性的变化相互抵消,因为降低保留时间(增加流)提高了乙硅烷选择性(从74.2%增加到82.4%),但降低了转化率(从61.7%降低到58.9%),导致作为保留时间的函数的产率的温和变化。实验4和5证实使用较高的HCl/乙硅烷比率增加了转化率,但降低了选择性,得到与较低的HCl/乙硅烷比率相同的有效一氯乙硅烷产率。实验6-9中产生的数据显示了催化剂床温度对乙硅烷转化率的影响。通过实验6-9,催化剂床温度的小的下降导致较低的转化率,同时维持基本上恒定的选择性,导致在较低床温下的较低产率。
实施例2:在沸石HY填充管式反应器中通过HCl与乙硅烷的反应连续合成一氯乙硅烷
用沸石HY(沸石Y的酸形式)的12”床填充1”内直径的管式反应器。在氮气流下加热催化剂8小时到250℃以使催化剂脱水。在向反应器中加入HCl之前启动乙硅烷流(50sccm)和氦气流(50sccm)。表2总结了一系列实验的数据。在所有实验中,反应器中的压力为约1个大气压。第二栏中的温度对应于反应器的外部表皮温度,以℃表示。标记为区域1-3的栏中的数据是在催化剂床中的三个位置测量的温度,以℃表示,区域1在催化剂床的前端,区域2在催化剂床的中心,且区域3在催化剂床的末端。乙硅烷和HCl的气流从25sccm到150sccm变化。在各种实验中,氦流从0sccm到100sccm变化。报告的转化率是转化为一氯乙硅烷或更加高度氯化的乙硅烷的乙硅烷的百分比。选择性被定义为包含一氯乙硅烷的氯化乙硅烷的百分比。计算的产率是转化率乘以选择性。
表2:在沸石HY填充管式反应器中通过HCl与乙硅烷的反应连续合成一氯乙硅烷
实施例2的实验1-5中的数据显示,对于一氯乙硅烷相比更加高度氯化的乙硅烷的生产,沸石HY具有比H-ZSM-5高得多的选择性。然而,与H-ZSM-5相比,转化率在相似反应条件下对于沸石HY更低。床温增加大约10-15℃(实验4和5)对MCDS产率具有可忽略的影响。
实施例3:在300℃下使用一氯乙硅烷(MCDS)和氨(NH3)等离子体沉积含硅和氮的膜
使用Applied Materials Precision 5000系统在200mm DXL室中以循环方式进行实验以模拟ALD工艺。PECVD室配有直接液体注入(DLI)输送能力和蒸气抽吸输送系统。在以下实施例中,化学品通过蒸气抽取系统输送,温度取决于前体的沸点。沉积条件如下:典型的前体蒸气流速范围为约10至约100标准立方厘米(sccm);等离子体功率密度范围为约0.75至约3.5瓦/平方厘米(W/cm2);并且压力范围为约0.75至约15托。通过反射计(SCI-2000)和椭偏仪(J.A.Woollam M2000UI)测量632nm处的厚度和折射率(RI)。典型的膜厚度范围为10至200nm。通过Nicolet透射傅里叶变换红外光谱(FTIR)工具测量和分析硅基膜的键合性质氢含量(Si-H、C-H和N-H)。所有密度测量均使用X射线反射率(XRR)完成。进行X射线光电子能谱(XPS)和二次离子质谱(SIMS)分析以确定膜的元素组成。采用水银探头测定电性质,包括介电常数、漏电流和击穿场。在1:100稀HF中测量湿蚀刻速率(WER)。
在P-5000DXL室上进行等离子体增强原子层沉积(PEALD)样工艺。在这个实验中,MCDS以20sccm的速率流动,然后进行浸泡步骤和多个吹扫/泵送步骤。然后,用100sccm He和100sccm NH3流建立600W等离子体20秒。该过程重复直到330个循环。工艺晶片温度为300℃。
膜厚度为约87nm,表明沉积速率或生长速率为2.6埃/循环(循环)。折射率为1.83,表明膜是高质量氮化硅膜。在FT-IR光谱中,Si-N峰在850cm-1附近尖锐且窄。膜密度为约2.45克/立方厘米(g/cm3)。
测量漏电流和击穿场以评估膜性质。漏电流在2MV/cm处为1E-8A/cm2,而在4MV/cm处为1E-6A/cm2。击穿场大于8MV/cm。
还将PEALD膜沉积到具有宽度为250纳米(nm)和纵横比为20:1的特征的图案晶片上。图1提供了使用实施例3所述的方法沉积的氮化硅膜的扫描电子显微镜(SEM),其显示膜的保形性在特征的底部处大于70%且接近100%。
实施例4:在250℃下使用一氯乙硅烷(MCDS)和氨(NH3)等离子体沉积含硅和氮的膜
采用与实施例3中相同的参数,在250℃下在P-5000DXL室上进行等离子体增强原子层沉积(PEALD)样工艺。沉积速率为循环,折射率为1.75~1.76。膜密度为2.36g/cm3。横截面SEM也表明250℃的PEALD氮化物提供了良好的台阶覆盖率。膜覆盖率在侧壁上大于80%。
实施例5:在500℃下使用一氯乙硅烷(MCDS)沉积无定形硅膜
在P-5000DXL室上进行热原子层沉积(ALD)样工艺。在这个实验中,MCDS以20sccm的速率流动20秒,然后进行浸泡步骤和吹扫/泵送步骤。该过程重复直到35个循环。工艺晶片温度为500℃。
拉曼光谱上在482cm-1处的峰表示形成无定形硅膜。膜密度为2.20g/cm3。从横截面SEM图像可以看出,在特征的顶部上比在侧壁上沉积更多的膜。CVD成分被包括在该工艺中,导致粗糙的表面,RMS为1.6nm。
通过XPS谱分析,在无定形硅层的一些区域中检测到一些氯信号。否则,膜含有仅具有轻微氧化的硅。
实施例6:在390℃下使用一氯乙硅烷(MCDS)沉积无定形硅膜
在390℃下在P-5000DXL室上进行319个循环的ALD工艺。在硅衬底上沉积100nm的膜。拉曼光谱中在479cm-1处的峰表明存在无定形硅膜。横截面图像显示顶部上的沉积显著减少。膜具有低得多的RMS粗糙度,即0.2~0.4nm。XPS谱分析测试没有检测到膜中的任何氯信号,表明形成了纯的无定形硅膜。
虽然已经参考某些方面或实施方式描述了本发明,但是本领域技术人员将理解,在不脱离本发明的范围的情况下,可以做出各种改变并且可以用等同物替换其要素。此外,在不脱离本发明的基本范围的情况下,可以进行许多修改以适应本发明的教导。因此,旨在使本发明并不局限于作为设想用于实施本发明的最佳模式公开的特定实施方式,而是旨在使本发明将包括落入所附权利要求的范围内的所有实施方式。

Claims (20)

1.一种用于通过选自化学气相沉积工艺和原子层沉积工艺的沉积工艺在衬底的至少一个表面上形成氮化硅或碳氮化硅膜的方法,所述方法包括:
在反应器中提供所述衬底的所述至少一个表面;
引入选自一氯乙硅烷(MCDS)、一溴乙硅烷(MBDS)、一碘乙硅烷(MIDS)、一氯丙硅烷(MCTS)和一溴丙硅烷(MBTS)、一碘丙硅烷(MITS)的至少一种卤硅烷前体;和
将含氮源引入所述反应器中,其中所述至少一种含硅前体与所述含氮源反应以在所述至少一个表面上形成所述氮化硅。
2.根据权利要求1所述的方法,其中所述含氮源选自氨、肼、单烷基肼、二烷基肼、有机胺、有机二胺、氨等离子体、肼等离子体、氮等离子体、氮/氢等离子体、有机胺等离子体、有机二胺等离子体及其混合物。
3.根据权利要求2所述的方法,其中所述等离子体是原位产生的。
4.根据权利要求2所述的方法,其中所述等离子体是远程产生的。
5.根据权利要求1所述的方法,其中所述氮化硅膜选自氮化硅和碳氮化硅。
6.根据权利要求1所述的方法,其还包括将含金属前体引入所述反应器中以沉积金属掺杂的氮化硅膜。
7.根据权利要求6所述的方法,其中所述含金属前体选自氯化铝(AlCl3)、三甲基铝、三乙基铝、三(二甲基氨基)铝、三(二乙基氨基)铝、氯化硼(BCl3)、三甲基硼烷、三甲基硼、三乙基硼烷、三(二甲基氨基)硼烷、三(二乙基氨基)硼烷、氯化镓(GaCl3)、三甲基镓、三甲基镓、三乙基镓、三(二甲基氨基)镓、三(二乙基氨基)镓。
8.一种用于制备选自一氯乙硅烷(MCDS)、一溴乙硅烷(MBDS)、一碘乙硅烷(MIDS)、一氯丙硅烷(MCTS)、一溴丙硅烷(MBTS)和一碘丙硅烷(MITS)的卤硅烷化合物的方法,所述方法包括:
提供选自乙硅烷或丙硅烷的聚硅烷和选自氯化氢和溴化氢的卤化氢的反应混合物;和
使所述反应混合物与催化剂在一定温度和一定时间下接触以提供卤硅烷最终产物,其中所述催化剂包含选自分子筛、包含AlCl3的二氧化硅载体、包含AlnCl(3n+1)的离子液体,其中n≥1,及其组合中的至少一种。
9.一种用于通过选自化学气相沉积工艺和原子层沉积工艺的沉积工艺在衬底的至少一个表面上形成硅膜的方法,所述方法包括:
在反应器中提供所述衬底的所述至少一个表面;
引入包含选自一氯乙硅烷(MCDS)、一溴乙硅烷(MBDS)、一碘乙硅烷(MIDS)、一氯丙硅烷(MCTS)和一溴丙硅烷(MBTS)、一碘丙硅烷(MITS)中的至少一个成员的至少一种卤硅烷前体;和
任选地将含氢源引入到所述反应器中,其中所述至少一种含硅前体与所述含氢源反应以在所述至少一个表面上形成所述硅膜。
10.根据权利要求9所述的方法,其中所述含氢源选自氢等离子体、氢/氩等离子体、氢/氦等离子体、氢、氢/氩、氢/氦、氢/氯化氢、氯化氢及其混合物。
11.根据权利要求9所述的方法,其中所述等离子体是原位产生的。
12.根据权利要求9所述的方法,其中所述等离子体是远程产生的。
13.根据权利要求9所述的方法,其中所述硅膜选自无定形硅、多晶硅、微晶硅、硼掺杂硅、锗掺杂硅。
14.一种容器,所述容器包含一定体积的卤硅烷前体化合物,所述容器具有能够以稳定状态容纳所述卤硅烷前体化合物的内表面改性,
其中所述内表面选自以下:
(a)由机械抛光产生的表面;
(b)由电解抛光产生的表面;
(c)由形成有机分子的疏水性保护层而产生的表面;
(d)由提供不锈钢的内表面而产生的表面;
(e)由提供铝的内表面而产生的表面;
(f)由提供镍的内表面而产生的表面;
(g)由聚合物涂层产生的表面;
(h)具有氧化硅涂层的表面;
(i)具有分子地键合至所述金属的晶体碳层的表面;
(j)具有金属氟化物的钝化层的表面;
(k)具有通过暴露于硅烷而键合至金属的硅烷的钝化层的表面;
(l)具有失活的羟基的表面;和
其中所述体积的所述卤硅烷前体化合物选自一氯乙硅烷(MCDS)、一溴乙硅烷(MBDS)、一碘乙硅烷(MIDS)、一氯丙硅烷(MCTS)和一溴丙硅烷(MBTS)、一碘丙硅烷(MITS)。
15.一种包含一氯乙硅烷(MCDS)、一溴乙硅烷(MBDS)、一碘乙硅烷(MIDS)、一氯丙硅烷(MCTS)和一溴丙硅烷(MBTS)、一碘丙硅烷(MITS)的组合物;其中所述组合物基本上不含由卤离子、卤化氢或其组合组成的至少一种杂质。
16.根据权利要求15所述的组合物,其中所述组合物是一氯乙硅烷(MCDS),其基本上不含包含所述卤化氢的至少一种杂质。
17.根据权利要求15所述的组合物,其中所述组合物是一氯乙硅烷(MCDS),其中所述卤化氢是氯化氢。
18.根据权利要求8所述的方法,其中所述分子筛包含选自沸石H-ZSM-5和沸石H-Y的至少一个成员。
19.一种根据权利要求1所述的方法在衬底上形成的氮化硅膜。
20.一种根据权利要求9所述的方法在衬底上形成的硅膜。
CN201680034649.2A 2015-06-16 2016-06-14 卤硅烷化合物和组合物以及用于使用其沉积含硅膜的方法 Pending CN107889510A (zh)

Priority Applications (1)

Application Number Priority Date Filing Date Title
CN202410125885.1A CN118007094A (zh) 2015-06-16 2016-06-14 卤硅烷化合物和组合物以及用于使用其沉积含硅膜的方法

Applications Claiming Priority (5)

Application Number Priority Date Filing Date Title
US201562180382P 2015-06-16 2015-06-16
US62/180,382 2015-06-16
US201562181494P 2015-06-18 2015-06-18
US62/181,494 2015-06-18
PCT/US2016/037370 WO2016205196A2 (en) 2015-06-16 2016-06-14 Halidosilane compounds and compositions and processes for depositing silicon-containing films using same

Related Child Applications (1)

Application Number Title Priority Date Filing Date
CN202410125885.1A Division CN118007094A (zh) 2015-06-16 2016-06-14 卤硅烷化合物和组合物以及用于使用其沉积含硅膜的方法

Publications (1)

Publication Number Publication Date
CN107889510A true CN107889510A (zh) 2018-04-06

Family

ID=56297107

Family Applications (2)

Application Number Title Priority Date Filing Date
CN201680034649.2A Pending CN107889510A (zh) 2015-06-16 2016-06-14 卤硅烷化合物和组合物以及用于使用其沉积含硅膜的方法
CN202410125885.1A Pending CN118007094A (zh) 2015-06-16 2016-06-14 卤硅烷化合物和组合物以及用于使用其沉积含硅膜的方法

Family Applications After (1)

Application Number Title Priority Date Filing Date
CN202410125885.1A Pending CN118007094A (zh) 2015-06-16 2016-06-14 卤硅烷化合物和组合物以及用于使用其沉积含硅膜的方法

Country Status (7)

Country Link
US (3) US11268190B2 (zh)
EP (2) EP3310942B1 (zh)
JP (1) JP6959147B2 (zh)
KR (2) KR102393833B1 (zh)
CN (2) CN107889510A (zh)
TW (2) TWI663281B (zh)
WO (1) WO2016205196A2 (zh)

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20210395896A1 (en) * 2018-09-28 2021-12-23 Corning Incorporated Methods for sub-austenite transformation temperture deposition of inorganic particles and articles produced by the same
CN114174217A (zh) * 2019-08-08 2022-03-11 施米德硅晶片科技有限责任公司 用于制备含硅材料的方法和装置

Families Citing this family (13)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2016205196A2 (en) * 2015-06-16 2016-12-22 Air Products And Chemicals, Inc. Halidosilane compounds and compositions and processes for depositing silicon-containing films using same
US10867788B2 (en) * 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10242866B2 (en) * 2017-03-08 2019-03-26 Lam Research Corporation Selective deposition of silicon nitride on silicon oxide using catalytic control
US10867859B2 (en) * 2017-11-17 2020-12-15 Taiwan Semiconductor Manufacturing Co., Ltd. Methods of fabricating semiconductor devices having isolation structures with liners
JP6787875B2 (ja) * 2017-12-20 2020-11-18 株式会社Kokusai Electric 半導体装置の製造方法、基板処理装置、およびプログラム
US10483099B1 (en) * 2018-07-26 2019-11-19 Asm Ip Holding B.V. Method for forming thermally stable organosilicon polymer film
TW202026452A (zh) 2018-10-04 2020-07-16 日商Adeka股份有限公司 原子層堆積法用薄膜形成用原料、薄膜形成用原料、薄膜之製造方法及化合物
KR102671466B1 (ko) * 2018-11-13 2024-06-03 주성엔지니어링(주) 저온 결정질 실리콘 형성방법
CN113166932A (zh) 2018-12-13 2021-07-23 应用材料公司 用于沉积磷掺杂的氮化硅膜的方法
JP7246284B2 (ja) * 2019-08-15 2023-03-27 東京エレクトロン株式会社 成膜方法
CN112802737A (zh) * 2019-11-13 2021-05-14 东京毅力科创株式会社 基片处理方法和基片处理装置
WO2023133075A1 (en) * 2022-01-07 2023-07-13 Lam Research Corporation Silicon nitride deposition
JP2024048047A (ja) * 2022-09-27 2024-04-08 東京エレクトロン株式会社 基板処理方法

Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20080026149A1 (en) * 2006-05-31 2008-01-31 Asm America, Inc. Methods and systems for selectively depositing si-containing films using chloropolysilanes
CN102024880A (zh) * 2009-09-11 2011-04-20 气体产品与化学公司 用于薄膜硅光电装置的硅烷的添加剂
CN104046955A (zh) * 2013-03-14 2014-09-17 Asmip控股有限公司 用于在低温下沉积SiN的Si前体

Family Cites Families (47)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPS6014248A (ja) * 1983-07-06 1985-01-24 Fuji Photo Film Co Ltd 電子写真用感光体
US6313035B1 (en) * 1996-05-31 2001-11-06 Micron Technology, Inc. Chemical vapor deposition using organometallic precursors
KR20010032764A (ko) * 1997-12-02 2001-04-25 베리 아이클스 아이오도사일렌 전구체로부터 형성된 실리콘계 필름과 그제조방법
JP5005170B2 (ja) * 2002-07-19 2012-08-22 エーエスエム アメリカ インコーポレイテッド 超高品質シリコン含有化合物層の形成方法
US7540920B2 (en) * 2002-10-18 2009-06-02 Applied Materials, Inc. Silicon-containing layer deposition with silicon compounds
US7531679B2 (en) * 2002-11-14 2009-05-12 Advanced Technology Materials, Inc. Composition and method for low temperature deposition of silicon-containing films such as films including silicon nitride, silicon dioxide and/or silicon-oxynitride
US20050145177A1 (en) * 2003-12-30 2005-07-07 Mcswiney Michael Method and apparatus for low temperature silicon nitride deposition
US20050181633A1 (en) * 2004-02-17 2005-08-18 Hochberg Arthur K. Precursors for depositing silicon-containing films and processes thereof
US20050287747A1 (en) 2004-06-29 2005-12-29 International Business Machines Corporation Doped nitride film, doped oxide film and other doped films
JP4179311B2 (ja) 2004-07-28 2008-11-12 東京エレクトロン株式会社 成膜方法、成膜装置及び記憶媒体
US7775508B2 (en) 2006-10-31 2010-08-17 Applied Materials, Inc. Ampoule for liquid draw and vapor draw with a continuous level sensor
DE102007050573A1 (de) 2007-10-23 2009-04-30 Evonik Degussa Gmbh Großgebinde zur Handhabung und für den Transport von hochreinen und ultra hochreinen Chemikalien
US7939447B2 (en) * 2007-10-26 2011-05-10 Asm America, Inc. Inhibitors for selective deposition of silicon containing films
US7772097B2 (en) * 2007-11-05 2010-08-10 Asm America, Inc. Methods of selectively depositing silicon-containing films
JP2009260151A (ja) * 2008-04-18 2009-11-05 Tokyo Electron Ltd 金属ドープ層の形成方法、成膜装置及び記憶媒体
US8298628B2 (en) 2008-06-02 2012-10-30 Air Products And Chemicals, Inc. Low temperature deposition of silicon-containing films
US20100119734A1 (en) 2008-11-07 2010-05-13 Applied Materials, Inc. Laminar flow in a precursor source canister
US8168123B2 (en) * 2009-02-26 2012-05-01 Siliken Chemicals, S.L. Fluidized bed reactor for production of high purity silicon
US8206676B2 (en) * 2009-04-15 2012-06-26 Air Products And Chemicals, Inc. Method for making a chlorosilane
US8367528B2 (en) * 2009-11-17 2013-02-05 Asm America, Inc. Cyclical epitaxial deposition and etch
JP2011108921A (ja) * 2009-11-19 2011-06-02 Toshiba Corp 不揮発性半導体記憶装置及びその製造方法
US8590705B2 (en) 2010-06-11 2013-11-26 Air Products And Chemicals, Inc. Cylinder surface treated container for monochlorosilane
EP2492251B1 (de) * 2011-02-23 2017-01-04 Schott Ag Substrat mit Antireflexionsbeschichtung und Verahren zu dessen Herstellung
JP6199292B2 (ja) * 2011-09-23 2017-09-20 ノベラス・システムズ・インコーポレーテッドNovellus Systems Incorporated プラズマ活性化されるコンフォーマル誘電体膜
US8993072B2 (en) * 2011-09-27 2015-03-31 Air Products And Chemicals, Inc. Halogenated organoaminosilane precursors and methods for depositing films comprising same
US9337018B2 (en) * 2012-06-01 2016-05-10 Air Products And Chemicals, Inc. Methods for depositing films with organoaminodisilane precursors
US9299956B2 (en) * 2012-06-13 2016-03-29 Aixtron, Inc. Method for deposition of high-performance coatings and encapsulated electronic devices
US9938303B2 (en) 2012-07-20 2018-04-10 American Air Liquide, Inc. Organosilane precursors for ALD/CVD silicon-containing film applications
WO2014105557A1 (en) * 2012-12-27 2014-07-03 First Solar, Inc. Method and system for in-line real-time measurements of layers of multilayered front contacts of photovoltaic devices and calculation of opto-electronic properties and layer thicknesses thereof
US20140273531A1 (en) * 2013-03-14 2014-09-18 Asm Ip Holding B.V. Si PRECURSORS FOR DEPOSITION OF SiN AT LOW TEMPERATURES
US9796739B2 (en) * 2013-06-26 2017-10-24 Versum Materials Us, Llc AZA-polysilane precursors and methods for depositing films comprising same
JP6116007B2 (ja) * 2013-09-13 2017-04-19 株式会社Adeka 薄膜形成用原料及び薄膜の製造方法
US10453675B2 (en) * 2013-09-20 2019-10-22 Versum Materials Us, Llc Organoaminosilane precursors and methods for depositing films comprising same
JP6267080B2 (ja) 2013-10-07 2018-01-24 東京エレクトロン株式会社 シリコン窒化物膜の成膜方法および成膜装置
US20150125628A1 (en) * 2013-11-06 2015-05-07 Asm Ip Holding B.V. Method of depositing thin film
US10023958B2 (en) 2013-11-22 2018-07-17 Applied Materials, Inc. Atomic layer deposition of films comprising silicon, carbon and nitrogen using halogenated silicon precursors
US9233990B2 (en) * 2014-02-28 2016-01-12 Air Products And Chemicals, Inc. Organoaminosilanes and methods for making same
US9777025B2 (en) * 2015-03-30 2017-10-03 L'Air Liquide, Société pour l'Etude et l'Exploitation des Procédés Georges Claude Si-containing film forming precursors and methods of using the same
JP6529348B2 (ja) * 2015-06-05 2019-06-12 株式会社Kokusai Electric 半導体装置の製造方法、基板処理装置およびプログラム
WO2016205196A2 (en) * 2015-06-16 2016-12-22 Air Products And Chemicals, Inc. Halidosilane compounds and compositions and processes for depositing silicon-containing films using same
US20170053792A1 (en) * 2015-08-21 2017-02-23 Applied Materials, Inc. High Temperature Thermal ALD Silicon Nitride Films
US10283348B2 (en) * 2016-01-20 2019-05-07 Versum Materials Us, Llc High temperature atomic layer deposition of silicon-containing films
CN110178201B (zh) * 2017-01-13 2023-06-16 应用材料公司 用于低温氮化硅膜的方法及设备
JP7061432B2 (ja) * 2017-02-16 2022-04-28 レール・リキード-ソシエテ・アノニム・プール・レテュード・エ・レクスプロワタシオン・デ・プロセデ・ジョルジュ・クロード Si含有膜の成膜方法
KR102541454B1 (ko) * 2018-04-26 2023-06-09 삼성전자주식회사 저유전막의 형성 방법, 및 반도체 소자의 형성방법
US11572620B2 (en) * 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
KR20210094694A (ko) * 2020-01-21 2021-07-30 삼성전자주식회사 기판 처리 장치, 물질막 증착 장치, 및 상압 화학 기상 증착 장치

Patent Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20080026149A1 (en) * 2006-05-31 2008-01-31 Asm America, Inc. Methods and systems for selectively depositing si-containing films using chloropolysilanes
CN102024880A (zh) * 2009-09-11 2011-04-20 气体产品与化学公司 用于薄膜硅光电装置的硅烷的添加剂
CN104046955A (zh) * 2013-03-14 2014-09-17 Asmip控股有限公司 用于在低温下沉积SiN的Si前体

Non-Patent Citations (1)

* Cited by examiner, † Cited by third party
Title
E.BOUYER ET AL.: "Thermal plasma chemical vapor deposition of Si-based ceramic coatings from liquid precursors", 《PLASMA CHEMISTRY AND PLASMA PROCESSING》 *

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20210395896A1 (en) * 2018-09-28 2021-12-23 Corning Incorporated Methods for sub-austenite transformation temperture deposition of inorganic particles and articles produced by the same
CN114174217A (zh) * 2019-08-08 2022-03-11 施米德硅晶片科技有限责任公司 用于制备含硅材料的方法和装置

Also Published As

Publication number Publication date
WO2016205196A3 (en) 2017-03-09
US20200032389A1 (en) 2020-01-30
KR102393833B1 (ko) 2022-05-02
JP6959147B2 (ja) 2021-11-02
KR102307983B1 (ko) 2021-09-30
EP4092154A1 (en) 2022-11-23
WO2016205196A2 (en) 2016-12-22
KR20180018726A (ko) 2018-02-21
US20240191346A1 (en) 2024-06-13
TWI663281B (zh) 2019-06-21
US11268190B2 (en) 2022-03-08
US20220154331A1 (en) 2022-05-19
TW201819668A (zh) 2018-06-01
US11913112B2 (en) 2024-02-27
CN118007094A (zh) 2024-05-10
EP3310942B1 (en) 2022-07-13
JP2018524808A (ja) 2018-08-30
KR20210118966A (ko) 2021-10-01
TWI617690B (zh) 2018-03-11
TW201704515A (zh) 2017-02-01
EP3310942A2 (en) 2018-04-25

Similar Documents

Publication Publication Date Title
US11913112B2 (en) Processes for depositing silicon-containing films using halidosilane compounds and compositions
JP6864086B2 (ja) 酸化ケイ素膜の堆積のための組成物及び方法
EP2574611B1 (en) Halogenated Organoaminosilane Precursors and Methods for Depositing Films Comprising Same
JP6885984B2 (ja) ケイ素含有膜の堆積のための有機アミノ官能化環状オリゴシロキサン
JP7007377B2 (ja) 高成長速度のケイ素含有膜のための炭素架橋アミノシラン化合物
JP2022033162A (ja) 高成長速度ケイ素含有膜の前駆体としての官能化シクロシラザン
JP2022518595A (ja) ケイ素含有膜のための組成物及びその組成物を使用する方法
JP6970213B2 (ja) ケイ素含有膜の堆積のためのオルガノアミノ−ポリシロキサン
JP7156999B2 (ja) シリコン含有薄膜形成用シリコン含有化合物、及びシリコン含有薄膜の形成方法
TWI798765B (zh) 用於鍺種子層的組合物及使用其的方法
JP2022071586A (ja) シリコン含有薄膜形成用組成物およびシリコン含有薄膜の形成方法
JP2022071591A (ja) ハロゲンアミノジシラン化合物、シリコン含有薄膜形成用組成物およびシリコン含有薄膜

Legal Events

Date Code Title Description
PB01 Publication
PB01 Publication
SE01 Entry into force of request for substantive examination
SE01 Entry into force of request for substantive examination
RJ01 Rejection of invention patent application after publication

Application publication date: 20180406