JP4179311B2 - 成膜方法、成膜装置及び記憶媒体 - Google Patents

成膜方法、成膜装置及び記憶媒体 Download PDF

Info

Publication number
JP4179311B2
JP4179311B2 JP2005177344A JP2005177344A JP4179311B2 JP 4179311 B2 JP4179311 B2 JP 4179311B2 JP 2005177344 A JP2005177344 A JP 2005177344A JP 2005177344 A JP2005177344 A JP 2005177344A JP 4179311 B2 JP4179311 B2 JP 4179311B2
Authority
JP
Japan
Prior art keywords
gas
impurity
film forming
film
silane
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Active
Application number
JP2005177344A
Other languages
English (en)
Other versions
JP2006270016A (ja
JP2006270016A5 (ja
Inventor
一秀 長谷部
充弘 岡田
保華 周
採虎 金
淳 小川
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Tokyo Electron Ltd
Original Assignee
Tokyo Electron Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Tokyo Electron Ltd filed Critical Tokyo Electron Ltd
Priority to JP2005177344A priority Critical patent/JP4179311B2/ja
Priority to US11/188,736 priority patent/US7462571B2/en
Priority to TW094125436A priority patent/TWI349302B/zh
Priority to KR1020050068174A priority patent/KR100890684B1/ko
Priority to CNB2005100873066A priority patent/CN100426474C/zh
Publication of JP2006270016A publication Critical patent/JP2006270016A/ja
Publication of JP2006270016A5 publication Critical patent/JP2006270016A5/ja
Application granted granted Critical
Publication of JP4179311B2 publication Critical patent/JP4179311B2/ja
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45527Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations
    • C23C16/45531Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations specially adapted for making ternary or higher compositions
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/34Nitrides
    • C23C16/345Silicon nitride
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45527Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations
    • C23C16/45536Use of plasma, radiation or electromagnetic fields
    • C23C16/45542Plasma being used non-continuously during the ALD reactions
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45544Atomic layer deposition [ALD] characterized by the apparatus
    • C23C16/45546Atomic layer deposition [ALD] characterized by the apparatus specially adapted for a substrate stack in the ALD reactor
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45553Atomic layer deposition [ALD] characterized by the use of precursors specially adapted for ALD
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45563Gas nozzles
    • C23C16/45576Coaxial inlets for each gas
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45563Gas nozzles
    • C23C16/45578Elongated nozzles, tubes with holes
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/50Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges
    • C23C16/505Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges using radio frequency discharges
    • C23C16/507Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges using radio frequency discharges using external electrodes, e.g. in tunnel type reactors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02126Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing Si, O, and at least one of H, N, C, F, or other non-metal elements, e.g. SiOC, SiOC:H or SiONC
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02167Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon carbide not containing oxygen, e.g. SiC, SiC:H or silicon carbonitrides
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02205Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
    • H01L21/02208Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/02274Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition in the presence of a plasma [PECVD]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/0228Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition deposition by cyclic CVD, e.g. ALD, ALE, pulsed CVD

Description

本発明は、半導体ウエハ等の被処理体に薄膜を形成する成膜方法、成膜装置及び上記成膜装置を制御するプログラムを記憶する記憶媒体に関する。
一般に、半導体集積回路を製造するためにはシリコン基板等よりなる半導体ウエハに対して、成膜処理、エッチング処理、酸化処理、拡散処理、改質処理、自然酸化膜の除去処理等の各種の処理が行なわれる。これらの処理を特許文献1等に開示されている縦型の、いわゆるバッチ式の熱処理装置にて行う場合には、まず、半導体ウエハを複数枚、例えば25枚程度収容できるカセットから、半導体ウエハを縦型のウエハボートへ移載してこれに多段に支持させる。このウエハボートは、例えばウエハサイズにもよるが30〜150枚程度のウエハを載置できる。このウエハボートは、排気可能な処理容器内にその下方より搬入(ロード)された後、処理容器内が気密に維持される。そして、処理ガスの流量、プロセス圧力、プロセス温度等の各種のプロセス条件を制御しつつ所定の熱処理が施される。
ここで半導体製造工程における絶縁膜等について注目すると、一般的には、この絶縁膜に関してはSiO 膜が主として用いられていた。しかし、最近にあっては、半導体集積回路の更なる高集積化、高微細化の要請が強くなっている。このような状況下において、耐酸化膜、不純物の拡散防止膜、ゲート素子のサイドウォール膜等の絶縁膜としてシリコン窒化膜(Si 膜)が用いられている。このシリコン窒化膜は、不純物の拡散係数が低く、且つ酸化バリヤ性が高いことから、上述したような絶縁膜として非常に適している。
ところで、前述したような高集積化や高微細化の要請に加えて、今日においては動作速度の高速化も更に要請されている。この場合、上記したシリコン窒化膜は、誘電率が比較的高いことから、寄生容量が多く発生して電子の移動度を抑制したり、或いは電荷蓄積型のセンサに用いた場合には寄生容量によるバックグランドレベルの増加などの不都合が生じてきた。
そこで、CVD法(Chemical Vapor Deposition)により不純物としてボロン(B)を添加して形成したシリコン窒化膜が、上記不純物の拡散係数や酸化バリヤ性を先のシリコン窒化膜と同等に維持しつつ、誘電率を非常に小さくして寄生容量を大幅に抑制することが可能な絶縁膜として提案されている(特許文献1)。
特開平6−275608号公報
ところで、CVD法によって形成された膜であって、上述したように不純物としてボロンが含有されたシリコン窒化膜(SiBN)は、不純物の拡散係数が低く、酸化バリヤ性が高く、しかも誘電率も非常に小さいことから絶縁膜として非常に優れている。しかしながら、CVD法によるこのボロンが添加されたシリコン窒化膜は、比較的脆くなっていることから、エッチングに対する耐性、すなわちエッチング耐性が低くなってしまい、この結果、このボロンの添加されたシリコン窒化膜を形成した後に、後工程において、例えばドライエッチング工程を行った場合には、ボロン含有シリコン窒化膜が過度にエッチングされてしまう、という問題があった。
本発明は、以上のような問題点に着目し、これを有効に解決すべく創案されたものである。本発明の目的は、誘電率が非常に低く且つエッチング耐性が十分に大きな不純物含有シリコン窒化膜を形成することが可能な成膜方法、成膜装置及びこの成膜装置をコンピュータ制御するためのプログラムを記憶する記憶媒体を提供することにある。
請求項1に係る発明は、真空引き可能になされた処理容器内に、シラン系ガスと窒化ガスとBCl 、B 、BF 、B(CH よりなる群より選択される1以上のボロン含有ガスよりなる不純物含有ガスとを供給して被処理体の表面に不純物含有シリコン窒化膜よりなる薄膜を形成する成膜方法において、前記シラン系ガスと前記窒化ガスとを交互に供給すると共に、前記不純物含有ガスを前記シラン系ガスと同時に供給し、前記窒化ガスはプラズマにより活性化されることを特徴とする成膜方法である。
このように、シラン系ガスと窒化ガスとを交互に供給すると共に、不純物含有ガスを前記シラン系ガスと同時に供給し、窒化ガスはプラズマにより活性化されるようにしたので、誘電率が非常に低く、且つエッチング耐性が十分に大きな不純物含有シリコン窒化膜を形成することができる。
この場合、例えば請求項2に規定するように、前記シラン系ガスの供給時と前記窒化ガスの供給時との間の間欠期間には、前記処理容器内は少なくとも不活性ガスパージされていること、或いは全てのガスの供給が停止されて真空引きされている。
また例えば請求項3に規定するように、前記窒化ガスは、前記処理容器内で高周波電力によって発生したプラズマによって活性化される。
また例えば請求項4に規定するように、前記窒化ガスの供給開始から所定の時間が経過した後に、前記高周波電力が印加される。
請求項5に係る発明は、真空引き可能になされた処理容器内に、シラン系ガスと窒化ガスとBCl 、B 、BF 、B(CH よりなる群より選択される1以上のボロン含有ガスよりなる不純物含有ガスとを供給して被処理体の表面に不純物含有シリコン窒化膜よりなる薄膜を形成する成膜方法において、前記シラン系ガスと前記不純物含有ガスとを同時に、且つ間欠的に供給し、前記窒化ガスを前記シラン系ガス及び不純物含有ガスと同時に供給すると共に、前記シラン系ガス及び不純物含有ガスの供給停止の期間の途中で前記窒化ガスを単独で供給し、前記窒化ガスを単独で供給する時に前記窒化ガスはプラズマにより活性化されることを特徴とする成膜方法である。
この場合、例えば請求項6に規定するように、前記窒化ガスが、前記シラン系ガス及び前記不純物含有ガスと同時供給される時には前記窒化ガスはプラズマにより活性化される。
また例えば請求項7に規定するように、前記3種類のガスの同時供給時と前記窒化ガスの単独供給時との間の間欠期間には、前記処理容器内は少なくとも不活性ガスパージされていること、或いは全てのガスの供給が停止されて真空引きされている。
また例えば請求項8に規定するように、前記窒化ガスは、前記処理容器内で高周波電力によって発生したプラズマによって活性化される。
また例えば請求項9に規定するように、前記窒化ガスを単独で供給する時には供給開始から所定の時間が経過した後に、前記高周波電力が印加される。
また例えば請求項10に規定するように、前記薄膜の成膜時の温度は、300℃〜700℃の範囲内である。
また例えば請求項11に規定するように、前記薄膜の成膜時の圧力は、13Pa(0.1Torr)〜1330Pa(10Torr)の範囲内である。
また例えば請求項12に規定するように、前記不純物含有ガスの供給量を制御することにより、前記被処理体上に形成される前記不純物含有シリコン窒化膜に所望のストレスを付与する。
また例えば請求項13に規定するように、前記不純物含有ガスの供給量は、前記ストレスが圧縮的なストレス(コンプレッシブストレス)になるように制御される。
また例えば請求項14に規定するように、前記不純物含有ガスの供給量は、前記ストレスが引っ張り的なストレス(テンサイルストレス)になるように制御される。
また例えば請求項15に規定するように、前記処理容器が、直径300mmの被処理体を複数枚処理することができる大きさの場合には、前記不純物含有ガスの供給量は1〜15sccmの範囲内である。
また例えば請求項16に規定するように、前記シラン系ガスは、ジクロロシラン(DCS)、ヘキサクロロジシラン(HCD)、モノシラン[SiH ]、ジシラン[Si ]、ヘキサメチルジシラザン(HMDS)、テトラクロロシラン(TCS)、ジシリルアミン(DSA)、トリシリルアミン(TSA)、ビスターシャルブチルアミノシラン(BTBAS)よりなる群より選択される1以上のガスであり、前記窒化ガスは、アンモニア[NH ]、窒素[N ]、一酸化二窒素[N O]、一酸化窒素[NO]よりなる群より選択される1以上のガスである。
請求項17に係る発明は、被処理体に対して所定の薄膜を形成するための成膜装置において、真空引き可能になされた縦型の筒体状の処理容器と、前記被処理体を複数段に保持して前記処理容器内に挿脱される保持手段と、前記処理容器の外周に設けられる加熱手段と、前記処理容器内へ成膜用のシラン系ガスを供給するシラン系ガス供給手段と、前記処理容器内へ窒化ガスを供給する窒化ガス供給手段と、前記処理容器内へBCl 、B 、BF 、B(CH よりなる群より選択される1以上のボロン含有ガスよりなる不純物含有ガスを供給する不純物含有ガス供給手段と、前記窒化ガスを活性化する活性化手段と、請求項1乃至16のいずれか一項に記載の成膜方法を実施するように装置全体を制御する制御手段と、を備えたことを特徴とする成膜装置である。
この場合、例えば請求項18に規定するように、前記活性化手段は、前記処理容器に一体的に組み込まれている。
或いは、例えば請求項19に規定するように、前記活性化手段は、前記処理容器とは別体で形成されている。
請求項20に係る発明は、真空引き可能になされた縦型の筒体状の処理容器と、被処理体を複数段に保持して前記処理容器内に挿脱される保持手段と、前記処理容器の外周に設けられる加熱手段と、前記処理容器内へ成膜用のシラン系ガスを供給するシラン系ガス供給手段と、前記処理容器内へ窒化ガスを供給する窒化ガス供給手段と、前記処理容器内へBCl 、B 、BF 、B(CH よりなる群より選択される1以上のボロン含有ガスよりなる不純物含有ガスを供給する不純物含有ガス供給手段と、前記窒化ガスを活性化する活性化手段と、装置全体を制御する制御手段とを有する成膜装置を用いて薄膜を形成するに際して、請求項1乃至16のいずれか一項に記載の成膜方法を実施するように前記成膜装置を制御するプログラムを記憶する記憶媒体である。
本発明に係る成膜方法、成膜装置及び記憶媒体によれば、次のように優れた作用効果を発揮することができる。
第1の発明によれば、シラン系ガスと窒化ガスとを交互に供給すると共に、BCl 、B 、BF 、B(CH よりなる群より選択される1以上のボロン含有ガスよりなる不純物含有ガスを前記シラン系ガスと同時に供給し、窒化ガスはプラズマにより活性化されるようにしたので、誘電率が非常に低く、且つエッチング耐性が十分に大きな不純物含有シリコン窒化膜を形成することができる。
第2の発明によれば、シラン系ガスとBCl 、B 、BF 、B(CH よりなる群より選択される1以上のボロン含有ガスよりなる不純物含有ガスとを同時に、且つ間欠的に供給し、前記窒化ガスを前記シラン系ガス及び不純物含有ガスと同時に供給すると共に、前記シラン系ガス及び不純物含有ガスの供給停止の期間の途中で前記窒化ガスを単独で供給し、前記窒化ガスを単独で供給する時に前記窒化ガスはプラズマにより活性化されるようにしたので、誘電率が非常に低く、且つエッチング耐性が十分に大きな不純物含有シリコン窒化膜を形成することができる

以下に、本発明に係る成膜方法、成膜装置及び記憶媒体の一実施例を添付図面に基づいて詳述する。
図1は本発明の係る成膜装置の一例を示す縦断面構成図、図2は成膜装置(加熱手段は省略)を示す横断面構成図、図3は本発明の成膜方法の第1実施例における各種のガスの供給のタイミングとRF(高周波)の印加のタイミングを示すタイミングチャートである。尚、ここではシラン系ガスとしてジクロロシラン(DCS)を用い、窒化ガスとしてアンモニアガス(NH )を用い、不純物含有ガスとしてBCl ガスを用い、上記NH ガスをプラズマにより活性化して不純物としてボロンの含有(ドープ)されたボロン含有シリコン窒化膜(SiBN)を成膜する場合を例にとって説明する。
図示するように、プラズマを形成することができるこの成膜装置2は、下端が開口された有天井の円筒体状の処理容器4を有している。この処理容器4の全体は、例えば石英により形成されており、この処理容器4内の天井には、石英製の天井板6が設けられて封止されている。また、この処理容器4の下端開口部には、例えばステンレススチールにより円筒体状に成形されたマニホールド8がOリング等のシール部材10を介して連結されている。
上記処理容器4の下端は、上記マニホールド8によって支持されており、このマニホールド8の下方より多数枚の被処理体としての半導体ウエハWを多段に載置した保持手段としての石英製のウエハボート12が昇降可能に挿脱自在になされている。本実施例の場合において、このウエハボート12の支柱12Aには、例えば50〜100枚程度の直径が300mmのウエハWを略等ピッチで多段に支持できるようになっている。
このウエハボート12は、石英製の保温筒14を介してテーブル16上に載置されており、このテーブル16は、マニホールド8の下端開口部を開閉する例えばステンレススチール製の蓋部18を貫通する回転軸20上に支持される。
そして、この回転軸20の貫通部には、例えば磁性流体シール22が介設され、この回転軸20を気密にシールしつつ回転可能に支持している。また、蓋部18の周辺部とマニホールド8の下端部には、例えばOリング等よりなるシール部材24が介設されており、処理容器4内のシール性を保持している。
上記した回転軸20は、例えばボートエレベータ等の昇降機構(図示せず)に支持されたアーム26の先端に取り付けられており、ウエハボート12及び蓋部18等を一体的に昇降して処理容器4内へ挿脱できるようになされている。尚、上記テーブル16を上記蓋部18側へ固定して設け、ウエハボート12を回転させることなくウエハWの処理を行うようにしてもよい。
このマニホールド8には、処理容器4内の方へプラズマ化される窒化ガスとして、例えばアンモニア(NH )ガスを供給する窒化ガス供給手段28と、成膜ガスであるシラン系ガスとして例えばDCS(ジクロロシラン)ガスを供給するシラン系ガス供給手段30と、不純物含有ガスとして例えばボロン(B)の含有された不純物含有ガス供給手段31と、パージガスとして不活性ガス、例えばN ガスを供給するパージガス供給手段32とが設けられる。具体的には、上記窒化ガス供給手段28は、上記マニホールド8の側壁を内側へ貫通して上方向へ屈曲されて延びる石英管よりなる窒化ガス分散ノズル34を有している。この窒化ガス分散ノズル34には、その長さ方向に沿って複数(多数)のガス噴射孔34Aが所定の間隔を隔てて形成されており、各ガス噴射孔34Aから水平方向に向けて略均一にアンモニアガスを噴射できるようになっている。
また同様に上記シラン系ガス供給手段30も、上記マニホールド8の側壁を内側へ貫通して上方向へ屈曲されて延びる石英管よりなるシラン系ガス分散ノズル36を有している。上記シラン系ガス分散ノズル36には、その長さ方向に沿って複数(多数)のガス噴射孔36Aが所定の間隔を隔てて形成されており、各ガス噴射孔36Aから水平方向に向けて略均一にシラン系ガスであるDCSガスを噴射できるようになっている。また同様に不純物含有ガス供給手段31も、上記マニホールド8の側壁を内側へ貫通して上方向へ屈曲されて延びる石英管よりなる不純物含有ガス分散ノズル37を有している。この不純物含有ガス分散ノズル37には、その長さ方向に沿って複数(多数)のガス噴射孔37A(図2参照)が所定の間隔を隔てて形成されており、各ガス噴射孔37Aから水平方向に向けて略均一にBCl ガスを噴射できるようになっている。
また同様に上記パージガス供給手段32は、上記マニホールド8の側壁を貫通して設けたガスノズル38を有している。上記各ノズル34、36、37、38には、それぞれのガス通路42、44、45、46が接続されている。そして、各ガス通路42、44、45、46には、それぞれ開閉弁42A、44A、45A、46A及びマスフローコントローラのような流量制御器42B、44B、45B、46Bが介設されており、NH ガス、DCSガス、BCl ガス及びN ガスをそれぞれ流量制御しつつ供給できるようになっている。これらの各ガスの供給、供給停止、ガス流量の制御及び後述する高周波のオン・オフ制御等は例えばマイクロコンピュータ等よりなる制御手段48により行われる。そして、この制御手段48は、この成膜装置2の全体の動作も制御することになる。またこの制御手段48は、上記各種ガスの供給や供給停止の制御、高周波のオン・オフ制御及び装置全体の動作を制御するためのプログラムを記憶する例えばフロッピディスクやフラッシュメモリ等の記憶媒体49を有している。
そして、上記処理容器4の側壁の一部には、その高さ方向に沿ってプラズマを発生させて窒化ガスを活性化させる活性化手段50が形成されると共に、この活性化手段50に対向する処理容器4の反対側には、この内部雰囲気を真空排気するために処理容器4の側壁を、例えば上下方向へ削りとることによって形成した細長い排気口52が設けられている。具体的には、上記活性化手段50は、上記処理容器4の側壁を上下方向に沿って所定の幅で削りとることによって上下に細長い開口54を形成し、この開口54をその外側より覆うようにして断面凹部状になされた上下に細長い例えば石英製のプラズマ区画壁56を容器外壁に気密に溶接接合することにより形成されている。これにより、この処理容器4の側壁の一部を凹部状に外側へ窪ませることにより一側が処理容器4内へ開口されて連通された活性化手段50が一体的に形成されることになる。すなわちプラズマ区画壁56の内部空間は、上記処理容器4内に一体的に連通された状態となっている。上記開口54は、ウエハボート12に保持されている全てのウエハWを高さ方向においてカバーできるように上下方向に十分に長く形成されている。
そして、上記プラズマ区画壁56の両側壁の外側面には、その長さ方向(上下方向)に沿って互いに対向するようにして細長い一対のプラズマ電極58が設けられると共に、このプラズマ電極58にはプラズマ発生用の高周波電源60が給電ライン62を介して接続されており、上記プラズマ電極58に例えば13.56MHzの高周波電圧を印加することによりプラズマを発生し得るようになっている。尚、この高周波電圧の周波数は13.56MHzに限定されず、他の周波数、例えば400kHz等を用いてもよい。
そして、上記処理容器4内を上方向に延びていく窒化ガス分散ノズル34は途中で処理容器4の半径方向外方へ屈曲されて、上記プラズマ区画壁56内の一番奥(処理容器4の中心より一番離れた部分)に位置され、この一番奥の部分に沿って上方に向けて起立させて設けられている。従って、高周波電源60がオンされている時に上記窒化ガス分散ノズル34のガス噴射孔34Aから噴射されたアンモニアガスはここで活性化されて処理容器4の中心に向けて拡散しつつ流れるようになっている。
そして上記プラズマ区画壁56の外側には、これを覆うようにして例えば石英よりなる絶縁保護カバー64が取り付けられている。また、この絶縁保護カバー64の内側部分には、図示しない冷媒通路が設けられており、冷却された窒素ガスを流すことにより上記プラズマ電極58を冷却し得るようになっている。
そして上記プラズマ区画壁56の開口54の外側近傍、すなわち開口54の外側(処理容器4内)の両側には、上記シラン系ガス分散ノズル36と不純物含有ガス分散ノズル37とがそれぞれ片側ずつに起立させて設けられており、各ノズル36、37に設けた各ガス噴射孔36A、37Aより処理容器4の中心方向に向けてシラン系ガスとBCl ガスとをそれぞれ噴射し得るようになっている。
一方、上記開口54に対向させて設けた排気口52には、これを覆うようにして石英よりなる断面コ字状に成形された排気口カバー部材66が溶接により取り付けられている。この排気口カバー部材66は、上記処理容器4の側壁に沿って上方に延びており、処理容器4の上方のガス出口68より図示しない真空ポンプ等を介設した真空排気系により真空引きされる。そして、この処理容器4の外周を囲むようにしてこの処理容器4及びこの内部のウエハWを加熱する筒体状の加熱手段70が設けられている。
<第1実施例>
次に、以上のように構成された成膜装置を用いて行なわれるプラズマによる成膜方法(いわゆるALD成膜)について説明する。ここでは成膜処理として、ウエハ表面に低温で間欠的にプラズマを用いて不純物としてボロン(B)が含有されたボロン含有シリコン窒化膜(SiBN)を形成する場合を例にとって説明する。すなわち、本発明方法の第1実施例では、上記シラン系ガスであるDCSガスと上記窒化ガスであるアンモニアガスとを交互に供給すると共に、上記不純物含有ガスである窒素ガスを上記シラン系ガス(DCSガス)と同時に供給し、上記窒化ガスはプラズマにより活性化させるようにしている。
まず、常温の多数枚、例えば50〜100枚の300mmサイズのウエハWが載置された状態のウエハボート12を予め所定の温度になされた処理容器4内にその下方より上昇させてロードし、蓋部18でマニホールド8の下端開口部を閉じることにより容器内を密閉する。
そして処理容器4内を真空引きして所定のプロセス圧力に維持すると共に、加熱手段70への供給電力を増大させることにより、ウエハ温度を上昇させてプロセス温度を維持し、上記DCSガスとNH ガスとをシラン系ガス供給手段30及び窒化ガス供給手段28からそれぞれ交互に間欠的に供給すると共に、不純物含有ガス供給手段31からBCl ガスを上記DCSガスと同時に供給し、回転しているウエハボート12に支持されているウエハWの表面にボロン含有シリコン窒化膜(SiBN)を形成する。この際、NH ガスを単独で供給する時に、全供給時間に亘って、或いは全供給時間の一部において高周波電源(RF電源)60をオンしてプラズマを立てるようにする。
具体的には、NH ガスは窒化ガス分散ノズル34の各ガス噴射孔34Aから水平方向へ噴射され、また、DCSガスはシラン系ガス分散ノズル36の各ガス噴射孔36Aから水平方向へ噴射され、またBCl は不純物含有ガス分散ノズル37の各ガス噴射孔37Aから水平方向へ噴射され、各ガスが反応してボロン含有シリコン窒化膜(SiBN)が形成される。この場合、上記各ガスは、連続的に供給されるのではなく、図3に示すように互いにタイミングを同じにして、或いはタイミングをずらして供給する。そして、タイミングをずらしたガス同士は、間に間欠期間(パージ期間)72を挟んで交互に間欠的に繰り返し供給され、ボロン含有シリコン窒化膜の薄膜を一層ずつ繰り返し積層する。すなわち、図3(A)及び図3(B)に示すように、DCSとBCl とは常に同時に、共通のタイミングで間欠的に供給される。これに対して、図3(C)に示すようにNH は上記DCS、BCl ガスの供給休止期間の略中央にて単独で供給される。また間欠期間72においては真空引きが継続されて容器内に残留するガスを排除している。そして、NH ガスを単独で流す時には、図3(D)に示すようにRF電源がオンされてプラズマが立てられて、供給されるNH ガスを活性化して活性種等が作られ、反応(分解)が促進される。
この場合、NH ガスの供給期間の全期間に亘ってRF電源をオンしてもよいし、図3(D)に示すようにNH ガスの供給開始から所定の時間Δtが経過した後に、RF電源をオンするようにしてもよい。この所定の時間ΔtとはNH ガスの流量が安定するまでの時間であり、例えば5秒程度である。このように、NH ガスの流量が安定化した後にRF電源をオンすることにより、ウエハWの面間方向(高さ方向)における活性種の濃度均一性を向上できる。また間欠期間72では、不活性ガスであるN ガスを処理容器4内へ供給して残留ガスを排除するようにしてもよいし(不活性ガスパージ)、或いは、全てのガスの供給を停止したまま真空引きを継続して行うことにより(バキュームとも称す)、処理容器4内の残留ガスを排除するようにしてもよい。更には、間欠期間72の前半はバキュームを行い、後半は不活性ガスパージを行うようにしてもよい。
この場合、吸着工程であるDCS及びBCl ガスの供給期間T1は10秒程度、反応工程(窒化工程)である単独のNH ガスの供給期間T2は10秒程度、パージ期間である間欠期間72の長さT3は5〜15秒程度、RF電源のオン時間T4は5秒程度であるが、これらの各時間は単に一例を示したに過ぎず、この数値に限定されない。通常、1サイクルによって形成される膜厚は1.1〜1.3Å/サイクル程度であるので、目標膜厚が例えば700Åであるならば、600サイクル程度繰り返し行うことになる。上記のように成膜処理を行うことにより、形成されるボロン窒化膜の誘電率を非常に低くでき、且つそのドライエッチング時のエッチング耐性を大幅に向上させることができる。
その理由は、次のように考えられる。すなわち、一般的にはシリコン窒化膜にボロンを添加するとエッチング耐性は劣化するが、上記実施例のように、NH ガスの供給時にプラズマでNH ガスを活性化させると窒化が促進される結果、Si−H結合が減少してエッチング耐性の強いSi−N結合が増加するからであると考えられる。
ここで上記成膜処理のプロセス条件について説明すると、DCSガスの流量は50〜3000sccmの範囲内、例えば1000sccm(1slm)であり、NH ガスの流量は500〜5000sccmの範囲内、例えば1000sccmであり、BCl ガスの流量は1〜100sccmの範囲内、例えば4sccmである。またプロセス温度はCVD成膜処理よりも低い温度であり、具体的には300〜700℃の範囲内、好ましくは550〜630℃の範囲内である。このプロセス温度が300℃よりも低いと、反応が生ぜずにほとんど膜が堆積せず、また700℃よりも高い場合には、膜質の劣るCVDによる堆積膜が形成されてしまうのみならず、前工程ですでに形成されている金属膜等に熱的ダメージを与えてしまう。
またプロセス圧力は13Pa(0.1Torr)〜1330Pa(10Torr)の範囲内、好ましくは40Pa(0.3Torr)〜266Pa(2Torr)の範囲内であり、例えば吸着工程では1Torr、プラズマを用いる窒化工程では0.3Torrである。プロセス圧力が13Paよりも小さい場合には、成膜レートが実用レベル以下になり、また1330Paよりも大きい場合には、プラズマが十分に立たなくなってしまう。
次に、実際に上述したようなボロン含有シリコン窒化膜(SiBN)を作成して評価を行ったので、その評価結果について説明する。
<BCl ガスの流量と誘電率との関係>
まず最初にBCl の流量とSiBN膜の誘電率との関係を検討した。図4はBCl ガスの流量と誘電率との関係を示すグラフである。プロセス温度は、550℃、600℃及び630℃の3種類について行った。またBCl ガスは0〜8sccmまで変化させている。
図4に示すように、プロセス温度に関係なく、BCl ガスの流量を増加する程、すなわちSiBN膜中のボロン濃度を高くするに従って、誘電率が低下しており、この結果、ボロン濃度が高い程、誘電率が小さくなって寄生容量を低下できることが確認できた。尚、誘電率の好ましい値は5以下である。
<SiBN膜の屈折率と誘電率との関係>
図5はSiBN膜の屈折率と誘電率との関係を示すグラフであり、このグラフより屈折率が2から1.7程度へ小さくなる程、誘電率は7から4程度へ小さくなる関係を有している。
<屈折率とエッチングレートとの関係>
図6は屈折率とエッチングレートとの関係を示すグラフである。ここでは3種類のエッチング液、すなわち純水[DIW]、希硫酸溶液[SPM](H SO :H =4:1)及び希フッ酸溶液[DHF](HF:H O=1:99)を用いた。
尚、DIWについては60℃で20分のエッチング処理を行い、SPMについては100℃で2分のエッチング処理を行い、DHFについては23℃で5分のエッチング処理を行い、それぞれ1分間で削られるエッチングレートを求めた。また図中に、各エッチング液に対する耐性の許容上限値を示した。すなわち、DHFに対する許容上限値は20Å/min、SPMに対する許容上限値は10Å/min、DIWに対する許容上限値は5Å/minである。
図6から明らかなように、屈折率が1.85程度のところでエッチングレートの大きな変動はあるが、屈折率が小さくなる程、すなわち、ボロン含有量が多くなって誘電率が小さくなる程(図4及び図5参照)、エッチングレートが次第に劣化していくのが判る。しかし、屈折率が略1.75においてSPMエッチング液を使用した場合を除き、上記2種類のエッチング液に対してそれぞれが許容上限値よりも低い値となっており、エッチング液に対してエッチング耐性が十分であることが確認できた。
<BCl の流量とエッチングレートとの関係>
図7はBCl の流量とエッチングレートとの関係を示すグラフである。ここでは図6に示す場合と同じ3種類のエッチング液、すなわち純水[DIW]、希硫酸溶液[SPM]、希フッ酸溶液[DHF]を用いている。従って、各流量について3つのエッチングレートが対応して記載されており、それぞれ左から右に向かってDIWに対するエッチングレート、SPMに対するエッチングレート、DHFに対するエッチングレートの順で記載されている。
また図中の右側には、基準値としてCVDにより形成されたSiN膜(ボロンはノンドープ)のエッチングレートを記載している。尚、図中の左側のBCl が”0”sccmの場合は、ALD法により成膜されたSiN膜(ボロンはノンドープ)のエッチングレートを示すことになる。
また、この場合の各エッチング液に対する許容上限値は、図6において説明した場合と同じであり、DHFは20Å/min、SPMは10Å/min、DIWは5Å/minである。さて、図7から明らかなように、BCl の流量を1から8sccmへ増加するに従って、DHF、SPM、DIWに対する各エッチングレートは少しずつ大きくなっており、例えばDHFの場合には5.5Å/minから6.5Å/min程度まで増加し、SPMの場合には0.2Å/minから1.4Å/min程度まで増加し、またDIWの場合には0.1Å/minから0.2Å/min程度まで増加している。しかしながら、各エッチングレートはDHF、SPM、DIWの上記した各許容上限値よりもかなり小さいものであり、従って、全てのSiBN膜は、そのエッチング耐性が十分に高いものであることが確認できた。また参考のためにステップカバレジについても評価したところ、97〜105%の値を示しており、十分に高いことが確認できた。
<第2実施例>
次に本発明方法の第2実施例について説明する。
図8は本発明の成膜方法の第2実施例における各種のガスの供給のタイミングとRF(高周波)の印加のタイミングを示すタイミングチャート、図9は第1及び第2実施例における成膜レートと改善率を示すグラフである。
図3に示した第1実施例においては、DCSガスとBCl ガスとを同時に間欠供給する場合において、これらの両ガスの供給休止期間の途中でNH ガスを単独で供給するようにしているが、この第2実施例では、NH ガスを上記単独供給に加え、DCSガスとBCl ガスの同時供給の時もこのNH ガスを同時に加えるようにしている。その他の点については、第1実施例の場合と全く同じである。
すなわち、ここでは上記シラン系ガスであるDCSガスと上記不純物含有ガスであるBCl とを同時に、且つ間欠的に供給し、上記窒化ガスであるNH ガスを上記シラン系ガス及び不純物含有ガスと同時に供給すると共に、上記シラン系ガス及び不純物含有ガスの供給停止の期間の途中で上記窒化ガスを単独で供給し、上記窒化ガスを単独で供給する時に上記窒化ガスはプラズマにより活性化される。
上記のように、DCSガス及びBCl ガスの両ガスの供給と同時にNH ガスも供給することにより、上記DCSガス及びBCl ガスのウエハ表面への吸着が促進されるので、ボロン(B)のドーピング量も増加して成膜速度(成膜レート)を上げることができる。
ここで上記DCSガス及びBCl ガスの両ガスの供給と同時に供給するNH ガスの供給量は、過度に多くしないようにし、例えばDCSガスの供給量以下の量とする。この時のNH ガスの供給量が多過ぎると、塩化アンモニウムが多量に発生して排気系の配管が詰まったり、塩化アンモニウムのパーティクルが発生したり、更には、発生する塩化アンモニウムとBCl とが簡単に反応することから、Siを含まない、或いはSi成分が非常に少ないBN膜が形成されてしまう。また逆に、NH ガスの供給量が少な過ぎると、NH ガスを同時供給することの効果が非常に少なくなってしまう。
またNH ガスをDCSガス及びBCl ガスと同時に供給する際にも、このNH ガスをプラズマにより活性化するようにしてもよい(図8(D)中で一点鎖線で示す)。これによれば、DCSガス及びBCl ガスのウエハ表面への吸着をより促進させることができるので、その分、成膜レートを更に向上させることができる。
ここで成膜レートについて第1実施例と第2実施例の評価を行ったので、その評価結果について説明する。この評価実験を行った時の各種ガスの流量、プロセス圧力及びプロセス温度等のプロセス条件は先の第1実施例の場合と同じである。そして、第2実施例において、DCSガスとBCl ガスの両ガスと同時に供給する時のNH ガスの供給量は、DCSガスの供給量の1/10である100sccmに設定している。
図9から明らかなように、ウエハボート中のウエハ載置位置を示すTOP(上段)、CTR(中段)及びBTM(下段)の各位置において、成膜レートは第1実施例よりも第2実施例の方が全て高くなっており、成膜レート(Å/サイクル)が改善されていることが確認できた。具体的な成膜レートの改善率(増加率)は、TOPの位置で161%、CTRの位置で161%、BTMの位置で152%であり、全てのウエハ載置位置において成膜レートを大幅に増加して高い改善率を得られることが確認できた。
上記各実施例では、不純物含有シリコン窒化膜に関して主として誘電率やエッチングレートに着目して説明したが、この他に素子の高速動作に大きな影響を与える電子の移動度(モビリティ)についても考察する必要がある。
上記した電子の移動度を高めるためには、不純物含有シリコン窒化膜にストレスを与えるのが好ましいが、この場合、ストレスには引っ張り的なストレス(テンサイルストレス)と圧縮的なストレス(コンプレッシブストレス)とがある。
ここで図10はNMOSとPMOSのトランジスタの概略構成図を示し、図中、矢印は圧力の方向を表している。図10(A)に示すように、例えばNMOS素子の場合には、トランジスタのチャネルにコンプレッシブストレスが印加されるのが好ましい。この場合、トランジスタのカバー膜は高テンサイルストレスの膜により作られる。また、図10(B)に示すように、PMOS素子の場合には、トランジスタのチャネルにテンサイルストレスが印加されるのが好ましい。この場合、トランジスタのカバー膜は高コンプレッシブストレスの膜により作られる。しかし、従来はコンプレッシブストレスの不純物含有シリコン窒化膜を形成するのが困難であり、またストレス自体の大きさも制御するのが困難であった。
しかしながら、本発明者等の研究により、成膜時に供給する不純物含有ガスの供給量を制御することにより、上記不純物含有シリコン窒化膜のストレスの大きさ及びストレスの種類を制御できることが判明した。
すなわち、上記第1及び第2実施例において、前記不純物含有ガスの供給量を制御することにより、前記被処理体上に形成される前記不純物含有シリコン窒化膜に所望のストレスを付与することができる。
この場合、不純物含有ガスの供給量を、特定の領域に適宜変化させることによって、不純物含有シリコン窒化膜に付与するストレスの種類を、コンプレッシブストレスとテンサイルストレスとのいずれかに設定することができる。
ここで、実際に不純物含有ガスであるBCl の流量を種々変更した時に形成された不純物含有シリコン窒化膜のストレスの変化を測定したので、その測定結果について図11を参照して説明する。
図11(A)はプロセス温度が550℃の場合を示し、図11(B)はプロセス温度が630℃の場合を示す。また図11において、縦軸の”+”側はテンサイルストレスを示し、”−”側はコンプレッシブストレスを示す。この時のDCSガスの流量は1000sccm、NH ガスの流量は1000sccmである。
まず、図11(A)に示す場合、BCl ガスの流量を、0、8、15sccmにそれぞれ設定した時のストレスを示す。この図11(A)から明らかなように、BCl ガスの流量が”0”の時は(不純物のドープなし)、ストレスが1143MPaとなって非常に高い。これに対して、BCl ガスの流量を8、15sccmという具合に増加すると、ストレス(テンサイル)は135、111MPaという具合に順次低下している。従って、BCl ガスの流量を適宜選択することにより、所望する大きさのストレス(テンサイル)を不純物含有シリコン窒化膜に付与できることが判明する。
また図11(B)に示す場合は、BCl ガスの流量を、1、2、4、8sccmにそれぞれ設定した時のストレスを示す。この図11(B)から明らかなように、BCl ガスの流量を増加して行くと、ストレスが220、113、76MPaという具合に順次減少し、そして、8sccmの時には、ストレスは−78MPaとなって、ストレスの種類はテンサイルからコンプレッシブへと転換していることが判明する。このように、プロセス温度630℃の時には、BCl ガスの流量により、ストレスの大きさのみならず、ストレスの種類(方向)も制御できることが判明する。
尚、上述したプロセス温度及びガス流量は、それぞれ単に一例を示したに過ぎず、必要に応じてそれぞれ種々変更して最適なストレスの大きさ及びストレスの種類(方向)を選択することができる。
また上記各実施例では、シラン系ガスとしてDCSガスを用いたが、これに限定されず、ジクロロシラン(DCS)、ヘキサクロロジシラン(HCD)、モノシラン[SiH ]、ジシラン[Si ]、ヘキサメチルジシラザン(HMDS)、テトラクロロシラン(TCS)、ジシリルアミン(DSA)、トリシリルアミン(TSA)、ビスターシャルブチルアミノシラン(BTBAS)よりなる群より選択される1以上のガスを用いることができる。
また、上記各実施例では、窒化ガスとしてNH ガスを用いたが、これに限定されず、アンモニア[NH ]、窒素[N ]、一酸化二窒素[N O]、一酸化窒素[NO]よりなる群より選択される1以上のガスを用いることができる。
また、上記各実施例では、不純物含有ガスとしてBCl ガスを用いたが、これに限定されず、BCl 、B 、BF 、B(CH よりなる群より選択される1以上のガスを用いることができる。
また更に、ここでは成膜装置2として、プラズマを形成する活性化手段50を処理容器4に一体的に組み込んだ装置例について説明したが、これに限定されず、この活性化手段50を処理容器4とは別体で設け、NH ガスを処理容器4の外で予め活性化(いわゆるリモートプラズマ)、その活性化NH ガスを処理容器4内へ供給するようにしてもよい。
また被処理体としては、半導体ウエハに限定されず、ガラス基板、LCD基板、セラミック基板等にも本発明を適用することができる。
本発明の係る成膜装置の一例を示す縦断面構成図である。 成膜装置(加熱手段は省略)を示す横断面構成図である。 本発明の成膜方法の第1実施例における各種のガスの供給のタイミングとRF(高周波)の印加のタイミングを示すタイミングチャートである。 BCl ガスの流量と誘電率との関係を示すグラフである。 SiBN膜の屈折率と誘電率との関係を示すグラフである。 屈折率とエッチングレートとの関係を示すグラフである。 BCl の流量とエッチングレートとの関係を示すグラフである。 本発明の成膜方法の第2実施例における各種のガスの供給のタイミングとRF(高周波)の印加のタイミングを示すタイミングチャートである。 第1及び第2実施例における成膜レートと改善率を示すグラフである。 NMOSとPMOSのトランジスタを示す概略構成図である。 不純物含有ガスであるBCl の流量を種々変更した時に形成された不純物含有シリコン窒化膜のストレスの変化を示すグラフである。
符号の説明
2 成膜装置
4 処理容器
12 ウエハボート(供給手段)
28 窒化ガス供給手段
30 シラン系ガス供給手段
31 不純物含有ガス供給手段
32 パージガス供給手段
34 窒化ガス分散ノズル
36 シラン系ガス分散ノズル
37 不純物含有ガス分散ノズル
48 制御手段
50 活性化手段
58 プラズマ電極
60 高周波電源
70 加熱手段
W 半導体ウエハ(被処理体)

Claims (20)

  1. 真空引き可能になされた処理容器内に、シラン系ガスと窒化ガスとBCl 、B 、BF 、B(CH よりなる群より選択される1以上のボロン含有ガスよりなる不純物含有ガスとを供給して被処理体の表面に不純物含有シリコン窒化膜よりなる薄膜を形成する成膜方法において、
    前記シラン系ガスと前記窒化ガスとを交互に供給すると共に、前記不純物含有ガスを前記シラン系ガスと同時に供給し、前記窒化ガスはプラズマにより活性化されることを特徴とする成膜方法。
  2. 前記シラン系ガスの供給時と前記窒化ガスの供給時との間の間欠期間には、前記処理容器内は少なくとも不活性ガスパージされていること、或いは全てのガスの供給が停止されて真空引きされていることを特徴とする請求項1記載の成膜方法。
  3. 前記窒化ガスは、前記処理容器内で高周波電力によって発生したプラズマによって活性化されることを特徴とする請求項1または2記載の成膜方法。
  4. 前記窒化ガスの供給開始から所定の時間が経過した後に、前記高周波電力が印加されることを特徴とする請求項3記載の成膜方法。
  5. 真空引き可能になされた処理容器内に、シラン系ガスと窒化ガスとBCl 、B 、BF 、B(CH よりなる群より選択される1以上のボロン含有ガスよりなる不純物含有ガスとを供給して被処理体の表面に不純物含有シリコン窒化膜よりなる薄膜を形成する成膜方法において、
    前記シラン系ガスと前記不純物含有ガスとを同時に、且つ間欠的に供給し、前記窒化ガスを前記シラン系ガス及び不純物含有ガスと同時に供給すると共に、前記シラン系ガス及び不純物含有ガスの供給停止の期間の途中で前記窒化ガスを単独で供給し、前記窒化ガスを単独で供給する時に前記窒化ガスはプラズマにより活性化されることを特徴とする成膜方法。
  6. 前記窒化ガスが、前記シラン系ガス及び前記不純物含有ガスと同時供給される時には前記窒化ガスはプラズマにより活性化されることを特徴とする請求項5記載の成膜方法。
  7. 前記3種類のガスの同時供給時と前記窒化ガスの単独供給時との間の間欠期間には、前記処理容器内は少なくとも不活性ガスパージされていること、或いは全てのガスの供給が停止されて真空引きされていることを特徴とする請求項5または6記載の成膜方法。
  8. 前記窒化ガスは、前記処理容器内で高周波電力によって発生したプラズマによって活性化されることを特徴とする請求項5乃至7のいずれか一項に記載の成膜方法。
  9. 前記窒化ガスを単独で供給する時には供給開始から所定の時間が経過した後に、前記高周波電力が印加されることを特徴とする請求項5乃至8のいずれか一項に記載の成膜方法。
  10. 前記薄膜の成膜時の温度は、300℃〜700℃の範囲内であることを特徴とする請求項1乃至9のいずれか一項に記載の成膜方法。
  11. 前記薄膜の成膜時の圧力は、13Pa(0.1Torr)〜1330Pa(10Torr)の範囲内であることを特徴とする請求項1乃至10のいずれか一項に記載の成膜方法。
  12. 前記不純物含有ガスの供給量を制御することにより、前記被処理体上に形成される前記不純物含有シリコン窒化膜に所望のストレスを付与するようにしたことを特徴とする請求項1乃至11のいずれか一項に記載の成膜方法。
  13. 前記不純物含有ガスの供給量は、前記ストレスが圧縮的なストレス(コンプレッシブストレス)になるように制御されることを特徴とする請求項12記載の成膜方法。
  14. 前記不純物含有ガスの供給量は、前記ストレスが引っ張り的なストレス(テンサイルストレス)になるように制御されることを特徴とする請求項12記載の成膜方法。
  15. 前記処理容器が、直径300mmの被処理体を複数枚処理することができる大きさの場合には、前記不純物含有ガスの供給量は1〜15sccmの範囲内であることを特徴とする請求項12乃至14のいずれか一項に記載の成膜方法。
  16. 前記シラン系ガスは、ジクロロシラン(DCS)、ヘキサクロロジシラン(HCD)、モノシラン[SiH ]、ジシラン[Si ]、ヘキサメチルジシラザン(HMDS)、テトラクロロシラン(TCS)、ジシリルアミン(DSA)、トリシリルアミン(TSA)、ビスターシャルブチルアミノシラン(BTBAS)よりなる群より選択される1以上のガスであり、前記窒化ガスは、アンモニア[NH ]、窒素[N ]、一酸化二窒素[N O]、一酸化窒素[NO]よりなる群より選択される1以上のガスであることを特徴とする請求項1乃至15のいずれか一項に記載の成膜方法。
  17. 被処理体に対して所定の薄膜を形成するための成膜装置において、
    真空引き可能になされた縦型の筒体状の処理容器と、
    前記被処理体を複数段に保持して前記処理容器内に挿脱される保持手段と、
    前記処理容器の外周に設けられる加熱手段と、
    前記処理容器内へ成膜用のシラン系ガスを供給するシラン系ガス供給手段と、
    前記処理容器内へ窒化ガスを供給する窒化ガス供給手段と、
    前記処理容器内へBCl 、B 、BF 、B(CH よりなる群より選択される1以上のボロン含有ガスよりなる不純物含有ガスを供給する不純物含有ガス供給手段と、
    前記窒化ガスを活性化する活性化手段と、
    請求項1乃至16のいずれか一項に記載の成膜方法を実施するように装置全体を制御する制御手段と、
    を備えたことを特徴とする成膜装置。
  18. 前記活性化手段は、前記処理容器に一体的に組み込まれていることを特徴とする請求項17記載の成膜装置。
  19. 前記活性化手段は、前記処理容器とは別体で形成されていることを特徴とする請求項17記載の成膜装置。
  20. 真空引き可能になされた縦型の筒体状の処理容器と、
    被処理体を複数段に保持して前記処理容器内に挿脱される保持手段と、
    前記処理容器の外周に設けられる加熱手段と、
    前記処理容器内へ成膜用のシラン系ガスを供給するシラン系ガス供給手段と、
    前記処理容器内へ窒化ガスを供給する窒化ガス供給手段と、
    前記処理容器内へBCl 、B 、BF 、B(CH よりなる群より選択される1以上のボロン含有ガスよりなる不純物含有ガスを供給する不純物含有ガス供給手段と、
    前記窒化ガスを活性化する活性化手段と、
    装置全体を制御する制御手段とを有する成膜装置を用いて薄膜を形成するに際して、
    請求項1乃至16のいずれか一項に記載の成膜方法を実施するように前記成膜装置を制御するプログラムを記憶する記憶媒体。
JP2005177344A 2004-07-28 2005-06-17 成膜方法、成膜装置及び記憶媒体 Active JP4179311B2 (ja)

Priority Applications (5)

Application Number Priority Date Filing Date Title
JP2005177344A JP4179311B2 (ja) 2004-07-28 2005-06-17 成膜方法、成膜装置及び記憶媒体
US11/188,736 US7462571B2 (en) 2004-07-28 2005-07-26 Film formation method and apparatus for semiconductor process for forming a silicon nitride film
TW094125436A TWI349302B (en) 2004-07-28 2005-07-27 Film formation method and apparatus for semiconductor process
KR1020050068174A KR100890684B1 (ko) 2004-07-28 2005-07-27 반도체 처리용 성막 방법
CNB2005100873066A CN100426474C (zh) 2004-07-28 2005-07-28 半导体工艺的成膜方法和装置

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
JP2004220555 2004-07-28
JP2005048060 2005-02-23
JP2005177344A JP4179311B2 (ja) 2004-07-28 2005-06-17 成膜方法、成膜装置及び記憶媒体

Publications (3)

Publication Number Publication Date
JP2006270016A JP2006270016A (ja) 2006-10-05
JP2006270016A5 JP2006270016A5 (ja) 2006-12-28
JP4179311B2 true JP4179311B2 (ja) 2008-11-12

Family

ID=35798796

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2005177344A Active JP4179311B2 (ja) 2004-07-28 2005-06-17 成膜方法、成膜装置及び記憶媒体

Country Status (5)

Country Link
US (1) US7462571B2 (ja)
JP (1) JP4179311B2 (ja)
KR (1) KR100890684B1 (ja)
CN (1) CN100426474C (ja)
TW (1) TWI349302B (ja)

Families Citing this family (290)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP4279176B2 (ja) * 2004-03-02 2009-06-17 株式会社アルバック シリコン窒化膜の形成方法
JP4396547B2 (ja) * 2004-06-28 2010-01-13 東京エレクトロン株式会社 成膜方法、成膜装置及び記憶媒体
JP4997698B2 (ja) * 2004-12-09 2012-08-08 富士通セミコンダクター株式会社 応力蓄積絶縁膜の製造方法及び半導体装置
JP4258518B2 (ja) * 2005-03-09 2009-04-30 東京エレクトロン株式会社 成膜方法、成膜装置及び記憶媒体
US8138104B2 (en) * 2005-05-26 2012-03-20 Applied Materials, Inc. Method to increase silicon nitride tensile stress using nitrogen plasma in-situ treatment and ex-situ UV cure
US8129290B2 (en) 2005-05-26 2012-03-06 Applied Materials, Inc. Method to increase tensile stress of silicon nitride films using a post PECVD deposition UV cure
TW200715376A (en) * 2005-07-08 2007-04-16 Aviza Tech Inc Method for depositing silicon-containing films
JPWO2007111348A1 (ja) * 2006-03-28 2009-08-13 株式会社日立国際電気 基板処理装置
JP4929932B2 (ja) * 2006-09-01 2012-05-09 東京エレクトロン株式会社 成膜方法、成膜装置及び記憶媒体
JP4916257B2 (ja) * 2006-09-06 2012-04-11 東京エレクトロン株式会社 酸化膜の形成方法、酸化膜の形成装置及びプログラム
US20080145536A1 (en) * 2006-12-13 2008-06-19 Applied Materials, Inc. METHOD AND APPARATUS FOR LOW TEMPERATURE AND LOW K SiBN DEPOSITION
KR100843231B1 (ko) * 2007-01-23 2008-07-02 삼성전자주식회사 플라즈마 도핑방법
US20080213479A1 (en) 2007-02-16 2008-09-04 Tokyo Electron Limited SiCN film formation method and apparatus
JP4924437B2 (ja) * 2007-02-16 2012-04-25 東京エレクトロン株式会社 成膜方法及び成膜装置
JP2008294260A (ja) * 2007-05-25 2008-12-04 Sony Corp 半導体装置とその製造方法並びに積層絶縁膜とその形成方法
JP5151260B2 (ja) * 2007-06-11 2013-02-27 東京エレクトロン株式会社 成膜方法及び成膜装置
US20090056877A1 (en) 2007-08-31 2009-03-05 Tokyo Electron Limited Plasma processing apparatus
JP4935684B2 (ja) * 2008-01-12 2012-05-23 東京エレクトロン株式会社 成膜方法及び成膜装置
US20090197424A1 (en) * 2008-01-31 2009-08-06 Hitachi Kokusai Electric Inc. Substrate processing apparatus and method for manufacturing semiconductor device
JP2009260151A (ja) * 2008-04-18 2009-11-05 Tokyo Electron Ltd 金属ドープ層の形成方法、成膜装置及び記憶媒体
JP5284182B2 (ja) * 2008-07-23 2013-09-11 株式会社日立国際電気 基板処理装置および半導体装置の製造方法
JP5155070B2 (ja) 2008-09-02 2013-02-27 株式会社日立国際電気 半導体装置の製造方法、基板処理方法及び基板処理装置
JP4638550B2 (ja) * 2008-09-29 2011-02-23 東京エレクトロン株式会社 マスクパターンの形成方法、微細パターンの形成方法及び成膜装置
JP5384291B2 (ja) 2008-11-26 2014-01-08 株式会社日立国際電気 半導体装置の製造方法、基板処理方法及び基板処理装置
JP5099101B2 (ja) 2009-01-23 2012-12-12 東京エレクトロン株式会社 プラズマ処理装置
JP5136574B2 (ja) 2009-05-01 2013-02-06 東京エレクトロン株式会社 プラズマ処理装置及びプラズマ処理方法
GB2471128A (en) * 2009-06-18 2010-12-22 Rec Solar As Surface passivation of silicon wafers
JP2011023576A (ja) * 2009-07-16 2011-02-03 Hitachi Kokusai Electric Inc 半導体装置の製造方法及び基板処理装置
US8637411B2 (en) 2010-04-15 2014-01-28 Novellus Systems, Inc. Plasma activated conformal dielectric film deposition
US9390909B2 (en) 2013-11-07 2016-07-12 Novellus Systems, Inc. Soft landing nanolaminates for advanced patterning
US20110256734A1 (en) 2010-04-15 2011-10-20 Hausmann Dennis M Silicon nitride films and methods
US9611544B2 (en) 2010-04-15 2017-04-04 Novellus Systems, Inc. Plasma activated conformal dielectric film deposition
US8956983B2 (en) 2010-04-15 2015-02-17 Novellus Systems, Inc. Conformal doping via plasma activated atomic layer deposition and conformal film deposition
US9257274B2 (en) 2010-04-15 2016-02-09 Lam Research Corporation Gapfill of variable aspect ratio features with a composite PEALD and PECVD method
US9892917B2 (en) 2010-04-15 2018-02-13 Lam Research Corporation Plasma assisted atomic layer deposition of multi-layer films for patterning applications
US9373500B2 (en) 2014-02-21 2016-06-21 Lam Research Corporation Plasma assisted atomic layer deposition titanium oxide for conformal encapsulation and gapfill applications
US9076646B2 (en) 2010-04-15 2015-07-07 Lam Research Corporation Plasma enhanced atomic layer deposition with pulsed plasma exposure
US9997357B2 (en) 2010-04-15 2018-06-12 Lam Research Corporation Capped ALD films for doping fin-shaped channel regions of 3-D IC transistors
JP5696530B2 (ja) * 2010-05-01 2015-04-08 東京エレクトロン株式会社 薄膜の形成方法及び成膜装置
US9685320B2 (en) 2010-09-23 2017-06-20 Lam Research Corporation Methods for depositing silicon oxide
JP5665627B2 (ja) * 2011-03-30 2015-02-04 東京エレクトロン株式会社 シリコン酸化物膜及びシリコン窒化物膜の積層方法、並びに成膜装置及び半導体装置の製造方法
US8647993B2 (en) 2011-04-11 2014-02-11 Novellus Systems, Inc. Methods for UV-assisted conformal film deposition
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
JP5723243B2 (ja) * 2011-08-11 2015-05-27 東京エレクトロン株式会社 成膜方法、これを含む半導体装置の製造方法、成膜装置、及び半導体装置
KR101879175B1 (ko) * 2011-10-20 2018-08-20 삼성전자주식회사 화학 기상 증착 장치
US8592328B2 (en) * 2012-01-20 2013-11-26 Novellus Systems, Inc. Method for depositing a chlorine-free conformal sin film
US9388491B2 (en) * 2012-07-23 2016-07-12 Novellus Systems, Inc. Method for deposition of conformal films with catalysis assisted low temperature CVD
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US9355839B2 (en) 2012-10-23 2016-05-31 Lam Research Corporation Sub-saturated atomic layer deposition and conformal film deposition
SG2013083241A (en) 2012-11-08 2014-06-27 Novellus Systems Inc Conformal film deposition for gapfill
SG2013083654A (en) 2012-11-08 2014-06-27 Novellus Systems Inc Methods for depositing films on sensitive substrates
JP5956972B2 (ja) * 2012-12-21 2016-07-27 東京エレクトロン株式会社 成膜方法
TWI502096B (zh) 2013-06-17 2015-10-01 Ind Tech Res Inst 用於化學氣相沉積的反應裝置及反應製程
JP6267080B2 (ja) 2013-10-07 2018-01-24 東京エレクトロン株式会社 シリコン窒化物膜の成膜方法および成膜装置
US9556516B2 (en) * 2013-10-09 2017-01-31 ASM IP Holding B.V Method for forming Ti-containing film by PEALD using TDMAT or TDEAT
US20150125628A1 (en) * 2013-11-06 2015-05-07 Asm Ip Holding B.V. Method of depositing thin film
US9214334B2 (en) 2014-02-18 2015-12-15 Lam Research Corporation High growth rate process for conformal aluminum nitride
JP6291297B2 (ja) 2014-03-17 2018-03-14 東京エレクトロン株式会社 成膜方法、成膜装置及び記憶媒体
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
JP6307984B2 (ja) * 2014-03-31 2018-04-11 東京エレクトロン株式会社 基板処理装置
JP6504770B2 (ja) * 2014-06-30 2019-04-24 東京エレクトロン株式会社 プラズマ処理装置及びプラズマ処理方法
US9478411B2 (en) 2014-08-20 2016-10-25 Lam Research Corporation Method to tune TiOx stoichiometry using atomic layer deposited Ti film to minimize contact resistance for TiOx/Ti based MIS contact scheme for CMOS
US9478438B2 (en) 2014-08-20 2016-10-25 Lam Research Corporation Method and apparatus to deposit pure titanium thin film at low temperature using titanium tetraiodide precursor
US9214333B1 (en) 2014-09-24 2015-12-15 Lam Research Corporation Methods and apparatuses for uniform reduction of the in-feature wet etch rate of a silicon nitride film formed by ALD
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US9564312B2 (en) * 2014-11-24 2017-02-07 Lam Research Corporation Selective inhibition in atomic layer deposition of silicon-containing films
US9589790B2 (en) 2014-11-24 2017-03-07 Lam Research Corporation Method of depositing ammonia free and chlorine free conformal silicon nitride film
JP6378070B2 (ja) * 2014-12-15 2018-08-22 東京エレクトロン株式会社 成膜方法
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US10566187B2 (en) 2015-03-20 2020-02-18 Lam Research Corporation Ultrathin atomic layer deposition film accuracy thickness control
US9502238B2 (en) 2015-04-03 2016-11-22 Lam Research Corporation Deposition of conformal films by atomic layer deposition and atomic layer etch
TWI617690B (zh) 2015-06-16 2018-03-11 慧盛材料美國責任有限公司 鹵代矽烷化合物及使用其的用於沉積含矽膜之組合物和方法
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10526701B2 (en) 2015-07-09 2020-01-07 Lam Research Corporation Multi-cycle ALD process for film uniformity and thickness profile modulation
US9601693B1 (en) 2015-09-24 2017-03-21 Lam Research Corporation Method for encapsulating a chalcogenide material
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US10121655B2 (en) 2015-11-20 2018-11-06 Applied Materials, Inc. Lateral plasma/radical source
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
WO2017149604A1 (ja) * 2016-02-29 2017-09-08 株式会社日立国際電気 半導体装置の製造方法、基板処理装置、および記録媒体
JP6529927B2 (ja) * 2016-04-15 2019-06-12 株式会社Kokusai Electric 半導体装置の製造方法、基板処理装置、およびプログラム
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US9773643B1 (en) 2016-06-30 2017-09-26 Lam Research Corporation Apparatus and method for deposition and etch in gap fill
US10062563B2 (en) 2016-07-01 2018-08-28 Lam Research Corporation Selective atomic layer deposition with post-dose treatment
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10629435B2 (en) 2016-07-29 2020-04-21 Lam Research Corporation Doped ALD films for semiconductor patterning applications
KR102146600B1 (ko) * 2016-08-01 2020-08-20 도쿄엘렉트론가부시키가이샤 기판 처리 장치 및 기판 처리 방법
US10037884B2 (en) 2016-08-31 2018-07-31 Lam Research Corporation Selective atomic layer deposition for gapfill using sacrificial underlayer
US10074543B2 (en) 2016-08-31 2018-09-11 Lam Research Corporation High dry etch rate materials for semiconductor patterning applications
US9865455B1 (en) 2016-09-07 2018-01-09 Lam Research Corporation Nitride film formed by plasma-enhanced and thermal atomic layer deposition process
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10832908B2 (en) 2016-11-11 2020-11-10 Lam Research Corporation Self-aligned multi-patterning process flow with ALD gapfill spacer mask
US10454029B2 (en) 2016-11-11 2019-10-22 Lam Research Corporation Method for reducing the wet etch rate of a sin film without damaging the underlying substrate
US10134579B2 (en) 2016-11-14 2018-11-20 Lam Research Corporation Method for high modulus ALD SiO2 spacer
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
KR20180070971A (ko) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
US10269559B2 (en) 2017-09-13 2019-04-23 Lam Research Corporation Dielectric gapfill of high aspect ratio features utilizing a sacrificial etch cap layer
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
KR102633318B1 (ko) 2017-11-27 2024-02-05 에이에스엠 아이피 홀딩 비.브이. 청정 소형 구역을 포함한 장치
WO2019103613A1 (en) 2017-11-27 2019-05-31 Asm Ip Holding B.V. A storage device for storing wafer cassettes for use with a batch furnace
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
CN111630203A (zh) 2018-01-19 2020-09-04 Asm Ip私人控股有限公司 通过等离子体辅助沉积来沉积间隙填充层的方法
TWI799494B (zh) 2018-01-19 2023-04-21 荷蘭商Asm 智慧財產控股公司 沈積方法
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
CN116732497A (zh) 2018-02-14 2023-09-12 Asm Ip私人控股有限公司 通过循环沉积工艺在衬底上沉积含钌膜的方法
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
CN112005343A (zh) 2018-03-02 2020-11-27 朗姆研究公司 使用水解的选择性沉积
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR20190128558A (ko) 2018-05-08 2019-11-18 에이에스엠 아이피 홀딩 비.브이. 기판 상에 산화물 막을 주기적 증착 공정에 의해 증착하기 위한 방법 및 관련 소자 구조
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
TW202013553A (zh) 2018-06-04 2020-04-01 荷蘭商Asm 智慧財產控股公司 水氣降低的晶圓處置腔室
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
CN112292478A (zh) 2018-06-27 2021-01-29 Asm Ip私人控股有限公司 用于形成含金属的材料的循环沉积方法及包含含金属的材料的膜和结构
CN112292477A (zh) 2018-06-27 2021-01-29 Asm Ip私人控股有限公司 用于形成含金属的材料的循环沉积方法及包含含金属的材料的膜和结构
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
JP2020096183A (ja) 2018-12-14 2020-06-18 エーエスエム・アイピー・ホールディング・ベー・フェー 窒化ガリウムの選択的堆積を用いてデバイス構造体を形成する方法及びそのためのシステム
TWI819180B (zh) 2019-01-17 2023-10-21 荷蘭商Asm 智慧財產控股公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
KR20200102357A (ko) 2019-02-20 2020-08-31 에이에스엠 아이피 홀딩 비.브이. 3-d nand 응용의 플러그 충진체 증착용 장치 및 방법
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
TW202044325A (zh) 2019-02-20 2020-12-01 荷蘭商Asm Ip私人控股有限公司 填充一基板之一表面內所形成的一凹槽的方法、根據其所形成之半導體結構、及半導體處理設備
TW202104632A (zh) 2019-02-20 2021-02-01 荷蘭商Asm Ip私人控股有限公司 用來填充形成於基材表面內之凹部的循環沉積方法及設備
TW202100794A (zh) 2019-02-22 2021-01-01 荷蘭商Asm Ip私人控股有限公司 基材處理設備及處理基材之方法
KR20200108248A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOCN 층을 포함한 구조체 및 이의 형성 방법
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
JP2020167398A (ja) 2019-03-28 2020-10-08 エーエスエム・アイピー・ホールディング・ベー・フェー ドアオープナーおよびドアオープナーが提供される基材処理装置
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
KR20200123380A (ko) 2019-04-19 2020-10-29 에이에스엠 아이피 홀딩 비.브이. 층 형성 방법 및 장치
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141002A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 배기 가스 분석을 포함한 기상 반응기 시스템을 사용하는 방법
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP2021015791A (ja) 2019-07-09 2021-02-12 エーエスエム アイピー ホールディング ビー.ブイ. 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
CN112242296A (zh) 2019-07-19 2021-01-19 Asm Ip私人控股有限公司 形成拓扑受控的无定形碳聚合物膜的方法
CN112309843A (zh) 2019-07-29 2021-02-02 Asm Ip私人控股有限公司 实现高掺杂剂掺入的选择性沉积方法
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
KR20210018759A (ko) 2019-08-05 2021-02-18 에이에스엠 아이피 홀딩 비.브이. 화학물질 공급원 용기를 위한 액체 레벨 센서
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
TW202129060A (zh) 2019-10-08 2021-08-01 荷蘭商Asm Ip控股公司 基板處理裝置、及基板處理方法
KR20210043460A (ko) 2019-10-10 2021-04-21 에이에스엠 아이피 홀딩 비.브이. 포토레지스트 하부층을 형성하기 위한 방법 및 이를 포함한 구조체
KR20210045930A (ko) 2019-10-16 2021-04-27 에이에스엠 아이피 홀딩 비.브이. 실리콘 산화물의 토폴로지-선택적 막의 형성 방법
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
KR20210065848A (ko) 2019-11-26 2021-06-04 에이에스엠 아이피 홀딩 비.브이. 제1 유전체 표면과 제2 금속성 표면을 포함한 기판 상에 타겟 막을 선택적으로 형성하기 위한 방법
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11885013B2 (en) 2019-12-17 2024-01-30 Asm Ip Holding B.V. Method of forming vanadium nitride layer and structure including the vanadium nitride layer
KR20210080214A (ko) 2019-12-19 2021-06-30 에이에스엠 아이피 홀딩 비.브이. 기판 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
KR20210095050A (ko) 2020-01-20 2021-07-30 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법 및 박막 표면 개질 방법
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
TW202146882A (zh) 2020-02-04 2021-12-16 荷蘭商Asm Ip私人控股有限公司 驗證一物品之方法、用於驗證一物品之設備、及用於驗證一反應室之系統
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
US11781243B2 (en) 2020-02-17 2023-10-10 Asm Ip Holding B.V. Method for depositing low temperature phosphorous-doped silicon
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
KR20210116249A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 록아웃 태그아웃 어셈블리 및 시스템 그리고 이의 사용 방법
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
KR20210132605A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 냉각 가스 공급부를 포함한 수직형 배치 퍼니스 어셈블리
US11898243B2 (en) 2020-04-24 2024-02-13 Asm Ip Holding B.V. Method of forming vanadium nitride-containing layer
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
KR20210143653A (ko) 2020-05-19 2021-11-29 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202219628A (zh) 2020-07-17 2022-05-16 荷蘭商Asm Ip私人控股有限公司 用於光微影之結構與方法
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
TW202212623A (zh) 2020-08-26 2022-04-01 荷蘭商Asm Ip私人控股有限公司 形成金屬氧化矽層及金屬氮氧化矽層的方法、半導體結構、及系統
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
TW202217037A (zh) 2020-10-22 2022-05-01 荷蘭商Asm Ip私人控股有限公司 沉積釩金屬的方法、結構、裝置及沉積總成
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
TW202235675A (zh) 2020-11-30 2022-09-16 荷蘭商Asm Ip私人控股有限公司 注入器、及基板處理設備
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
JP2022108120A (ja) 2021-01-12 2022-07-25 東京エレクトロン株式会社 成膜方法及びプラズマ処理装置
JP2022120422A (ja) 2021-02-05 2022-08-18 東京エレクトロン株式会社 成膜方法
JP7194216B2 (ja) * 2021-03-17 2022-12-21 株式会社Kokusai Electric 半導体装置の製造方法、基板処理方法、プログラム及び基板処理装置
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate

Family Cites Families (10)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH0831454B2 (ja) * 1989-04-21 1996-03-27 日本電気株式会社 半導体装置の製造方法
JPH0634974A (ja) 1992-07-20 1994-02-10 Toshiba Lighting & Technol Corp 照明装置および液晶表示装置
JPH0831454A (ja) 1994-07-13 1996-02-02 Toshiba Battery Co Ltd コイン形非水電解液二次電池
TW371796B (en) * 1995-09-08 1999-10-11 Semiconductor Energy Lab Co Ltd Method and apparatus for manufacturing a semiconductor device
JP2000100812A (ja) 1998-09-17 2000-04-07 Tokyo Electron Ltd シリコンナイトライド膜の成膜方法
WO2001080298A1 (en) * 2000-04-17 2001-10-25 Mattson Technology, Inc. Uv pretreatment process for ultra-thin oxynitride for formation of silicon nitride films
JP3644880B2 (ja) * 2000-06-20 2005-05-11 東京エレクトロン株式会社 縦型熱処理装置
KR100449028B1 (ko) 2002-03-05 2004-09-16 삼성전자주식회사 원자층 증착법을 이용한 박막 형성방법
JP3947126B2 (ja) 2002-04-11 2007-07-18 株式会社日立国際電気 半導体製造装置
US7129187B2 (en) * 2004-07-14 2006-10-31 Tokyo Electron Limited Low-temperature plasma-enhanced chemical vapor deposition of silicon-nitrogen-containing films

Also Published As

Publication number Publication date
CN100426474C (zh) 2008-10-15
JP2006270016A (ja) 2006-10-05
TWI349302B (en) 2011-09-21
KR20060048790A (ko) 2006-05-18
KR100890684B1 (ko) 2009-03-26
US20060032443A1 (en) 2006-02-16
TW200618073A (en) 2006-06-01
US7462571B2 (en) 2008-12-09
CN1881541A (zh) 2006-12-20

Similar Documents

Publication Publication Date Title
JP4179311B2 (ja) 成膜方法、成膜装置及び記憶媒体
JP5151260B2 (ja) 成膜方法及び成膜装置
JP4935687B2 (ja) 成膜方法及び成膜装置
JP4935684B2 (ja) 成膜方法及び成膜装置
JP5233562B2 (ja) 成膜方法及び成膜装置
JP5190307B2 (ja) 成膜方法、成膜装置及び記憶媒体
JP4258518B2 (ja) 成膜方法、成膜装置及び記憶媒体
JP4506677B2 (ja) 成膜方法、成膜装置及び記憶媒体
JP4396547B2 (ja) 成膜方法、成膜装置及び記憶媒体
JP4929932B2 (ja) 成膜方法、成膜装置及び記憶媒体
JP4893729B2 (ja) 成膜方法、成膜装置及び記憶媒体
JP5920242B2 (ja) 成膜方法及び成膜装置
JP4929811B2 (ja) プラズマ処理装置
JP4434149B2 (ja) 成膜方法、成膜装置及び記憶媒体
JP5287964B2 (ja) 成膜方法及び成膜装置
JP4305427B2 (ja) 成膜方法、成膜装置及び記憶媒体
JP5699980B2 (ja) 成膜方法及び成膜装置
JP5887962B2 (ja) 成膜装置
JP6024484B2 (ja) 成膜方法及び成膜装置
JP2009260151A (ja) 金属ドープ層の形成方法、成膜装置及び記憶媒体
TW201900919A (zh) 矽氮化膜之成膜方法及成膜裝置
JP2006066884A (ja) 成膜方法、成膜装置及び記憶媒体
JP5228437B2 (ja) 処理装置及びその使用方法
JP5082595B2 (ja) 成膜装置

Legal Events

Date Code Title Description
A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20061109

A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20070706

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20080408

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20080603

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20080805

A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20080818

R150 Certificate of patent or registration of utility model

Ref document number: 4179311

Country of ref document: JP

Free format text: JAPANESE INTERMEDIATE CODE: R150

Free format text: JAPANESE INTERMEDIATE CODE: R150

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20110905

Year of fee payment: 3

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20110905

Year of fee payment: 3

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20140905

Year of fee payment: 6

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250