JP4396547B2 - 成膜方法、成膜装置及び記憶媒体 - Google Patents

成膜方法、成膜装置及び記憶媒体 Download PDF

Info

Publication number
JP4396547B2
JP4396547B2 JP2005048059A JP2005048059A JP4396547B2 JP 4396547 B2 JP4396547 B2 JP 4396547B2 JP 2005048059 A JP2005048059 A JP 2005048059A JP 2005048059 A JP2005048059 A JP 2005048059A JP 4396547 B2 JP4396547 B2 JP 4396547B2
Authority
JP
Japan
Prior art keywords
gas
film forming
forming method
film
nitriding
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Active
Application number
JP2005048059A
Other languages
English (en)
Other versions
JP2006049809A (ja
Inventor
一秀 長谷部
保華 周
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Tokyo Electron Ltd
Original Assignee
Tokyo Electron Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Tokyo Electron Ltd filed Critical Tokyo Electron Ltd
Priority to JP2005048059A priority Critical patent/JP4396547B2/ja
Priority to KR1020050055543A priority patent/KR100954243B1/ko
Priority to US11/166,073 priority patent/US7300885B2/en
Priority to TW094121450A priority patent/TWI440087B/zh
Priority to CN2008101093741A priority patent/CN101381861B/zh
Priority to CN2009101426299A priority patent/CN101570856B/zh
Publication of JP2006049809A publication Critical patent/JP2006049809A/ja
Application granted granted Critical
Publication of JP4396547B2 publication Critical patent/JP4396547B2/ja
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45563Gas nozzles
    • C23C16/45578Elongated nozzles, tubes with holes
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/34Nitrides
    • C23C16/345Silicon nitride
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/448Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for generating reactive gas streams, e.g. by evaporation or sublimation of precursor materials
    • C23C16/452Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for generating reactive gas streams, e.g. by evaporation or sublimation of precursor materials by activating reactive gas streams before their introduction into the reaction chamber, e.g. by ionisation or addition of reactive species
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45527Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45527Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations
    • C23C16/45536Use of plasma, radiation or electromagnetic fields
    • C23C16/45542Plasma being used non-continuously during the ALD reactions
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45544Atomic layer deposition [ALD] characterized by the apparatus
    • C23C16/45546Atomic layer deposition [ALD] characterized by the apparatus specially adapted for a substrate stack in the ALD reactor
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/3244Gas supply means
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/0217Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon nitride not containing oxygen, e.g. SixNy or SixByNz
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02205Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
    • H01L21/02208Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si
    • H01L21/02211Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound being a silane, e.g. disilane, methylsilane or chlorosilane
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/02274Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition in the presence of a plasma [PECVD]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/0228Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition deposition by cyclic CVD, e.g. ALD, ALE, pulsed CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/314Inorganic layers
    • H01L21/318Inorganic layers composed of nitrides
    • H01L21/3185Inorganic layers composed of nitrides of siliconnitrides
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J2237/00Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
    • H01J2237/32Processing objects by plasma generation
    • H01J2237/33Processing objects by plasma generation characterised by the type of processing
    • H01J2237/332Coating
    • H01J2237/3321CVD [Chemical Vapor Deposition]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/314Inorganic layers
    • H01L21/3143Inorganic layers composed of alternated layers or of mixtures of nitrides and oxides or of oxinitrides, e.g. formation of oxinitride by oxidation of nitride layers
    • H01L21/3145Inorganic layers composed of alternated layers or of mixtures of nitrides and oxides or of oxinitrides, e.g. formation of oxinitride by oxidation of nitride layers formed by deposition from a gas or vapour

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Physics & Mathematics (AREA)
  • General Chemical & Material Sciences (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • General Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Plasma & Fusion (AREA)
  • Analytical Chemistry (AREA)
  • Electromagnetism (AREA)
  • Inorganic Chemistry (AREA)
  • Chemical Vapour Deposition (AREA)
  • Formation Of Insulating Films (AREA)

Description

本発明は、半導体ウエハ等の被処理体に薄膜を形成する成膜方法、成膜装置及びこれをコンピュータ制御するプログラムを記憶する記憶媒体に関する。
一般に、半導体集積回路を製造するためにはシリコン基板等よりなる半導体ウエハに対して、成膜処理、エッチング処理、酸化処理、拡散処理、改質処理、自然酸化膜の除去処理等の各種の処理が行なわれる。これらの処理を特許文献1等に開示されている縦型の、いわゆるバッチ式の熱処理装置にて行う場合には、まず、半導体ウエハを複数枚、例えば25枚程度収容できるカセットから、半導体ウエハを縦型のウエハボートへ移載してこれに多段に支持させる。このウエハボートは、例えばウエハサイズにもよるが30〜150枚程度のウエハを載置できる。このウエハボートは、排気可能な処理容器内にその下方より搬入(ロード)された後、処理容器内が気密に維持される。そして、処理ガスの流量、プロセス圧力、プロセス温度等の各種のプロセス条件を制御しつつ所定の熱処理が施される。
ところで、最近にあっては半導体集積回路の更なる高集積化及び高微細化の要求が強くなされており、回路素子の特性の向上の上から半導体集積回路の製造工程における熱履歴も低減化することが望まれている。このような状況下において、縦型の、いわゆるバッチ式の縦型の処理装置においても、ウエハをそれ程の高温に晒さなくても目的とする処理が可能なことから、原料ガス等を間欠的に供給しながら原子レベルで1層〜数層ずつ、或いは分子レベルで1層〜数層ずつ繰り返し成膜する方法が知られている(特許文献2、3等)。このような成膜方法は一般的にはALD(Atomic Layer Deposition)と称されている。
ここで従来の成膜方法としては、シラン系ガスであるジクロロシラン(以下、「DCS」とも称す)と窒化ガスであるNH ガスとを用いてシリコン窒化膜(SiN)を形成する場合について説明する。図12は上記DCSとNH との供給態様を示す図である。図示するように、処理容器内に、DCSとNH ガスとを交互に間欠的に供給し、NH ガスを供給する時にRF(高周波)を印加してプラズマを立て、窒化反応を促進するようにしている。この場合、DCSを処理容器内へ供給することにより、ウエハ表面上にDCSが分子レベルで一層、或いは複数層吸着し、そして余分なDCSを不活性ガスパージ、或いは真空引きで排除した後、NH を供給してプラズマを立てることによって低温での窒化を促進して窒化膜を形成し、この一連の工程を繰り返し行っている。
特開2004−6801号公報 特開平6−45256号公報 特開平11−87341号公報
ところで、上述のような成膜方法では、比較的良好なステップカバレジが得られるのみならず、低温化により、高温のCVD(Chemical Vapor Deposition)成膜方法で行った場合と比較して、膜中のSi−H結合が少なくなって膜質の特性も向上できる、という利点を有する。
しかしながら、上述したような従来の成膜方法では、上記のように膜質はかなり良好ではあるが、成膜温度がCVD成膜方法の場合と比較してかなり低いことから、プラズマにより反応を促進させるようにしているにもかかわらず、成膜レートがかなり低く、スループットが低い、という問題があった。
本発明は、以上のような問題点に着目し、これを有効に解決すべく創案されたものである。本発明の目的は、原料ガスを間欠的に供給して薄膜を堆積させる際に、その膜質を高く維持することができると共に、成膜レートを大幅に向上させることができる成膜方法、成膜装置及び記憶媒体を提供することにある。
請求項1に係る発明は、真空引き可能になされた処理容器内にシラン系ガスよりなる原料ガスと窒化ガスよりなる支援ガスとを用いて被処理体の表面に薄膜を形成する成膜方法において、前記原料ガスと前記支援ガスとを同時に供給する同時供給工程と前記支援ガスを単独で供給する単独供給工程とを、間に間欠期間を挟んで交互に繰り返し行うと共に、前記単独供給工程の時に供給される前記支援ガスを活性化するようにしたことを特徴とする成膜方法である。
このように、シラン系ガスよりなる原料ガスと窒化ガスよりなる支援ガスとを用いて被処理体の表面に薄膜を形成する際に、原料ガスと支援ガスとを同時に供給する同時供給工程と支援ガスを単独で供給する単独供給工程とを、間に間欠期間を挟んで交互に繰り返し行うと共に、単独供給工程の時に供給される支援ガスを活性化するようにしたので、その膜質を高く維持することができると共に、成膜レートを大幅に向上させることができる。
請求項2に係る発明は、真空引き可能になされた処理容器内にシラン系ガスよりなる原料ガスと窒化ガスよりなる支援ガスとを用いて被処理体の表面に薄膜を形成する成膜方法において、前記原料ガスと前記支援ガスとを同時に供給する同時供給工程と前記支援ガスを単独で供給する単独供給工程とを、間に間欠期間を挟んで交互に繰り返し行うと共に、前記同時供給工程と前記単独供給工程の時に供給される前記支援ガスを活性化することを特徴とする成膜方法である。
また例えば請求項3に規定するように、前記原料ガスの供給時と前記支援ガスの供給時との間の間欠期間には、前記処理容器内は少なくとも不活性ガスパージされていること、或いは全てのガスの供給が停止されて真空引きされている。
また例えば請求項4に規定するように、前記薄膜の成膜時の温度は、180℃〜600℃未満の範囲内である。
また例えば請求項5に規定するように、前記薄膜の成膜時の圧力は、27Pa(0.2Torr)〜1330Pa(10Torr)の範囲内である。
また例えば請求項6に規定するように、前記原料ガスと前記支援ガスとを同時供給する時の前記原料ガスと前記支援ガスとの流量比は、1/10〜10倍の範囲内である。
また例えば請求項7に規定するように、前記シラン系ガスは、ジクロロシラン(DCS)、ヘキサクロロジシラン(HCD)、モノシラン[SiH ]、ジシラン[Si ]、ヘキサメチルジシラザン(HMDS)、テトラクロロシラン(TCS)、ジシリルアミン(DSA)、トリシリルアミン(TSA)、ビスターシャルブチルアミノシラン(BTBAS)よりなる群より選択される1以上のガスであり、前記窒化ガスは、アンモニア[NH ]、窒素[N ]、一酸化二窒素[N O]、一酸化窒素[NO]よりなる群より選択される1以上のガスである。
請求項8に係る発明は、被処理体に対して所定の薄膜を形成するための成膜装置において、真空引き可能になされた縦型の筒体状の処理容器と、前記被処理体を複数段に保持して前記処理容器内に挿脱される保持手段と、前記処理容器の外周に設けられる加熱手段と、前記処理容器内へ成膜用の原料ガスを供給する原料ガス供給手段と、前記処理容器内へ支援ガスを供給する支援ガス供給手段と、前記支援ガスを活性化する活性化手段と、請求項1乃至7のいずれか一項に記載の成膜方法を実行するように制御する制御手段と、を備えたことを特徴とする成膜装置である。
この場合、例えば請求項9に規定するように、前記活性化手段は、前記処理容器に一体的に組み込まれている。
或いは例えば請求項10に規定するように、前記活性化手段は、前記処理容器とは別体で形成されている。
請求項11に係る発明によれば、真空引き可能になされた処理容器内にシラン系ガスよりなる原料ガスと窒化ガスと補助ガスとを用いて被処理体の表面に薄膜を形成する成膜方法において、前記原料ガスと前記補助ガスとを同時に供給する同時供給工程と前記窒化ガスを単独で供給する単独供給工程とを、間に間欠期間を挟んで交互に繰り返し行うと共に、前記同時供給工程の時に供給される前記補助ガスを活性化し、更に前記単独供給工程の時に供給される前記窒化ガスを活性化することを特徴とする成膜方法である。
このように、シラン系ガスよりなる原料ガスと窒化ガスと補助ガスとを用いて被処理体の表面に薄膜を形成する際に、原料ガスと補助ガスとを同時に供給する同時供給工程と窒化ガスを単独で供給する単独供給工程とを、間に間欠期間を挟んで交互に繰り返し行うと共に、同時供給工程の時に供給される補助ガスを活性化し、更に前記単独供給工程の時に供給される窒化ガスを活性化させるようにしたので、その膜質を高く維持することができると共に、成膜レートを更に大幅に向上させることができる。
この場合、例えば請求項12に規定するように、前記補助ガスは、窒素ガス、酸化窒素ガスまたは希ガスである。
また例えば請求項13に規定するように、前記窒化ガスは、前記処理容器内で高周波電力によって発生したプラズマによって活性化される。
また例えば請求項14に規定するように、前記窒化ガスの供給開始から前記窒化ガスの流量が安定化するまでの時間が経過した後に、前記高周波電力が印加される。
また例えば請求項15に規定するように、前記原料ガスの供給時と前記窒化ガスの供給時との間の間欠期間には、前記処理容器内は少なくとも不活性ガスパージされていること、或いは全てのガスの供給が停止されて真空引きされている。
また例えば請求項16に規定するように、前記薄膜の成膜時の温度は、180℃〜600℃未満の範囲内である。
また例えば請求項17に規定するように、前記薄膜の成膜時の圧力は、27Pa(0.2Torr)〜1330Pa(10Torr)の範囲内である。
また例えば請求項18に規定するように、前記原料ガスと前記窒化ガスとを同時供給する時の前記原料ガスと前記窒化ガスとの流量比は、1/10〜10倍の範囲内である。
また例えば請求項19に規定するように、前記原料ガスはシラン系ガスである。
また例えば請求項20に規定するように、前記シラン系ガスは、ジクロロシラン(DCS)、ヘキサクロロジシラン(HCD)、モノシラン[SiH ]、ジシラン[Si ]、ヘキサメチルジシラザン(HMDS)、テトラクロロシラン(TCS)、ジシリルアミン(DSA)、トリシリルアミン(TSA)、ビスターシャルブチルアミノシラン(BTBAS)よりなる群より選択される1以上のガスであり、前記窒化ガスは、アンモニア[NH ]、窒素[N ]、一酸化二窒素[N O]、一酸化窒素[NO]よりなる群より選択される1以上のガスである。
請求項21に係る発明は、被処理体に対して所定の薄膜を形成するための成膜装置において、真空引き可能になされた縦型の筒体状の処理容器と、前記被処理体を複数段に保持して前記処理容器内に挿脱される保持手段と、前記処理容器の外周に設けられる加熱手段と、前記処理容器内へ成膜用の原料ガスを供給する原料ガス供給手段と、前記処理容器内へ窒化ガスを供給する窒化ガス供給手段と、前記処理容器内へ補助ガスを供給する補助ガス供給手段と、前記窒化ガスと前記補助ガスとを活性化する活性化手段と、請求項11乃至20のいずれか一項に記載の成膜方法を実行するように制御する制御手段と、を備えたことを特徴とする成膜装置である。
この場合、例えば請求項22に規定するように、前記補助ガスは、窒素ガス、酸化窒素ガスまたは希ガスである。
また例えば請求項23に規定するように、前記活性化手段は、前記処理容器に一体的に組み込まれている。
また例えば請求項24に規定するように、前記活性化手段は、前記処理容器とは別体で形成されている。
請求項25に係る発明は、真空引き可能になされた処理容器内に原料ガスと支援ガスとを供給して被処理体の表面に薄膜を形成するようにした成膜装置を用いて薄膜を形成するに際して、請求項1乃至7のいずれか一項に記載の成膜方法を実行するように前記成膜装置を制御するプログラムを記憶する記憶媒体である。
請求項26に係る発明は、真空引き可能になされた処理容器内に原料ガスと窒化ガスと補助ガスとを供給して被処理体の表面に薄膜を形成するようにした成膜装置を用いて薄膜を形成するに際して、請求項11乃至20のいずれか一項に記載の成膜方法を実行するように前記成膜装置を制御するプログラムを記憶することを特徴とする記憶媒体である。
本発明の成膜方法、成膜装置及び記憶媒体によれば、次のように優れた作用効果を発揮することができる。
請求項1乃至10及び25に係る発明によれば、シラン系ガスよりなる原料ガスと窒化ガスよりなる支援ガスとを用いて被処理体の表面に薄膜を形成する際に、原料ガスと支援ガスとを同時に供給する同時供給工程と支援ガスを単独で供給する単独供給工程とを、間に間欠期間を挟んで交互に繰り返し行うと共に、単独供給工程の時に供給される支援ガスを活性化するようにしたので、その膜質を高く維持することができると共に、成膜レートを大幅に向上させることができる。
請求項11乃至24及び26に係る発明によれば、シラン系ガスよりなる原料ガスと窒化ガスと補助ガスとを用いて被処理体の表面に薄膜を形成する際に、原料ガスと補助ガスとを同時に供給する同時供給工程と窒化ガスを単独で供給する単独供給工程とを、間に間欠期間を挟んで交互に繰り返し行うと共に、同時供給工程の時に供給される補助ガスを活性化し、更に前記単独供給工程の時に供給される窒化ガスを活性化させるようにしたので、その膜質を高く維持することができると共に、成膜レートを更に大幅に向上させることができる。

以下に、本発明に係る成膜方法、成膜装置及び記憶媒体の一実施例を添付図面に基づいて詳述する。
<第1実施例>
図1は本発明の係る成膜装置の第1実施例を示す縦断面構成図、図2は成膜装置(加熱手段は省略)を示す横断面構成図、図3は本発明方法の第1実施例における各種のガスの供給のタイミングを示すタイミングチャートである。尚、ここでは原料ガスとしてシラン系のガスであるジクロロシラン(DCS)を用い、支援ガスとして窒化ガスの1つであるアンモニアガス(NH )を用い、このNH ガスをプラズマにより活性化してシリコン窒化膜(SiN)を成膜する場合を例にとって説明する。
図示するように、プラズマを形成することができるこの成膜装置2は、下端が開口された有天井の円筒体状の処理容器4を有している。この処理容器4の全体は、例えば石英により形成されており、この処理容器4内の天井には、石英製の天井板6が設けられて封止されている。また、この処理容器4の下端開口部には、例えばステンレススチールにより円筒体状に成形されたマニホールド8がOリング等のシール部材10を介して連結されている。
上記処理容器4の下端は、上記マニホールド8によって支持されており、このマニホールド8の下方より多数枚の被処理体としての半導体ウエハWを多段に載置した保持手段としての石英製のウエハボート12が昇降可能に挿脱自在になされている。本実施例の場合において、このウエハボート12の支柱12Aには、例えば50〜100枚程度の直径が300mmのウエハWを略等ピッチで多段に支持できるようになっている。
このウエハボート12は、石英製の保温筒14を介してテーブル16上に載置されており、このテーブル16は、マニホールド8の下端開口部を開閉する例えばステンレススチール製の蓋部18を貫通する回転軸20上に支持される。
そして、この回転軸20の貫通部には、例えば磁性流体シール22が介設され、この回転軸20を気密にシールしつつ回転可能に支持している。また、蓋部18の周辺部とマニホールド8の下端部には、例えばOリング等よりなるシール部材24が介設されており、処理容器4内のシール性を保持している。
上記した回転軸20は、例えばボートエレベータ等の昇降機構(図示せず)に支持されたアーム26の先端に取り付けられており、ウエハボート12及び蓋部18等を一体的に昇降して処理容器4内へ挿脱できるようになされている。尚、上記テーブル16を上記蓋部18側へ固定して設け、ウエハボート12を回転させることなくウエハWの処理を行うようにしてもよい。
このマニホールド8には、処理容器4内の方へプラズマ化される支援ガスとして、例えばアンモニア(NH )ガスを供給する支援ガス供給手段28と、原料ガスとして例えばシラン系ガスのDCS(ジクロロシラン)ガスを供給する原料ガス供給手段30と、パージガスとして不活性ガス、例えばN ガスを供給するパージガス供給手段32とが設けられる。具体的には、上記支援ガス供給手段28は、上記マニホールド8の側壁を内側へ貫通して上方向へ屈曲されて延びる石英管よりなる支援ガス分散ノズル34を有している。この支援ガス分散ノズル34には、その長さ方向に沿って複数(多数)のガス噴射孔34Aが所定の間隔を隔てて形成されており、各ガス噴射孔34Aから水平方向に向けて略均一にアンモニアガスを噴射できるようになっている。
また同様に上記原料ガス供給手段30も、上記マニホールド8の側壁を内側へ貫通して上方向へ屈曲されて延びる石英管よりなる原料ガス分散ノズル36を有している。ここでは上記原料ガス分散ノズル36は2本設けられており(図2参照)、各原料ガス分散ノズル36には、その長さ方向に沿って複数(多数)のガス噴射孔36Aが所定の間隔を隔てて形成されており、各ガス噴射孔36Aから水平方向に向けて略均一にシラン系ガスであるDCSガスを噴射できるようになっている。尚、この非プラズマガス分散ノズル36は2本でなく、1本のみ設けるようにしてもよい。また同様に上記パージガス供給手段32は、上記マニホールド8の側壁を貫通して設けたガスノズル38を有している。上記各ノズル34、36、38には、それぞれのガス通路42、44、46が接続されている。
そして、各ガス通路42、44、46には、それぞれ開閉弁42A、44A、46A及びマスフローコントローラのような流量制御器42B、44B、46Bが介設されており、NH ガス、DCSガス及びN ガスをそれぞれ流量制御しつつ供給できるようになっている。これらの各ガスの供給、供給停止、ガス流量の制御及び後述する高周波のオン・オフ制御等は例えばマイクロコンピュータ等よりなる制御手段48により行われる。そして、この制御手段48は、この成膜装置2の全体の動作も制御することになる。またこの制御手段48は、上記各種ガスの供給や供給停止の制御、高周波のオン・オフ制御及び装置全体の動作を制御するためのプログラムを記憶する例えばフロッピディスクやフラッシュメモリ等の記憶媒体49を有している。
そして、上記処理容器4の側壁の一部には、その高さ方向に沿ってプラズマを発生させて支援ガスを活性化させる活性化手段50が形成されると共に、この活性化手段50に対向する処理容器4の反対側には、この内部雰囲気を真空排気するために処理容器4の側壁を、例えば上下方向へ削りとることによって形成した細長い排気口52が設けられている。具体的には、上記活性化手段50は、上記処理容器4の側壁を上下方向に沿って所定の幅で削りとることによって上下に細長い開口54を形成し、この開口54をその外側より覆うようにして断面凹部状になされた上下に細長い例えば石英製のプラズマ区画壁56を容器外壁に気密に溶接接合することにより形成されている。これにより、この処理容器4の側壁の一部を凹部状に外側へ窪ませることにより一側が処理容器4内へ開口されて連通された活性化手段50が一体的に形成されることになる。すなわちプラズマ区画壁56の内部空間は、上記処理容器4内に一体的に連通された状態となっている。上記開口54は、ウエハボート12に保持されている全てのウエハWを高さ方向においてカバーできるように上下方向に十分に長く形成されている。
そして、上記プラズマ区画壁56の両側壁の外側面には、その長さ方向(上下方向)に沿って互いに対向するようにして細長い一対のプラズマ電極58が設けられると共に、このプラズマ電極58にはプラズマ発生用の高周波電源60が給電ライン62を介して接続されており、上記プラズマ電極58に例えば13.56MHzの高周波電圧を印加することによりプラズマを発生し得るようになっている。尚、この高周波電圧の周波数は13.56MHzに限定されず、他の周波数、例えば400kHz等を用いてもよい。
そして、上記処理容器4内を上方向に延びていく支援ガス分散ノズル34は途中で処理容器4の半径方向外方へ屈曲されて、上記プラズマ区画壁56内の一番奥(処理容器4の中心より一番離れた部分)に位置され、この一番奥の部分に沿って上方に向けて起立させて設けられている。従って、高周波電源60がオンされている時に上記支援ガス分散ノズル34のガス噴射孔34Aから噴射されたアンモニアガスはここで活性化されて処理容器4の中心に向けて拡散しつつ流れるようになっている。
そして上記プラズマ区画壁56の外側には、これを覆うようにして例えば石英よりなる絶縁保護カバー64が取り付けられている。また、この絶縁保護カバー64の内側部分には、図示しない冷媒通路が設けられており、冷却された窒素ガスを流すことにより上記プラズマ電極58を冷却し得るようになっている。
そして上記プラズマ区画壁56の開口54の外側近傍、すなわち開口54の外側(処理容器4内)の両側には、上記2本の原料ガス分散ノズル36が起立させて設けられており、これに設けた各ガス噴射孔36Aより処理容器4の中心方向に向けてシラン系ガスを噴射し得るようになっている。
一方、上記開口54に対向させて設けた排気口52には、これを覆うようにして石英よりなる断面コ字状に成形された排気口カバー部材66が溶接により取り付けられている。この排気口カバー部材66は、上記処理容器4の側壁に沿って上方に延びており、処理容器4の上方のガス出口68より図示しない真空ポンプ等を介設した真空排気系により真空引きされる。そして、この処理容器4の外周を囲むようにしてこの処理容器4及びこの内部のウエハWを加熱する筒体状の加熱手段70が設けられている。
次に、以上のように構成された成膜装置を用いて行なわれるプラズマによる成膜方法(いわゆるALD成膜)の第1実施例について図3も参照して説明する。ここでは成膜処理として、ウエハ表面に低温で間欠的にプラズマを用いてシリコン窒化膜を形成する場合を例にとって説明する。すなわち、本発明方法の第1実施例では、原料ガスであるDCSガスと支援ガスであるNH ガスとを間欠的に交互に供給すると共に、上記DCSガスの供給時にNH ガスを同時に供給し、NH ガスを供給する時にこれをプラズマにより活性化させるようにしている。
まず、常温の多数枚、例えば50〜100枚の300mmサイズのウエハWが載置された状態のウエハボート12を予め所定の温度になされた処理容器4内にその下方より上昇させてロードし、蓋部18でマニホールド8の下端開口部を閉じることにより容器内を密閉する。
そして処理容器4内を真空引きして所定のプロセス圧力に維持すると共に、加熱手段70への供給電力を増大させることにより、ウエハ温度を上昇させてプロセス温度を維持し、各種の処理ガスを原料ガス供給手段30及び支援ガス供給手段28からそれぞれ交互に間欠的に供給して回転しているウエハボート12に支持されているウエハWの表面にシリコン窒化膜を形成する。この際、原料ガスを供給する時には、支援ガス(NH ガス)も同時に供給し、支援ガスを供給する時に高周波電源(RF電源)60をオンしてプラズマを立てるようにする。
具体的には、NH ガスは支援ガス分散ノズル34の各ガス噴射孔34Aから水平方向へ噴射され、また、DCSガスは原料ガス分散ノズル36の各ガス噴射孔36Aから水平方向へ噴射され、両ガスが反応してシリコン窒化膜が形成される。この場合、上記両ガスは、連続的に供給されるのではなく、図3に示すように互いにタイミングをずらして、間に真空引きして容器内に残留するガスを排除する間欠期間(パージ期間)72を挟んで交互に間欠的に繰り返し供給され、シリコン窒化膜の薄膜を一層ずつ繰り返し積層する。この際、上記NH ガスは、図3(B)に示すように、DCSガスの供給時(図3(A)参照)にも同時に供給される。またNH ガスを単独で流す時には、図3(C)に示すようにRF電源がオンされてプラズマが立てられて、供給されるNH ガスを活性化して活性種等が作られ、反応(分解)が促進される。尚、ここではNH ガスをDCSガスと共に流す時にはRFはオフされ、このNH ガスはプラズマにより活性化されていない。また間欠期間72では、不活性ガスであるN ガスを処理容器4内へ供給して残留ガスを排除するようにしてもよいし、或いは、全てのガスの供給を停止したまま真空引きを継続して行うことにより(バキュームとも称す)、処理容器4内の残留ガスを排除するようにしてもよい。
この場合、吸着工程であるDCSガスの供給期間T1は10秒程度、反応工程(窒化工程)である単独のNH ガスの供給期間T2は10秒程度、パージ期間である間欠期間72の長さT3は10秒程度であるが、これらの各時間は単に一例を示したに過ぎず、この数値に限定されない。上記のように成膜処理を行うことにより、形成される窒化膜の膜質を高く維持しつつ、その成膜レートを大幅に向上させることができる。
その理由は、次のように考えられる。すなわち、上述のように吸着工程でDCSガスとNH ガスとを同時に流すことにより、通常のALD成膜方法における吸着工程でDCSガスを単独で流す場合と比較して、ウエハ表面に吸着するDCSガス分子が、同時に供給されるNH ガスにより一部が不完全に窒化されるので、吸着量が飽和せずにDCSガス分子の吸着が進み、その結果、DCSガスの吸着量が従来方法の場合よりも多量になる。そして、その後のステップでプラズマにより活性化されたNH ガスにより不完全な反応部分が完全に反応されて成膜レートが高い状態で窒化膜が形成される。
ここで上記成膜処理のプロセス条件について説明すると、DCSガスの流量は100〜3000sccmの範囲内、例えば1000sccm(1slm)であり、NH ガスの流量は100〜3000sccmの範囲内、例えば1000sccmである。またプロセス温度はCVD成膜処理よりも低い温度であり、具体的には180〜600℃未満、例えば550℃である。このプロセス温度が180℃よりも低いと、反応が生ぜずにほとんど膜が堆積せず、また600℃以上の場合には、膜質の劣るCVDによる堆積膜が形成されてしまう。
またプロセス圧力は27Pa(0.2Torr)〜1330Pa(10Torr)の範囲内であり、例えば吸着工程では1Torr、プラズマを用いる窒化工程では0.3Torrである。プロセス圧力が27Paよりも小さい場合には、成膜レートが実用レベル以下になり、また1330Paよりも大きい場合には、プラズマが十分に立たなくなってしまう。
またDCSガスとNH ガスとを同時に流す吸着工程における両ガスの流量比[DCS/NH ]は1/10〜10程度の範囲内に設定する。ここでNH ガスの流量比が少な過ぎると、このNH ガスを同時に供給した効果がなくなり、また、NH ガスの流量比が多過ぎると、成膜自体が生じなくなってしまう。
次に、本発明方法の第1実施例による窒化膜と従来方法(ALD法)による窒化膜とを実際に形成して評価を行ったので、その評価結果について説明する。
図4はシリコン窒化膜の膜厚データを示すグラフ、図5はシリコン窒化膜の1サイクル当たりの成膜レートを示すグラフ、図6はシリコン窒化膜の膜厚の面内均一性を示すグラフ、図7はシリコン窒化膜の赤外線回析による結果を示すグラフである。尚、各図中の”トップ”、”センタ”及び”ボトム”はウエハボート中の半導体ウエハの位置を示す。本発明方法の第1実施例においては、NH ガスの供給量を1000sccm(1slm)の場合と、500sccm(0.5slm)の場合の2種類の成膜処理を行っている。また、ここでの成膜サイクルは全て160回行っている。
図4に示すように、膜厚に関しては従来方法の場合には、ウエハ位置に関係なく、150Å程度であるのに対して、本発明方法の第1実施例の場合には、共に200Å程度であり、従来方法と比較して、かなり厚いシリコン窒化膜を堆積できることが確認された。
また図5に示すように、成膜レートに関しては、従来方法の場合には1サイクル当たり1Å程度であるのに対して、本発明方法の第1実施例の場合には、1サイクル当たり1.2〜1.3Å程度であり、従来方法と比較して成膜レートもかなり増加できることが確認された。
また図6に示すように、膜厚の面内均一性に関しては、従来方法の場合には±3.5〜4.5%程度であるのに対して、本発明の場合には±3.0〜4.0%程度であり、従来方法よりも少し改善されて良好な結果を示していることが確認できた。
また図7に示すように、膜質の赤外線回析に関しては、従来方法の場合には、波数2200程度の位置で輝度に”Si−H結合”の存在を示すピークP1が出現しており、膜質がやや劣化していることを示しているのに対して、本発明方法の第1実施例の場合には、略全体的に平坦であって良好な膜質であることを示していることが確認できた。
上記実施例では、支援ガスとして窒化ガスであるNH ガスを供給する場合、この窒化ガスを単独で供給する時にRFをオンしてプラズマにより活性化し、窒化ガスをDCSガスと同時に供給する時にはRFをオフしてプラズマにより活性化しないようにしたが、これに限定されず、窒化ガスを単独で供給する時も、DCSガスと同時に供給する時も共にRFをオンして窒化ガスを活性化させるようにしてもよい。図8はこのような各種ガスの供給タイミングの変形例を示すタイミングチャートである。図8に示すように、窒化ガスであるNH ガスを供給する時には常にRFをオンして、NH ガスを活性化している。
この場合には、DCSガスを流す時にNH ガスを活性化することで、半導体ウエハW上にDCSとNH ラジカルが吸着することになるので、これを次のステップのNH ラジカルのみで窒化することで、窒化膜の成膜速度が促進され、成膜レートを更に大きくすることができる。
ここで窒化ガスとしてNH ガスの他にN ガスを用いることができるが、図3に示す方法において実際に、NH ガスに替えてN ガスを用いて窒化膜を成膜したところ、その成膜レートは0.1nm/サイクルであったが、図8に示す方法において実際に、NH ガスに替えてN ガスを用いて窒化膜を成膜したところ、その成膜レートは0.5nm/サイクルであり、成膜レートを大幅に向上できることが確認できた。
尚、上記第1実施例では、原料ガスであるシラン系ガスとしてDCSガスを用いたが、これに限定されず、ジクロロシラン(DCS)、ヘキサクロロジシラン(HCD)、モノシラン[SiH ]、ジシラン[Si ]、ヘキサメチルジシラザン(HMDS)、テトラクロロシラン(TCS)、ジシリルアミン(DSA)、トリシリルアミン(TSA)、ビスターシャルブチルアミノシラン(BTBAS)よりなる群より選択される1以上のガスを用いることができる。
また支援ガスである窒化ガスとしてNH ガス、或いはN ガスを用いたが、これに限定されず、アンモニア[NH ]、窒素[N ]、一酸化二窒素[N O]、一酸化窒素[NO]よりなる群より選択される1以上のガスを用いることができる。
更に、成膜に用いる支援ガスとしては、窒化ガスに替えて、酸化性ガスを用いるようにしてもよい。
<第2実施例>
次に本発明の成膜装置及び成膜方法の第2実施例について説明する。
図9は本発明に係る成膜装置の第2実施例を示す縦断面構成図、図10は本発明方法の第2実施例における各種のガスの供給のタイミングを示すタイミングチャートである。尚、図1に示す第1実施例の構成部分と同一構成部分については同一符号を付してその説明を省略する。
ここでは第1実施例と同様にDCSを用いる原料ガス供給手段30を設け、第1実施例における支援ガス供給手段28をNH 等の窒化ガスを専用に供給する窒化ガス供給手段80として用いる。従って、この窒化ガス供給手段80は、第1実施例における支援ガス分散ノズル34と同様に形成されたガス分散ノズル82を有しており、このガス分散ノズル82はプラズマ区画壁56内の一番奥に起立させて設けられる。そして、このガス分散ノズル82にもその長手方向に沿って所定の間隔を隔ててガス噴射孔82Aが形成されている。これにより、窒化ガスであるNH ガスを、流量制御器42Bで流量制御しつつガス通路42を介して上記ガス分散ノズル82へ送るようになっている。
更に、この処理容器4には、補助ガスを供給するための補助ガス供給手段84が設けられる。ここではこの補助ガス供給手段84のガス分散ノズルとして上記窒化ガス供給手段80のガス分散ノズル82が共用される。従って、上記補助ガス供給手段84は、上記窒化ガス用のガス通路42の開閉弁42Aの下流側から分岐された補助ガス用のガス通路86を有している。そして、このガス通路86の途中には開閉弁86A及びマスフローコントローラのような流量制御器86Bが上流側に向けて順次介設されており、補助ガスを必要に応じて流量制御しつつ上記ガス分散ノズル82に向けて流すようになっている。
尚、上記ガス分散ノズル82を共用しないで、これと並ぶようにして補助ガス用のガス分散ノズルを設けるようにしてもよい。そして、ここでは補助ガスとして、窒素ガス、酸化窒素ガス及び希ガスの内のいずれかを用いることができ、実際には窒素ガス(N )または希ガスの内のアルゴンガス(Ar)が用いられる。
次に、以上のように構成された成膜装置を用いてプラズマによる成膜方法(いわゆるALD成膜)の第2実施例について図10も参照して説明する。ここでも第1実施例と同様に、ウエハ表面に低温で間欠的にプラズマを用いてシリコン窒化膜を形成するが、補助ガスの活性種を用いる点が第1実施例とは大きく異なる。すなわち、原料ガスと窒化ガスとを間欠的に交互に供給して活性化された窒化ガスを用いて被処理体の表面に薄膜を形成する際に、原料ガスと同時に補助ガスを供給してこの補助ガスを活性化させるようにしている。
具体的には、図3及び図8に示すタイミングチャートと比較して明らかなように、図10では、原料ガスであるDCSガスと同時に補助ガスとしてN ガス或いはArガスを加えており、これと同時にRFをオンすることによりプラズマを立ててN ガス、或いはArガスを活性化させている。すなわち、プラズマは、図10(B)に示すNH ガスの供給時のみならず補助ガスの供給時にも立てるようにしている。
このように、補助ガスを加えて活性化させることにより、この時に同時に供給される原料ガスが上記補助ガスの活性種によって分解が促進されることになり、この結果、シリコン窒化膜の成膜レートを向上させることができる。
この場合、特に、補助ガスとしてN ガスを用いた場合には、上記原料ガスの分解を促進できるのみならず、窒素の活性種とシリコンの活性種とが直接化合してSiNが直ちに形成されるので、シリコン窒化膜の成膜レートを更に向上させることができる。
この場合のプロセス条件に関しては、プロセス温度及びプロセス圧力は第1実施例の場合と同じである。また、ガス流量に関してもDCSガス、NH ガスの各流量は第1実施例の場合と同じである。また補助ガスの流量は、原料ガスであるDCSガスの流量よりも少なく設定し、例えばDCSガスの1/10程度の流量とする。
また窒化ガスであるNH ガスの供給時にRF電源をオンにしてプラズマを立てるが、この場合、先の第1実施例のようにNH ガスの供給期間の全期間に亘ってプラズマを立てるようにしてもよいし、図10に示すようにNH ガスの供給開始から所定の時間Δtが経過した後に、プラズマを立てるようにしてもよい。この所定の時間ΔtとはNH ガスの流量が安定するまでの時間であり、例えば5秒程度である。
このようにNH ガスの流量が安定化した後にRF電源をオンしてプラズマを立てることにより、ウエハWの面間方向(高さ方向)における活性種の濃度均一性を向上させることができる。尚、各期間T1、T3、T4はそれぞれ例えば10秒程度、期間T2は例えば20秒程度であるが、これらの数値は特に限定されないのは勿論である。
次に、上記第2実施例に基づいて実際に成膜処理して評価を行ったので、その評価結果について説明する。
図11は本発明方法の第2実施例に基づいて形成された薄膜の成膜レートと成膜レート改善率を示すグラフである。図11(A)は1サイクル当たりの成膜レートを示し、図11(B)はその時の従来方法に対する成膜レートの改善率を示している。
ここでは従来方法としては、図12において説明した従来方法を用いており、第2実施例では補助ガスとしてN ガスを用いた場合とArガスを用いた場合を示している。
図11(A)から明らかなように、ウエハボート中のウエハ載置位置を示すTOP(上段)、CTR(中段)及びBTM(下段)の各位置において、本発明の第2実施例の場合には、成膜レートは、2.5〜5.5倍も大きくなっている。しかも、本発明の場合には、補助ガスとして希ガスであるArガスを用いた場合よりも、N ガスを用いた場合の方が成膜レートは更に大きくなっているのが確認できた。これは、前述したように、N ガスの活性種が原料ガスの分解を促進するのみならず、活性化した窒素と活性化したシリコンが反応して窒化シリコンが直接形成されるからであると考えられる。
また成膜レートの改善率については、図11(B)に示すように、Arガスを用いた第2実施例の場合には150〜300%程度まで増加しており、N ガスを用いた第2実施例の場合には300〜500%程度まで増加しており、共に成膜レートを更に大幅に向上できることが確認できた。
尚、上記第2実施例では、原料ガスであるシラン系ガスとしてDCSガスを用いたが、これに限定されず、ジクロロシラン(DCS)、ヘキサクロロジシラン(HCD)、モノシラン[SiH ]、ジシラン[Si ]、ヘキサメチルジシラザン(HMDS)、テトラクロロシラン(TCS)、ジシリルアミン(DSA)、トリシリルアミン(TSA)、ビスターシャルブチルアミノシラン(BTBAS)よりなる群より選択される1以上のガスを用いることができる。
また補助ガスとして用いる希ガスとしてはArガスに限定されず、He、Ne、Kr、Xe等を用いることができる。
また補助ガスとして用いる酸化窒素としては、一酸化二窒素[N O]、一酸化窒素[NO]、二酸化窒素[NO ]等を用いることができる。
また上記第1及び第2実施例では、成膜装置2として、プラズマを形成する活性化手段50を処理容器4に一体的に組み込んだ装置例について説明したが、これに限定されず、この活性化手段50を処理容器4とは別体で設け、NH ガスを処理容器4の外で予め活性化(いわゆるリモートプラズマ)、その活性化NH ガスを処理容器4内へ供給するようにしてもよい。
また被処理体としては、半導体ウエハに限定されず、ガラス基板やLCD基板等にも本発明を適用することができる。
本発明の係る成膜装置の一例を示す縦断面構成図である。 成膜装置(加熱手段は省略)を示す横断面構成図である。 各種のガスの供給のタイミングを示すタイミングチャートである。 シリコン窒化膜の膜厚データを示すグラフである。 シリコン窒化膜の1サイクル当たりの成膜レートを示すグラフである。 シリコン窒化膜の膜厚の面内均一性を示すグラフである。 シリコン窒化膜の赤外線回析による結果を示すグラフである。 各種ガスの供給タイミングの変形例を示すタイミングチャートである。 本発明に係る成膜装置の第2実施例を示す縦断面構成図である。 本発明方法の第2実施例における各種のガスの供給のタイミングを示すタイミングチャートである。 本発明方法の第2実施例に基づいて形成された薄膜の成膜レートと成膜レート改善率を示すグラフである。 DCSとNH との供給態様を示す図である。
符号の説明
2 成膜装置
4 処理容器
12 ウエハボート(供給手段)
28 支援ガス供給手段
30 原料ガス供給手段
32 パージガス供給手段
34 支援ガス分散ノズル
36 原料ガス分散ノズル
48 制御手段
50 活性化手段
58 プラズマ電極
60 高周波電源
70 加熱手段
80 窒化ガス供給手段
84 補助ガス供給手段
W 半導体ウエハ(被処理体)

Claims (26)

  1. 真空引き可能になされた処理容器内にシラン系ガスよりなる原料ガスと窒化ガスよりなる支援ガスとを用いて被処理体の表面に薄膜を形成する成膜方法において、
    前記原料ガスと前記支援ガスとを同時に供給する同時供給工程と前記支援ガスを単独で供給する単独供給工程とを、間に間欠期間を挟んで交互に繰り返し行うと共に、前記単独供給工程の時に供給される前記支援ガスを活性化するようにしたことを特徴とする成膜方法。
  2. 真空引き可能になされた処理容器内にシラン系ガスよりなる原料ガスと窒化ガスよりなる支援ガスとを用いて被処理体の表面に薄膜を形成する成膜方法において、
    前記原料ガスと前記支援ガスとを同時に供給する同時供給工程と前記支援ガスを単独で供給する単独供給工程とを、間に間欠期間を挟んで交互に繰り返し行うと共に、前記同時供給工程と前記単独供給工程の時に供給される前記支援ガスを活性化することを特徴とする成膜方法。
  3. 前記原料ガスの供給時と前記支援ガスの供給時との間の間欠期間には、前記処理容器内は少なくとも不活性ガスパージされていること、或いは全てのガスの供給が停止されて真空引きされていることを特徴とする請求項1または2記載の成膜方法。
  4. 前記薄膜の成膜時の温度は、180℃〜600℃未満の範囲内であることを特徴とする請求項1乃至3のいずれか一項に記載の成膜方法。
  5. 前記薄膜の成膜時の圧力は、27Pa(0.2Torr)〜1330Pa(10Torr)の範囲内であることを特徴とする請求項1乃至4のいずれか一項に記載の成膜方法。
  6. 前記原料ガスと前記支援ガスとを同時供給する時の前記原料ガスと前記支援ガスとの流量比は、1/10〜10倍の範囲内であることを特徴とする請求項1乃至5のいずれか一項に記載の成膜方法。
  7. 前記シラン系ガスは、ジクロロシラン(DCS)、ヘキサクロロジシラン(HCD)、モノシラン[SiH ]、ジシラン[Si ]、ヘキサメチルジシラザン(HMDS)、テトラクロロシラン(TCS)、ジシリルアミン(DSA)、トリシリルアミン(TSA)、ビスターシャルブチルアミノシラン(BTBAS)よりなる群より選択される1以上のガスであり、前記窒化ガスは、アンモニア[NH ]、窒素[N ]、一酸化二窒素[N O]、一酸化窒素[NO]よりなる群より選択される1以上のガスであることを特徴とする請求項6記載の成膜方法。
  8. 被処理体に対して所定の薄膜を形成するための成膜装置において、
    真空引き可能になされた縦型の筒体状の処理容器と、
    前記被処理体を複数段に保持して前記処理容器内に挿脱される保持手段と、
    前記処理容器の外周に設けられる加熱手段と、
    前記処理容器内へ成膜用の原料ガスを供給する原料ガス供給手段と、
    前記処理容器内へ支援ガスを供給する支援ガス供給手段と、
    前記支援ガスを活性化する活性化手段と、
    請求項1乃至7のいずれか一項に記載の成膜方法を実行するように制御する制御手段と、
    を備えたことを特徴とする成膜装置。
  9. 前記活性化手段は、前記処理容器に一体的に組み込まれていることを特徴とする請求項8記載の成膜装置。
  10. 前記活性化手段は、前記処理容器とは別体で形成されていることを特徴とする請求項8記載の成膜装置。
  11. 真空引き可能になされた処理容器内にシラン系ガスよりなる原料ガスと窒化ガスと補助ガスとを用いて被処理体の表面に薄膜を形成する成膜方法において、
    前記原料ガスと前記補助ガスとを同時に供給する同時供給工程と前記窒化ガスを単独で供給する単独供給工程とを、間に間欠期間を挟んで交互に繰り返し行うと共に、前記同時供給工程の時に供給される前記補助ガスを活性化し、更に前記単独供給工程の時に供給される前記窒化ガスを活性化することを特徴とする成膜方法。
  12. 前記補助ガスは、窒素ガス、酸化窒素ガスまたは希ガスであることを特徴とする請求項11記載の成膜方法。
  13. 前記窒化ガスは、前記処理容器内で高周波電力によって発生したプラズマによって活性化されることを特徴とする請求項11または12記載の成膜方法。
  14. 前記窒化ガスの供給開始から前記窒化ガスの流量が安定化するまでの時間が経過した後に、前記高周波電力が印加されることを特徴とする請求項13記載の成膜方法。
  15. 前記原料ガスの供給時と前記窒化ガスの供給時との間の間欠期間には、前記処理容器内は少なくとも不活性ガスパージされていること、或いは全てのガスの供給が停止されて真空引きされていることを特徴とする請求項11乃至14のいずれか一項に記載の成膜方法。
  16. 前記薄膜の成膜時の温度は、180℃〜600℃未満の範囲内であることを特徴とする請求項11乃至15のいずれか一項に記載の成膜方法。
  17. 前記薄膜の成膜時の圧力は、27Pa(0.2Torr)〜1330Pa(10Torr)の範囲内であることを特徴とする請求項11乃至16のいずれか一項に記載の成膜方法。
  18. 前記原料ガスと前記窒化ガスとを同時供給する時の前記原料ガスと前記窒化ガスとの流量比は、1/10〜10倍の範囲内であることを特徴とする請求項11乃至17のいずれか一項に記載の成膜方法。
  19. 前記原料ガスはシラン系ガスであることを特徴とする請求項11乃至18のいずれか一項に記載の成膜方法。
  20. 前記シラン系ガスは、ジクロロシラン(DCS)、ヘキサクロロジシラン(HCD)、モノシラン[SiH ]、ジシラン[Si ]、ヘキサメチルジシラザン(HMDS)、テトラクロロシラン(TCS)、ジシリルアミン(DSA)、トリシリルアミン(TSA)、ビスターシャルブチルアミノシラン(BTBAS)よりなる群より選択される1以上のガスであり、前記窒化ガスは、アンモニア[NH ]、窒素[N ]、一酸化二窒素[N O]、一酸化窒素[NO]よりなる群より選択される1以上のガスであることを特徴とする請求項19記載の成膜方法。
  21. 被処理体に対して所定の薄膜を形成するための成膜装置において、
    真空引き可能になされた縦型の筒体状の処理容器と、
    前記被処理体を複数段に保持して前記処理容器内に挿脱される保持手段と、
    前記処理容器の外周に設けられる加熱手段と、
    前記処理容器内へ成膜用の原料ガスを供給する原料ガス供給手段と、
    前記処理容器内へ窒化ガスを供給する窒化ガス供給手段と、
    前記処理容器内へ補助ガスを供給する補助ガス供給手段と、
    前記窒化ガスと前記補助ガスとを活性化する活性化手段と、
    請求項11乃至20のいずれか一項に記載の成膜方法を実行するように制御する制御手段と、
    を備えたことを特徴とする成膜装置。
  22. 前記補助ガスは、窒素ガス、酸化窒素ガスまたは希ガスであることを特徴とする請求項21記載の成膜装置。
  23. 前記活性化手段は、前記処理容器に一体的に組み込まれていることを特徴とする請求項21または22記載の成膜装置。
  24. 前記活性化手段は、前記処理容器とは別体で形成されていることを特徴とする請求項21または22記載の成膜装置。
  25. 真空引き可能になされた処理容器内に原料ガスと支援ガスとを供給して被処理体の表面に薄膜を形成するようにした成膜装置を用いて薄膜を形成するに際して、
    請求項1乃至7のいずれか一項に記載の成膜方法を実行するように前記成膜装置を制御するプログラムを記憶する記憶媒体。
  26. 真空引き可能になされた処理容器内に原料ガスと窒化ガスと補助ガスとを供給して被処理体の表面に薄膜を形成するようにした成膜装置を用いて薄膜を形成するに際して、
    請求項11乃至20のいずれか一項に記載の成膜方法を実行するように前記成膜装置を制御するプログラムを記憶することを特徴とする記憶媒体。
JP2005048059A 2004-06-28 2005-02-23 成膜方法、成膜装置及び記憶媒体 Active JP4396547B2 (ja)

Priority Applications (6)

Application Number Priority Date Filing Date Title
JP2005048059A JP4396547B2 (ja) 2004-06-28 2005-02-23 成膜方法、成膜装置及び記憶媒体
KR1020050055543A KR100954243B1 (ko) 2004-06-28 2005-06-27 반도체 처리용 성막 장치 및 방법과 컴퓨터로 판독 가능한 매체
US11/166,073 US7300885B2 (en) 2004-06-28 2005-06-27 Film formation apparatus and method for semiconductor process
TW094121450A TWI440087B (zh) 2004-06-28 2005-06-27 半導體製程之薄膜形成裝置及方法、與電腦可讀取媒體
CN2008101093741A CN101381861B (zh) 2004-06-28 2005-06-28 成膜方法
CN2009101426299A CN101570856B (zh) 2004-06-28 2005-06-28 成膜装置

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
JP2004190233 2004-06-28
JP2005048059A JP4396547B2 (ja) 2004-06-28 2005-02-23 成膜方法、成膜装置及び記憶媒体

Publications (2)

Publication Number Publication Date
JP2006049809A JP2006049809A (ja) 2006-02-16
JP4396547B2 true JP4396547B2 (ja) 2010-01-13

Family

ID=35506435

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2005048059A Active JP4396547B2 (ja) 2004-06-28 2005-02-23 成膜方法、成膜装置及び記憶媒体

Country Status (4)

Country Link
US (1) US7300885B2 (ja)
JP (1) JP4396547B2 (ja)
KR (1) KR100954243B1 (ja)
TW (1) TWI440087B (ja)

Families Citing this family (93)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR100829327B1 (ko) * 2002-04-05 2008-05-13 가부시키가이샤 히다치 고쿠사이 덴키 기판 처리 장치 및 반응 용기
KR100909750B1 (ko) * 2005-03-01 2009-07-29 가부시키가이샤 히다치 고쿠사이 덴키 기판 처리 장치 및 반도체 디바이스의 제조 방법
JP4258518B2 (ja) * 2005-03-09 2009-04-30 東京エレクトロン株式会社 成膜方法、成膜装置及び記憶媒体
JP4983063B2 (ja) * 2006-03-28 2012-07-25 東京エレクトロン株式会社 プラズマ処理装置
US20070240644A1 (en) * 2006-03-24 2007-10-18 Hiroyuki Matsuura Vertical plasma processing apparatus for semiconductor process
WO2007111348A1 (ja) * 2006-03-28 2007-10-04 Hitachi Kokusai Electric Inc. 基板処理装置
JP2007281082A (ja) * 2006-04-04 2007-10-25 Tokyo Electron Ltd 成膜方法及び成膜装置並びに記憶媒体
JP4929811B2 (ja) 2006-04-05 2012-05-09 東京エレクトロン株式会社 プラズマ処理装置
JP2007287890A (ja) * 2006-04-14 2007-11-01 Kochi Univ Of Technology 絶縁膜の成膜方法、半導体装置の製法、プラズマcvd装置
US7798096B2 (en) * 2006-05-05 2010-09-21 Applied Materials, Inc. Plasma, UV and ion/neutral assisted ALD or CVD in a batch tool
WO2007140455A2 (en) * 2006-05-31 2007-12-06 Tegal Corporation System and method for semiconductor processing
JP4844261B2 (ja) * 2006-06-29 2011-12-28 東京エレクトロン株式会社 成膜方法及び成膜装置並びに記憶媒体
US8283263B2 (en) * 2006-07-05 2012-10-09 Globalfoundries Singapore Pte. Ltd. Integrated circuit system including nitride layer technology
JP2008053683A (ja) * 2006-07-27 2008-03-06 Matsushita Electric Ind Co Ltd 絶縁膜形成方法、半導体装置、および基板処理装置
JP4929932B2 (ja) * 2006-09-01 2012-05-09 東京エレクトロン株式会社 成膜方法、成膜装置及び記憶媒体
US7939455B2 (en) * 2006-09-29 2011-05-10 Tokyo Electron Limited Method for forming strained silicon nitride films and a device containing such films
US7605095B2 (en) * 2007-02-14 2009-10-20 Tokyo Electron Limited Heat processing method and apparatus for semiconductor process
JP4589984B2 (ja) * 2007-06-08 2010-12-01 東京エレクトロン株式会社 微細パターンの形成方法
JP5151260B2 (ja) * 2007-06-11 2013-02-27 東京エレクトロン株式会社 成膜方法及び成膜装置
JP5568212B2 (ja) * 2007-09-19 2014-08-06 株式会社日立国際電気 基板処理装置、そのコーティング方法、基板処理方法及び半導体デバイスの製造方法
CN101802255A (zh) * 2007-09-21 2010-08-11 东京毅力科创株式会社 成膜装置和成膜方法
JP4935684B2 (ja) * 2008-01-12 2012-05-23 東京エレクトロン株式会社 成膜方法及び成膜装置
JP4935687B2 (ja) 2008-01-19 2012-05-23 東京エレクトロン株式会社 成膜方法及び成膜装置
US20090197424A1 (en) * 2008-01-31 2009-08-06 Hitachi Kokusai Electric Inc. Substrate processing apparatus and method for manufacturing semiconductor device
DE102008017077B4 (de) * 2008-04-01 2011-08-11 Helmholtz-Zentrum Berlin für Materialien und Energie GmbH, 14109 Verfahren zur Herstellung einer n-halbleitenden Indiumsulfid-Dünnschicht
JP5136574B2 (ja) 2009-05-01 2013-02-06 東京エレクトロン株式会社 プラズマ処理装置及びプラズマ処理方法
US20110136347A1 (en) * 2009-10-21 2011-06-09 Applied Materials, Inc. Point-of-use silylamine generation
JP5250600B2 (ja) 2009-11-27 2013-07-31 東京エレクトロン株式会社 成膜方法および成膜装置
JP5346904B2 (ja) 2009-11-27 2013-11-20 東京エレクトロン株式会社 縦型成膜装置およびその使用方法
CN102687252A (zh) 2009-12-30 2012-09-19 应用材料公司 以可变的氮/氢比所制造的自由基来生长介电薄膜的方法
JP2011168881A (ja) 2010-01-25 2011-09-01 Hitachi Kokusai Electric Inc 半導体装置の製造方法及び基板処理装置
JP5610438B2 (ja) * 2010-01-29 2014-10-22 株式会社日立国際電気 基板処理装置及び半導体装置の製造方法
CN102844848A (zh) 2010-03-05 2012-12-26 应用材料公司 通过自由基成分化学气相沉积的共形层
US9257274B2 (en) 2010-04-15 2016-02-09 Lam Research Corporation Gapfill of variable aspect ratio features with a composite PEALD and PECVD method
US9611544B2 (en) 2010-04-15 2017-04-04 Novellus Systems, Inc. Plasma activated conformal dielectric film deposition
US8956983B2 (en) 2010-04-15 2015-02-17 Novellus Systems, Inc. Conformal doping via plasma activated atomic layer deposition and conformal film deposition
US8728956B2 (en) 2010-04-15 2014-05-20 Novellus Systems, Inc. Plasma activated conformal film deposition
US9076646B2 (en) 2010-04-15 2015-07-07 Lam Research Corporation Plasma enhanced atomic layer deposition with pulsed plasma exposure
US9892917B2 (en) 2010-04-15 2018-02-13 Lam Research Corporation Plasma assisted atomic layer deposition of multi-layer films for patterning applications
US9997357B2 (en) 2010-04-15 2018-06-12 Lam Research Corporation Capped ALD films for doping fin-shaped channel regions of 3-D IC transistors
US9373500B2 (en) 2014-02-21 2016-06-21 Lam Research Corporation Plasma assisted atomic layer deposition titanium oxide for conformal encapsulation and gapfill applications
US9390909B2 (en) 2013-11-07 2016-07-12 Novellus Systems, Inc. Soft landing nanolaminates for advanced patterning
US8637411B2 (en) 2010-04-15 2014-01-28 Novellus Systems, Inc. Plasma activated conformal dielectric film deposition
US9685320B2 (en) 2010-09-23 2017-06-20 Lam Research Corporation Methods for depositing silicon oxide
US20120213940A1 (en) * 2010-10-04 2012-08-23 Applied Materials, Inc. Atomic layer deposition of silicon nitride using dual-source precursor and interleaved plasma
US9285168B2 (en) 2010-10-05 2016-03-15 Applied Materials, Inc. Module for ozone cure and post-cure moisture treatment
US8664127B2 (en) 2010-10-15 2014-03-04 Applied Materials, Inc. Two silicon-containing precursors for gapfill enhancing dielectric liner
TWI520177B (zh) 2010-10-26 2016-02-01 Hitachi Int Electric Inc 基板處理裝置、半導體裝置之製造方法及電腦可讀取的記錄媒體
JP5718031B2 (ja) * 2010-11-26 2015-05-13 株式会社日立国際電気 基板処理装置および半導体装置の製造方法
US10283321B2 (en) 2011-01-18 2019-05-07 Applied Materials, Inc. Semiconductor processing system and methods using capacitively coupled plasma
US8716154B2 (en) 2011-03-04 2014-05-06 Applied Materials, Inc. Reduced pattern loading using silicon oxide multi-layers
US8647993B2 (en) 2011-04-11 2014-02-11 Novellus Systems, Inc. Methods for UV-assisted conformal film deposition
US9404178B2 (en) 2011-07-15 2016-08-02 Applied Materials, Inc. Surface treatment and deposition for reduced outgassing
US8617989B2 (en) 2011-09-26 2013-12-31 Applied Materials, Inc. Liner property improvement
US8551891B2 (en) 2011-10-04 2013-10-08 Applied Materials, Inc. Remote plasma burn-in
US8592328B2 (en) 2012-01-20 2013-11-26 Novellus Systems, Inc. Method for depositing a chlorine-free conformal sin film
TWI586828B (zh) * 2012-02-10 2017-06-11 財團法人國家同步輻射研究中心 原子層沈積之摻雜方法
JP5945430B2 (ja) * 2012-02-29 2016-07-05 株式会社日立国際電気 半導体装置の製造方法、基板処理方法、基板処理装置、及びプログラム
JP5356569B2 (ja) * 2012-04-05 2013-12-04 株式会社日立国際電気 半導体装置の製造方法及び基板処理方法並びに基板処理装置
US8889566B2 (en) 2012-09-11 2014-11-18 Applied Materials, Inc. Low cost flowable dielectric films
JP6080451B2 (ja) * 2012-09-25 2017-02-15 株式会社日立国際電気 基板処理装置、半導体装置の製造方法、及び熱電対支持体
KR102207992B1 (ko) 2012-10-23 2021-01-26 램 리써치 코포레이션 서브-포화된 원자층 증착 및 등각막 증착
SG2013083241A (en) 2012-11-08 2014-06-27 Novellus Systems Inc Conformal film deposition for gapfill
SG2013083654A (en) 2012-11-08 2014-06-27 Novellus Systems Inc Methods for depositing films on sensitive substrates
US9018108B2 (en) 2013-01-25 2015-04-28 Applied Materials, Inc. Low shrinkage dielectric films
US20150125628A1 (en) * 2013-11-06 2015-05-07 Asm Ip Holding B.V. Method of depositing thin film
US9214334B2 (en) 2014-02-18 2015-12-15 Lam Research Corporation High growth rate process for conformal aluminum nitride
US9412581B2 (en) 2014-07-16 2016-08-09 Applied Materials, Inc. Low-K dielectric gapfill by flowable deposition
US9478411B2 (en) 2014-08-20 2016-10-25 Lam Research Corporation Method to tune TiOx stoichiometry using atomic layer deposited Ti film to minimize contact resistance for TiOx/Ti based MIS contact scheme for CMOS
US9478438B2 (en) 2014-08-20 2016-10-25 Lam Research Corporation Method and apparatus to deposit pure titanium thin film at low temperature using titanium tetraiodide precursor
US9214333B1 (en) 2014-09-24 2015-12-15 Lam Research Corporation Methods and apparatuses for uniform reduction of the in-feature wet etch rate of a silicon nitride film formed by ALD
US9564312B2 (en) 2014-11-24 2017-02-07 Lam Research Corporation Selective inhibition in atomic layer deposition of silicon-containing films
US9589790B2 (en) 2014-11-24 2017-03-07 Lam Research Corporation Method of depositing ammonia free and chlorine free conformal silicon nitride film
JP6501560B2 (ja) * 2015-03-06 2019-04-17 東京エレクトロン株式会社 シリコン窒化物膜の成膜方法および成膜装置
US10566187B2 (en) 2015-03-20 2020-02-18 Lam Research Corporation Ultrathin atomic layer deposition film accuracy thickness control
US9502238B2 (en) 2015-04-03 2016-11-22 Lam Research Corporation Deposition of conformal films by atomic layer deposition and atomic layer etch
US10526701B2 (en) 2015-07-09 2020-01-07 Lam Research Corporation Multi-cycle ALD process for film uniformity and thickness profile modulation
US9601693B1 (en) 2015-09-24 2017-03-21 Lam Research Corporation Method for encapsulating a chalcogenide material
JP6529927B2 (ja) * 2016-04-15 2019-06-12 株式会社Kokusai Electric 半導体装置の製造方法、基板処理装置、およびプログラム
US9773643B1 (en) 2016-06-30 2017-09-26 Lam Research Corporation Apparatus and method for deposition and etch in gap fill
US10062563B2 (en) 2016-07-01 2018-08-28 Lam Research Corporation Selective atomic layer deposition with post-dose treatment
US10629435B2 (en) 2016-07-29 2020-04-21 Lam Research Corporation Doped ALD films for semiconductor patterning applications
US10074543B2 (en) 2016-08-31 2018-09-11 Lam Research Corporation High dry etch rate materials for semiconductor patterning applications
US10037884B2 (en) 2016-08-31 2018-07-31 Lam Research Corporation Selective atomic layer deposition for gapfill using sacrificial underlayer
US9865455B1 (en) 2016-09-07 2018-01-09 Lam Research Corporation Nitride film formed by plasma-enhanced and thermal atomic layer deposition process
US10454029B2 (en) 2016-11-11 2019-10-22 Lam Research Corporation Method for reducing the wet etch rate of a sin film without damaging the underlying substrate
US10832908B2 (en) 2016-11-11 2020-11-10 Lam Research Corporation Self-aligned multi-patterning process flow with ALD gapfill spacer mask
US10134579B2 (en) 2016-11-14 2018-11-20 Lam Research Corporation Method for high modulus ALD SiO2 spacer
US10269559B2 (en) 2017-09-13 2019-04-23 Lam Research Corporation Dielectric gapfill of high aspect ratio features utilizing a sacrificial etch cap layer
KR20200118504A (ko) 2018-03-02 2020-10-15 램 리써치 코포레이션 가수분해를 사용한 선택적인 증착
JP7012563B2 (ja) 2018-03-05 2022-01-28 東京エレクトロン株式会社 成膜方法および成膜装置
JP6987021B2 (ja) * 2018-05-28 2021-12-22 東京エレクトロン株式会社 プラズマ処理装置及びプラズマ処理方法
JP2022120422A (ja) * 2021-02-05 2022-08-18 東京エレクトロン株式会社 成膜方法

Family Cites Families (10)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH0645256A (ja) 1992-07-21 1994-02-18 Rikagaku Kenkyusho ガスパルスの供給方法およびこれを用いた成膜方法
WO1999000829A1 (fr) * 1997-06-30 1999-01-07 Matsushita Electric Industrial Co., Ltd. Procede de fabrication d'un film semi-conducteur mince et dispositif de mise en oeuvre correspondant
JP3529989B2 (ja) 1997-09-12 2004-05-24 株式会社東芝 成膜方法及び半導体装置の製造方法
JP3069336B2 (ja) * 1998-12-04 2000-07-24 キヤノン販売株式会社 成膜装置
KR100319494B1 (ko) * 1999-07-15 2002-01-09 김용일 원자층 에피택시 공정을 위한 반도체 박막 증착장치
JP2003077782A (ja) * 2001-08-31 2003-03-14 Toshiba Corp 半導体装置の製造方法
JP3947126B2 (ja) 2002-04-11 2007-07-18 株式会社日立国際電気 半導体製造装置
US7094708B2 (en) * 2003-01-24 2006-08-22 Tokyo Electron Limited Method of CVD for forming silicon nitride film on substrate
JP4179311B2 (ja) * 2004-07-28 2008-11-12 東京エレクトロン株式会社 成膜方法、成膜装置及び記憶媒体
JP4258518B2 (ja) * 2005-03-09 2009-04-30 東京エレクトロン株式会社 成膜方法、成膜装置及び記憶媒体

Also Published As

Publication number Publication date
US7300885B2 (en) 2007-11-27
KR20060048541A (ko) 2006-05-18
TWI440087B (zh) 2014-06-01
TW200625443A (en) 2006-07-16
JP2006049809A (ja) 2006-02-16
KR100954243B1 (ko) 2010-04-23
US20050287775A1 (en) 2005-12-29

Similar Documents

Publication Publication Date Title
JP4396547B2 (ja) 成膜方法、成膜装置及び記憶媒体
JP4434149B2 (ja) 成膜方法、成膜装置及び記憶媒体
JP4935684B2 (ja) 成膜方法及び成膜装置
JP4506677B2 (ja) 成膜方法、成膜装置及び記憶媒体
JP5151260B2 (ja) 成膜方法及び成膜装置
JP4179311B2 (ja) 成膜方法、成膜装置及び記憶媒体
JP5287964B2 (ja) 成膜方法及び成膜装置
JP5233562B2 (ja) 成膜方法及び成膜装置
JP4258518B2 (ja) 成膜方法、成膜装置及び記憶媒体
JP5920242B2 (ja) 成膜方法及び成膜装置
JP4935687B2 (ja) 成膜方法及び成膜装置
JP4929932B2 (ja) 成膜方法、成膜装置及び記憶媒体
JP5190307B2 (ja) 成膜方法、成膜装置及び記憶媒体
JP4595702B2 (ja) 成膜方法、成膜装置及び記憶媒体
JP4893729B2 (ja) 成膜方法、成膜装置及び記憶媒体
US9005459B2 (en) Film deposition method and film deposition apparatus
JP4305427B2 (ja) 成膜方法、成膜装置及び記憶媒体
JP4929811B2 (ja) プラズマ処理装置
JP5887962B2 (ja) 成膜装置
JP2009260151A (ja) 金属ドープ層の形成方法、成膜装置及び記憶媒体
JP2006066884A (ja) 成膜方法、成膜装置及び記憶媒体
JP6604801B2 (ja) 半導体装置の製造方法、基板処理装置およびプログラム
JP5082595B2 (ja) 成膜装置

Legal Events

Date Code Title Description
A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20070725

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20080129

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20080304

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20090317

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20090513

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20090929

A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20091012

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20121030

Year of fee payment: 3

R150 Certificate of patent or registration of utility model

Ref document number: 4396547

Country of ref document: JP

Free format text: JAPANESE INTERMEDIATE CODE: R150

Free format text: JAPANESE INTERMEDIATE CODE: R150

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20151030

Year of fee payment: 6

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250