JP2006066884A - 成膜方法、成膜装置及び記憶媒体 - Google Patents

成膜方法、成膜装置及び記憶媒体 Download PDF

Info

Publication number
JP2006066884A
JP2006066884A JP2005173036A JP2005173036A JP2006066884A JP 2006066884 A JP2006066884 A JP 2006066884A JP 2005173036 A JP2005173036 A JP 2005173036A JP 2005173036 A JP2005173036 A JP 2005173036A JP 2006066884 A JP2006066884 A JP 2006066884A
Authority
JP
Japan
Prior art keywords
gas
nitriding
film forming
thin film
silane
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
JP2005173036A
Other languages
English (en)
Inventor
Kazuhide Hasebe
一秀 長谷部
Mitsuhiro Okada
充弘 岡田
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Tokyo Electron Ltd
Original Assignee
Tokyo Electron Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Tokyo Electron Ltd filed Critical Tokyo Electron Ltd
Priority to JP2005173036A priority Critical patent/JP2006066884A/ja
Priority to TW094124702A priority patent/TWI349311B/zh
Priority to US11/186,892 priority patent/US7427572B2/en
Priority to KR1020050067677A priority patent/KR100974969B1/ko
Priority to CN200510087160A priority patent/CN100594588C/zh
Publication of JP2006066884A publication Critical patent/JP2006066884A/ja
Priority to KR1020080135449A priority patent/KR100983452B1/ko
Pending legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02318Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment
    • H01L21/02337Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment treatment by exposure to a gas or vapour
    • H01L21/0234Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment treatment by exposure to a gas or vapour treatment by exposure to a plasma
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/34Nitrides
    • C23C16/345Silicon nitride
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/56After-treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/0217Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon nitride not containing oxygen, e.g. SixNy or SixByNz
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02205Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
    • H01L21/02208Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si
    • H01L21/02211Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound being a silane, e.g. disilane, methylsilane or chlorosilane
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02205Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
    • H01L21/02208Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si
    • H01L21/02219Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound comprising silicon and nitrogen
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/0228Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition deposition by cyclic CVD, e.g. ALD, ALE, pulsed CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/314Inorganic layers
    • H01L21/318Inorganic layers composed of nitrides
    • H01L21/3185Inorganic layers composed of nitrides of siliconnitrides

Abstract

【課題】 シリコン窒化膜の成膜処理後に、この表面にパーティクルが発生乃至付着することを防止することが可能な成膜方法を提供する。
【解決手段】 真空引き可能になされた処理容器内に、シラン系ガスと窒化ガスとを供給して加熱された被処理体Wの表面にシリコン窒化膜の薄膜を堆積させるようにした成膜方法において、前記処理容器内に前記シラン系ガスと前記窒化ガスとを供給してCVD(Chemical Vapor Deposition)により前記シリコン窒化膜の薄膜を堆積する薄膜形成工程と、前記薄膜形成工程の後に前記処理容器内に窒化ガスを流して前記薄膜の表面を窒化する表面窒化工程と、を備える。これにより、被処理体の表面にパーティクルが発生乃至付着することを防止する。
【選択図】 図1

Description

本発明は、半導体ウエハ等の被処理体に薄膜を形成する成膜方法、成膜装置及び記憶媒体に関する。
一般に、半導体集積回路を製造するためにはシリコン基板等よりなる半導体ウエハに対して、成膜処理、エッチング処理、酸化処理、拡散処理、改質処理、自然酸化膜の除去処理等の各種の処理が行なわれる。これらの処理を特許文献1等に開示されている縦型の、いわゆるバッチ式の熱処理装置にて行う場合には、まず、半導体ウエハを複数枚、例えば25枚程度収容できるカセットから、半導体ウエハを縦型のウエハボートへ移載してこれに多段に支持させる。このウエハボートは、例えばウエハサイズにもよるが30〜150枚程度のウエハを載置できる。このウエハボートは、排気可能な処理容器内にその下方より搬入(ロード)された後、処理容器内が気密に維持される。そして、処理ガスの流量、プロセス圧力、プロセス温度等の各種のプロセス条件を制御しつつ所定の熱処理が施される。
ところで、最近にあっては半導体集積回路の更なる高集積化及び高微細化の要求が強くなされており、回路素子の特性の向上の上から及び成膜材料の耐温度特性から半導体集積回路の製造工程における熱履歴の温度も低減化することが望まれている。このような状況下において、縦型の、いわゆるバッチ式の縦型の処理装置においても、ウエハをそれ程の高温に晒さなくても目的とする処理が可能なことから、比較的低温でも熱分解し易い成膜ガスが用いられる傾向にある。このように比較的低温でも熱分解し易いガスとしては、例えばHCD(ヘキサクロロジシラン)やDCS(ジクロロシラン)等が知られている。例えば上記HCDを用いて、絶縁膜であるシリコン窒化膜(SiN)を形成する場合について説明すると、真空引きされている処理容器内へ上記HCDガスとアンモニアガスとを同時に供給し、そして、半導体ウエハ温度を例えば500℃程度に加熱して熱分解反応を生ぜしめて、CVD(Chemical Vapor Deposition)によりウエハ上にシリコン窒化膜を形成するようになっている。このように形成されたシリコン窒化膜は、例えばコンタクトストッパ膜等に多用されている。
特開2004−6801号公報
ところで、上記したようなHCDガスを用いた成膜プロセスは比較的低温で成膜処理が行えることから、上述したようにこの前工程で形成されている薄膜材料等に与える熱的ダメージは非常に少なくて済む利点を有する。
しかしながら、このような成膜プロセスを行った場合には、上述のようにしてシリコン窒化膜を形成した半導体ウエハにあっては、成膜直後にこのウエハ表面を検査してもパーティクルはほとんど見られないが、これを長時間、例えば数時間程度清浄な空気中に放置すると、ウエハ表面に数万個程度のパーティクル、或いはパーティクル状の粒子が付着、或いは発生するという問題があった。このような現象はクリーン度が非常に高い清浄空気中に成膜後のウエハを放置しても発生していた。
そこで、成膜直後のウエハを、N ガス等の不活性ガスの充填された小型の容器、いわゆるスミフボックス(商標)内等に収容して密閉状態で待機させることも考えられるが、この場合、スミフボックス内では上記したようなパーティクルの発生はそれ程見られないが、次の処理のためにウエハをスミフボックスから清浄空気の大気中へ取り出すと、取り出すと同時に上記したようなパーティクルがウエハ表面に急激に発生する現象が見られ、パーティクルに関する問題点の解決には至っていない。
本発明は、以上のような問題点に着目し、これを有効に解決すべく創案されたものである。本発明の目的は、シリコン窒化膜の成膜処理後に、この表面にパーティクルが発生乃至付着することを防止することが可能な成膜方法、成膜装置及び記憶媒体を提供することにある。
本発明者等は、シリコン窒化膜の成膜後に、その表面に発生するパーティクルについて鋭意研究した結果、このパーティクルは、堆積されたシリコン窒化膜の表面が完全に窒化されていないことに起因して発生するガス成分が原因となって生じている、という知見を得ることにより、本発明に至ったものである。
請求項1に係る発明は、真空引き可能になされた処理容器内に、シラン系ガスと窒化ガスとを供給して加熱された被処理体の表面にシリコン窒化膜の薄膜を堆積させるようにした成膜方法において、前記処理容器内に前記シラン系ガスと前記窒化ガスとを供給してCVD(Chemical Vapor Deposition)により前記シリコン窒化膜の薄膜を堆積する薄膜形成工程と、前記薄膜形成工程の後に前記処理容器内に窒化ガスを流して前記薄膜の表面を窒化する表面窒化工程と、を備えたことを特徴とする成膜方法である。
このように、シリコン系ガスと窒化ガスとを用いて被処理体の表面にシリコン窒化膜を堆積させる際に、上記両ガスを用いてCVDにより、まずシリコン窒化膜を形成し、その後に、窒化ガスにより上記シリコン窒化膜の表面を窒化させるようにしたので、これにより、シリコン窒化膜から発生する脱ガスがほとんどなくなり、この結果、脱ガスが反応してパーティクルを形成することがなくなるので、パーティクルの発生を抑制することが可能となる。
この場合、例えば請求項2に規定するように、前記薄膜形成工程のプロセス温度は550℃以下である。
また例えば請求項3に規定するように、前記表面窒化工程のプロセス圧力は2660Pa(20Torr)以上である。
また例えば請求項4に規定するように、前記表面窒化工程では、前記窒化ガスはプラズマにより活性化されると共に、プロセス圧力は13Pa(0.1Torr)〜2660Pa(20Torr)の範囲内である。
また例えば請求項5に規定するように、前記表面窒化工程のプロセス温度は、前記薄膜形成工程のプロセス温度以下である。
また例えば請求項6に規定するように、前記表面窒化工程のプロセス時間は1分以上である。
また例えば請求項7に規定するように、前記シラン系ガスは、ジクロロシラン(DCS)、ヘキサクロロジシラン(HCD)、モノシラン[SiH ]、ジシラン[Si ]、ヘキサメチルジシラザン(HMDS)、テトラクロロシラン(TCS)、ジシリルアミン(DSA)、トリシリルアミン(TSA)、ビスターシャルブチルアミノシラン(BTBAS)よりなる群より選択される1以上のガスであり、前記窒化ガスは、アンモニア[NH ]、窒素[N ]、一酸化二窒素[N O]、一酸化窒素[NO]よりなる群より選択される1以上のガスである。
請求項8に係る発明は、被処理体に対して所定の薄膜を形成するための成膜装置において、真空引き可能になされた処理容器と、被処理体を保持する保持手段と、前記被処理体を加熱する加熱手段と、前記処理容器内へ成膜用のシラン系ガスを供給するシラン系ガス供給手段と、前記処理容器内へ窒化ガスを供給する窒化ガス供給手段と、前記処理容器内に前記シラン系ガスと前記窒化ガスとを供給してCVD(Chemical Vapor Deposition)により前記シリコン窒化膜の薄膜を堆積し、その後、前記処理容器内に窒化ガスを流して前記薄膜の表面を窒化するように制御する制御手段と、を備えたことを特徴とする成膜装置である。
請求項9に係る発明は、真空引き可能になされた処理容器と、被処理体を保持する保持手段と、前記被処理体を加熱する加熱手段と、前記処理容器内へ成膜用のシラン系ガスを供給するシラン系ガス供給手段と、前記処理容器内へ窒化ガスを供給する窒化ガス供給手段と、を有する成膜装置を用いて被処理体に対して所定の薄膜を形成するに際して、前記処理容器内に前記シラン系ガスと前記窒化ガスとを供給してCVD(Chemical Vapor Deposition)により前記シリコン窒化膜の薄膜を堆積し、その後、前記処理容器内に窒化ガスを流して前記薄膜の表面を窒化するように前記成膜装置を制御するプログラムを記憶することを特徴とする記憶媒体である。
本発明の成膜方法、成膜装置及び記憶媒体によれば、次のように優れた作用効果を発揮することができる。
シリコン系ガスと窒化ガスとを用いて被処理体の表面にシリコン窒化膜を堆積させる際に、上記両ガスを用いてCVDにより、まずシリコン窒化膜を形成し、その後に、窒化ガスにより上記シリコン窒化膜の表面を窒化させるようにしたので、これにより、シリコン窒化膜から発生する脱ガスがほとんどなくなり、この結果、脱ガスが反応してパーティクルを形成することがなくなるので、パーティクルの発生を抑制することができる。
以下に、本発明に係る成膜方法、成膜装置及び記憶媒体の一実施例を添付図面に基づいて詳述する。
図1は本発明の係る成膜装置の一例を示す縦断面構成図、図2は成膜装置(加熱手段は省略)を示す横断面構成図、図3は各種のガスの供給のタイミング及びRF(高周波)のオン・オフのタイミングを示すタイミングチャートである。尚、ここでは成膜ガスであるシラン系ガスとしてヘキサクロロジシラン(HCD)を用い、窒化ガスとしてアンモニアガス(NH )を用いてCVDによりシリコン窒化膜(SiN)を成膜する場合を例にとって説明する。
図示するように、プラズマを形成することができるこの成膜装置2は、下端が開口された有天井の円筒体状の処理容器4を有している。この処理容器4の全体は、例えば石英により形成されており、この処理容器4内の天井には、石英製の天井板6が設けられて封止されている。また、この処理容器4の下端開口部には、例えばステンレススチールにより円筒体状に成形されたマニホールド8がOリング等のシール部材10を介して連結されている。
上記処理容器4の下端は、上記マニホールド8によって支持されており、このマニホールド8の下方より多数枚の被処理体としての半導体ウエハWを多段に載置した保持手段としての石英製のウエハボート12が昇降可能に挿脱自在になされている。本実施例の場合において、このウエハボート12の支柱12Aには、例えば50〜100枚程度の直径が300mmのウエハWを略等ピッチで多段に支持できるようになっている。
このウエハボート12は、石英製の保温筒14を介してテーブル16上に載置されており、このテーブル16は、マニホールド8の下端開口部を開閉する例えばステンレススチール製の蓋部18を貫通する回転軸20上に支持される。
そして、この回転軸20の貫通部には、例えば磁性流体シール22が介設され、この回転軸20を気密にシールしつつ回転可能に支持している。また、蓋部18の周辺部とマニホールド8の下端部には、例えばOリング等よりなるシール部材24が介設されており、処理容器4内のシール性を保持している。
上記した回転軸20は、例えばボートエレベータ等の昇降機構(図示せず)に支持されたアーム26の先端に取り付けられており、ウエハボート12及び蓋部18等を一体的に昇降して処理容器4内へ挿脱できるようになされている。尚、上記テーブル16を上記蓋部18側へ固定して設け、ウエハボート12を回転させることなくウエハWの処理を行うようにしてもよい。
このマニホールド8には、処理容器4内の方へプラズマ化される窒化ガスとして、例えばアンモニア(NH )ガスを供給する窒化ガス供給手段28と、成膜ガスであるシラン系ガスとして例えばHCDガスを供給するシラン系ガス供給手段30と、不活性ガスとして例えばN ガスを供給する不活性ガス供給手段32とが設けられる。具体的には、上記窒化ガス供給手段28は、上記マニホールド8の側壁を内側へ貫通した石英管よりなる2本の窒化ガスノズル34、35を有している。その内、1本のガスノズルは上方向へ屈曲されて延びる分散ノズルとして形成されており、この窒化ガス分散ノズル34には、その長さ方向に沿って複数(多数)のガス噴射孔34Aが所定の間隔を隔てて形成されており、各ガス噴射孔34Aから水平方向に向けて略均一にアンモニアガスを噴射できるようになっている。他方の窒化ガスノズル35は、ストレート管よりなり、そのガス噴射孔35Aより処理容器4内の底部へアンモニアガスを噴射できるようになっている。
また上記シラン系ガス供給手段30は、上記マニホールド8の側壁を内側へ貫通したシラン系ガスノズル36を有している。このシラン系ガスノズル36の先端のガス噴射孔36Aより処理容器4内の底部へHCDガスを噴射できるようになっている。また同様に上記不活性ガス供給手段32は、上記マニホールド8の側壁を貫通して設けたストレート状のガスノズル38を有している。そして、このガスノズル38の先端のガス噴射孔38AよりN ガスを処理容器4内の底部へ噴射できるようになっている。上記各ノズル34、35、36、38には、それぞれのガス通路42、43、44、46が接続されている。そして、各ガス通路42、43、44、46には、それぞれ開閉弁42A、43A、44A、46A及びマスフローコントローラのような流量制御器42B、43B、44B、46Bが介設されており、NH ガス、HCDガス及びN ガスをそれぞれ流量制御しつつ供給できるようになっている。これらの各ガスの供給、供給停止、ガス流量の制御及び後述する高周波のオン・オフ制御等は例えばマイクロコンピュータ等よりなる制御手段48により行われる。
そして、上記処理容器4の側壁の一部には、その高さ方向に沿ってプラズマを発生させて窒化ガスを活性化させる活性化手段50が形成されると共に、この活性化手段50に対向する処理容器4の反対側には、この内部雰囲気を真空排気するために処理容器4の側壁を、例えば上下方向へ削りとることによって形成した細長い排気口52が設けられている。具体的には、上記活性化手段50は、上記処理容器4の側壁を上下方向に沿って所定の幅で削りとることによって上下に細長い開口54を形成し、この開口54をその外側より覆うようにして断面凹部状になされた上下に細長い例えば石英製のプラズマ区画壁56を容器外壁に気密に溶接接合することにより形成されている。これにより、この処理容器4の側壁の一部を凹部状に外側へ窪ませることにより一側が処理容器4内へ開口されて連通された活性化手段50が一体的に形成されることになる。すなわちプラズマ区画壁56の内部空間は、上記処理容器4内に一体的に連通された状態となっている。上記開口54は、ウエハボート12に保持されている全てのウエハWを高さ方向においてカバーできるように上下方向に十分に長く形成されている。
そして、上記プラズマ区画壁56の両側壁の外側面には、その長さ方向(上下方向)に沿って互いに対向するようにして細長い一対のプラズマ電極58が設けられると共に、このプラズマ電極58にはプラズマ発生用の高周波電源60が給電ライン62を介して接続されており、上記プラズマ電極58に例えば13.56MHzの高周波電圧を必要に応じて印加することによりプラズマを発生し得るようになっている。尚、この高周波電圧の周波数は13.56MHzに限定されず、他の周波数、例えば400kHz等を用いてもよい。
そして、上記処理容器4内を上方向に延びていく一方の窒化ガス分散ノズル34は途中で処理容器4の半径方向外方へ屈曲されて、上記プラズマ区画壁56内の一番奥(処理容器4の中心より一番離れた部分)に位置され、この一番奥の部分に沿って上方に向けて起立させて設けられている。従って、高周波電源60がオンされている時に上記窒化ガス分散ノズル34のガス噴射孔34Aから噴射されたアンモニアガスはここで活性化されて処理容器4の中心に向けて拡散しつつ流れるようになっている。尚、この窒化ガス分散ノズル34は、後述するように、薄膜形成工程ではなく、表面窒化工程の時に用いられる。
そして上記プラズマ区画壁56の外側には、これを覆うようにして例えば石英よりなる絶縁保護カバー64が取り付けられている。また、この絶縁保護カバー64の内側部分には、図示しない冷媒通路が設けられており、冷却された窒素ガスを流すことにより上記プラズマ電極58を冷却し得るようになっている。
一方、上記開口54に対向させて設けた排気口52には、これを覆うようにして石英よりなる断面コ字状に成形された排気口カバー部材66が溶接により取り付けられている。この排気口カバー部材66は、上記処理容器4の側壁に沿って上方に延びており、処理容器4の上方のガス出口68より図示しない真空ポンプ等を介設した真空排気系により真空引きされる。そして、この処理容器4の外周を囲むようにしてこの処理容器4及びこの内部のウエハWを加熱する筒体状の加熱手段70が設けられている。
そして、上記制御手段48は、この装置全体の動作を制御するためのプログラムを記憶するための例えばフロッピディスクやフラッシュメモリ等よりなる記憶媒体82を有している。
次に、以上のように構成された成膜装置を用いて行なわれる本発明の成膜方法について説明する。上述したように、以下に説明する動作は、上記記憶媒体82に記憶されたプログラムに基づいて行われる。ここでは成膜処理として、ウエハ表面に低温でCVDによりシリコン窒化膜を形成する場合を例にとって説明する。すなわち、本発明方法は、上記処理容器4内に上記シラン系ガスと上記窒化ガスとを供給してCVDにより上記シリコン窒化膜の薄膜を堆積する薄膜形成工程と、上記処理容器4内に窒化ガスを流して上記薄膜の表面を窒化する表面窒化工程と、を備えている。この場合、本実施例では表面窒化工程においてNH ガスを供給する時にこれをプラズマにより活性化させるようにしている。
まず、常温の多数枚、例えば50〜100枚の300mmサイズのウエハWが載置された状態のウエハボート12を予め所定の温度になされた処理容器4内にその下方より上昇させてロードし、蓋部18でマニホールド8の下端開口部を閉じることにより容器内を密閉する。
そして処理容器4内を真空引きして所定のプロセス圧力に維持すると共に、加熱手段70への供給電力を増大させることにより、ウエハ温度を上昇させてプロセス温度を維持し、各種のガスをシラン系ガス供給手段30及び窒化ガス供給手段28からそれぞれHCDガスとNH ガスとを同時に供給し、回転しているウエハボート12に支持されているウエハWの表面にCVDによりシリコン窒化膜を形成する。この際、NH ガスは窒化ガス分散ノズル34ではなく、窒化ガスノズル35から処理容器4内の底部に供給する。また、必要に応じて不活性ガス供給手段32からN ガスも同時に供給する。
このようにして、所定の時間に亘ってCVDによる薄膜形成工程が終了したならば、真空引きを継続しつつN ガスをパージガスとして繰り返し流すことにより処理容器4内の残留ガスを排除するサイクルパージを行う。
このようにして、サイクルパージが終了したならば、処理容器4内へ、窒化ガスノズル35ではなく窒化ガス分散ノズル34よりNH ガスを供給し、上記成膜されたシリコン窒化膜の表面を窒化処理する表面窒化工程を行う。この際、窒化処理を促進させるためにRF電力によってプラズマを立ててNH ガスを活性化させる。またこの場合、必要に応じて不活性ガスとしてN ガスも供給する。
具体的には、図3にも示すように、薄膜形成工程では、NH ガスは窒化ガスノズル35のガス噴射孔35Aから水平方向へ噴射され、またHCDガスはシラン系ガスノズル36のガス噴射孔36Aから水平方向へ噴射され(図3(A)及び図3(B)参照)、両ガスが処理容器4内を上昇しつつ反応し、CVDによりウエハWの表面にシリコン窒化膜の薄膜が形成される。尚、必要に応じて、この際、N ガスも供給される(図3(C)参照)。このCVD処理時には、ウエハWの温度は、それ程高くなく、比較的低温で行われる。
このようにして、低温CVDによる所定の時間の薄膜形成工程が終了したならば、次にサイクルパージ工程へ移行し、真空引きを継続して行いつつ(図3(C)参照)、N ガスの供給と停止とをパルス状に複数回繰り返して行い、処理容器4内に残留する処理ガスを排除する。
このようにして、サイクルパージ工程が終了したならば、次に、表面窒化工程へ移行する。ここでは、NH ガスを、窒化ガスノズル35からではなく、容器4内の上方へ延びる窒化ガス分散ノズル34の各ガス噴射孔34Aから供給し、この際、高周波電源(RF)60をオンすることによりプラズマを立て(図3(D)参照)、上記供給されたNH ガスを活性化する。この活性化されたNH ガスにより、ウエハ表面に形成されていたシリコン窒化膜の表面を略完全に窒化処理する。尚、この際、必要に応じてN ガスも供給する。
従来の成膜方法では、シリコン窒化膜の成膜処理後に、ウエハ表面からSiHや SiH 等を主成分とする脱ガスが発生してこれが酸素等と反応してパーティクルを生じていたが、上述した本実施例のようにシリコン窒化膜の表面を窒化処理することにより、表面の膜中に残留していたSi−H結合の大部分がSi−N結合へ変換されてガス成分がなくなり、これにより脱ガスが生じなくなる。この結果、本発明方法によるシリコン窒化膜を大気中に晒しても、ウエハ表面にパーティクルが発生することを略確実に防止することができる。
ここでプロセス時の条件について説明すると、薄膜形成工程においては、HCDガスの流量は5〜50sccmの範囲内で例えば20sccm、NH ガスの流量は100〜5000sccmの範囲内で例えば2000sccm、プロセス圧力は13〜1330Paの範囲内で例えば200Pa(1.5Torr)、プロセス温度は550℃以下であり、例えば480℃で行う。このプロセス温度が550℃を越えて高くなると、膜質は良好になるが、ウエハ下地層の材料が熱的にダメージを受けてしまうので好ましくない。またプロセス温度の下限値は300℃程度であり、これよりも温度が低いと、膜自体が形成されなくなってしまう。またプロセス時間は、目標とする膜厚に依存し、例えば目標膜厚が35nm程度の時には40分程度の成膜処理を行う。
また窒化処理工程に関しては、NH ガスの流量は100〜5000sccmの範囲内で、例えば2000sccm(薄膜窒化工程と同じ)である。NH ガスの流量が、上記下限値より少ないと窒化が十分ではなく、また、上限値以上の場合には窒化反応が飽和してしまっているのでガスが無駄になる。プロセス圧力は13Pa(0.1Torr)〜2660Pa(20Torr)の範囲内で、例えば13.3Paである。プロセス圧力が、13Paよりも小さいと、圧力が低過ぎて窒化が十分に行われず、また2660Paよりも高いと、プラズマ自体が立たなくなってしまう。プロセス温度は、上記薄膜形成工程の温度以下、好ましくは薄膜形成工程の温度と同じとし、昇降温操作に時間をかけないようにする。またプロセス時間T1は、1分以上であり、ここでは例えば10分行った。プロセス時間T1が1分よりも少ないと窒化が十分に行われない。
実際に、上記実施例の評価を行ったので、その評価結果について説明する。
従来のCVD成膜方法でウエハの表面にシリコン窒化膜を成膜し、このウエハを清浄空気中に8時間放置したところ、初期値ではパーティクル数が13個であったものが17663個まで増加していた。
これに対して、本発明方法の場合には、ウエハの表面にシリコン窒化膜を形成した後に直ちに表面窒化した後、このウエハを清浄空気中に8時間放置したところ、初期値ではパーティクル数が30個であったものが、41個まで増加しているだけであり、パーティクルの発生を大幅に抑制できることが確認できた。
上記実施例では表面窒化工程において、処理容器4内でNH ガスを活性化していたが、これに限定されず、処理容器4の外でNH ガスを活性化し、これを処理容器4内へ導入するようにした、いわゆるリモートプラズマ方式を採用するようにしてもよい。
また上記実施例では表面窒化工程において、NH ガスをプラズマで活性化して窒化処理を促進させるようにしていたが、これに限定されず、プラズマを用いることなくNH ガスの圧力を上げて窒化を促進させるようにしてもよい。
図4はこのような処理を行うための本発明の成膜装置の変形例を示し、図5は変形例において各種のガスの供給のタイミングを示すタイミングチャートである。尚、図1に示す構成部分と同一構成部分については同一符号を付してその説明を省略する。
図4に示すように、この成膜装置の処理容器4は、図1においてマニホールド8に相当する部分も全て一体的に石英で構成されて、単管構造になされている。そして、この処理容器80は完全な円筒体状に成形されており、図1に示す構成で用いたプラズマを発生させる活性化手段50及びこれに関連する構造物や排気カバー部材66は設けていない。そして、窒化ガス供給手段28は、図1に示した窒化ガス分散ノズル34は有しておらず、直管状の窒化ガスノズル35だけ有している。そして、処理容器4の底部に供給されたNH ガス或いはHCDガスは、この処理容器80内をウエハWと接触しつつ上昇し、横引きされることなく(図1参照)、天井部に設けたガス出口68から容器外へ排出されることになる。
この成膜装置を用いて成膜処理を行う場合、図5に示すように、薄膜形成工程及びサイクルパージ工程は図3に示す場合と全く同様に行われる。
これに対して、表面窒化工程では、NH ガスを供給し、また必要に応じてN ガスを供給し、プラズマを立てることなくシリコン窒化膜の表面の窒化処理を行う。この場合、窒化反応を促進させるために処理容器80内の圧力を高くする(図5(D)参照)。この時のプロセス圧力は2660Pa(20Torr)以上であれば十分であり、ここでは120Torrで行っている。このプロセス圧力が20Torrよりも低いと、窒化処理が十分ではなくなってしまう。またこの場合にもプロセス時間T1は、1分以上、ここでは例えば10分程度行っている。この変形例の場合にも、先の図3に示す実施例と同様な作用効果を発揮することができる。
尚、上記実施例では単管構造の処理容器を例にとって説明したが、これに限定されず、2重管構造の処理容器についても本発明を適用することができる。
またここでは、いわゆる縦型のバッチ式の成膜装置を例にとって説明したが、これに限定されず、ウエハを1枚ずつ処理する、いわゆる枚葉式の成膜装置にも本発明を適用することができる。
更に、上記実施例では、シラン系ガスとしてHCDガスを用いたが、これに限定されず、ヘキサクロロジシラン(HCD)、ジクロロシラン(DCS)、モノシラン[SiH ]、ジシラン[Si ]、ヘキサメチルジシラザン(HMDS)、テトラクロロシラン(TCS)、ジシリルアミン(DSA)、トリシリルアミン(TSA)、ビスターシャルブチルアミノシラン(BTBAS)よりなる群より選択される1以上のガスを用いることができる。
また窒化ガスとしてNH ガスを用いたが、これに限定されず、アンモニア[NH ]、窒素[N ]、一酸化二窒素[N O]、一酸化窒素[NO]よりなる群より選択される1以上のガスを用いることができる。
また被処理体としては、半導体ウエハに限定されず、ガラス基板やLCD基板等にも本発明を適用することができる。
本発明の係る成膜装置の一例を示す縦断面構成図である。 成膜装置(加熱手段は省略)を示す横断面構成図である。 各種のガスの供給のタイミング及びRF(高周波)のオン・オフのタイミングを示すタイミングチャートである。 本発明の成膜装置の変形例を示す構成図である。 図4に示す変形例において各種のガスの供給のタイミングを示すタイミングチャートである。
符号の説明
2 成膜装置
4 処理容器
12 ウエハボート(供給手段)
28 窒化ガス供給手段
30 シラン系ガス供給手段
32 不活性ガス供給手段
34 窒化ガス分散ノズル
35 窒化ガスノズル
36 シラン系ガス分散ノズル
48 制御手段
50 活性化手段
58 プラズマ電極
60 高周波電源
70 加熱手段
W 半導体ウエハ(被処理体)

Claims (9)

  1. 真空引き可能になされた処理容器内に、シラン系ガスと窒化ガスとを供給して加熱された被処理体の表面にシリコン窒化膜の薄膜を堆積させるようにした成膜方法において、
    前記処理容器内に前記シラン系ガスと前記窒化ガスとを供給してCVD(Chemical Vapor Deposition)により前記シリコン窒化膜の薄膜を堆積する薄膜形成工程と、
    前記薄膜形成工程の後に前記処理容器内に窒化ガスを流して前記薄膜の表面を窒化する表面窒化工程と、
    を備えたことを特徴とする成膜方法。
  2. 前記薄膜形成工程のプロセス温度は550℃以下であることを特徴とする請求項1記載の成膜方法。
  3. 前記表面窒化工程のプロセス圧力は2660Pa(20Torr)以上であることを特徴とする請求項1または2記載の成膜方法。
  4. 前記表面窒化工程では、前記窒化ガスはプラズマにより活性化されると共に、プロセス圧力は13Pa(0.1Torr)〜2660Pa(20Torr)の範囲内であることを特徴とする請求項1または2記載の成膜方法。
  5. 前記表面窒化工程のプロセス温度は、前記薄膜形成工程のプロセス温度以下であることを特徴とする請求項1乃至4のいずれかに記載の成膜方法。
  6. 前記表面窒化工程のプロセス時間は1分以上であることを特徴とする請求項1乃至5のいずれかに記載の成膜方法。
  7. 前記シラン系ガスは、ジクロロシラン(DCS)、ヘキサクロロジシラン(HCD)、モノシラン[SiH ]、ジシラン[Si ]、ヘキサメチルジシラザン(HMDS)、テトラクロロシラン(TCS)、ジシリルアミン(DSA)、トリシリルアミン(TSA)、ビスターシャルブチルアミノシラン(BTBAS)よりなる群より選択される1以上のガスであり、前記窒化ガスは、アンモニア[NH ]、窒素[N ]、一酸化二窒素[N O]、一酸化窒素[NO]よりなる群より選択される1以上のガスであることを特徴とする請求項1乃至6のいずれかに記載の成膜方法。
  8. 被処理体に対して所定の薄膜を形成するための成膜装置において、
    真空引き可能になされた処理容器と、
    被処理体を保持する保持手段と、
    前記被処理体を加熱する加熱手段と、
    前記処理容器内へ成膜用のシラン系ガスを供給するシラン系ガス供給手段と、
    前記処理容器内へ窒化ガスを供給する窒化ガス供給手段と、
    前記処理容器内に前記シラン系ガスと前記窒化ガスとを供給してCVD(Chemical Vapor Deposition)により前記シリコン窒化膜の薄膜を堆積し、その後、前記処理容器内に窒化ガスを流して前記薄膜の表面を窒化するように制御する制御手段と、
    を備えたことを特徴とする成膜装置。
  9. 真空引き可能になされた処理容器と、
    被処理体を保持する保持手段と、
    前記被処理体を加熱する加熱手段と、
    前記処理容器内へ成膜用のシラン系ガスを供給するシラン系ガス供給手段と、
    前記処理容器内へ窒化ガスを供給する窒化ガス供給手段と、を有する成膜装置を用いて被処理体に対して所定の薄膜を形成するに際して、
    前記処理容器内に前記シラン系ガスと前記窒化ガスとを供給してCVD(Chemical Vapor Deposition)により前記シリコン窒化膜の薄膜を堆積し、その後、前記処理容器内に窒化ガスを流して前記薄膜の表面を窒化するように前記成膜装置を制御するプログラムを記憶することを特徴とする記憶媒体。


JP2005173036A 2004-07-27 2005-06-13 成膜方法、成膜装置及び記憶媒体 Pending JP2006066884A (ja)

Priority Applications (6)

Application Number Priority Date Filing Date Title
JP2005173036A JP2006066884A (ja) 2004-07-27 2005-06-13 成膜方法、成膜装置及び記憶媒体
TW094124702A TWI349311B (en) 2004-07-27 2005-07-21 Method and apparatus for forming silicon nitride film
US11/186,892 US7427572B2 (en) 2004-07-27 2005-07-22 Method and apparatus for forming silicon nitride film
KR1020050067677A KR100974969B1 (ko) 2004-07-27 2005-07-26 실리콘 질화막의 형성 방법
CN200510087160A CN100594588C (zh) 2004-07-27 2005-07-27 氮化硅膜形成方法及装置
KR1020080135449A KR100983452B1 (ko) 2004-07-27 2008-12-29 실리콘 질화막의 형성 방법

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
JP2004219341 2004-07-27
JP2005173036A JP2006066884A (ja) 2004-07-27 2005-06-13 成膜方法、成膜装置及び記憶媒体

Publications (1)

Publication Number Publication Date
JP2006066884A true JP2006066884A (ja) 2006-03-09

Family

ID=36099794

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2005173036A Pending JP2006066884A (ja) 2004-07-27 2005-06-13 成膜方法、成膜装置及び記憶媒体

Country Status (5)

Country Link
US (1) US7427572B2 (ja)
JP (1) JP2006066884A (ja)
KR (2) KR100974969B1 (ja)
CN (1) CN100594588C (ja)
TW (1) TWI349311B (ja)

Cited By (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2008140864A (ja) * 2006-11-30 2008-06-19 Tokyo Electron Ltd シリコン窒化膜の形成方法、形成装置、形成装置の処理方法及びプログラム
JP2009536267A (ja) * 2006-05-05 2009-10-08 アプライド マテリアルズ インコーポレイテッド 誘電膜の原子層堆積のための化学物質の光励起のための方法および装置
JP2013187507A (ja) * 2012-03-09 2013-09-19 Hitachi Kokusai Electric Inc 半導体装置の製造方法、基板処理方法、基板処理装置およびプログラム
JP2014140013A (ja) * 2012-12-18 2014-07-31 Tokyo Electron Ltd 薄膜形成方法および薄膜形成装置
KR20200078613A (ko) * 2017-11-21 2020-07-01 어플라이드 머티어리얼스, 인코포레이티드 실리콘 질화물 막들의 건식 에칭 레이트 감소

Families Citing this family (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP4228150B2 (ja) * 2005-03-23 2009-02-25 東京エレクトロン株式会社 成膜装置、成膜方法及び記憶媒体
US8741788B2 (en) * 2009-08-06 2014-06-03 Applied Materials, Inc. Formation of silicon oxide using non-carbon flowable CVD processes
CN102659086B (zh) * 2012-05-04 2014-08-20 中国人民解放军国防科学技术大学 一种氮化硅纳米纤维毡的制备方法
US9064694B2 (en) 2012-07-12 2015-06-23 Tokyo Electron Limited Nitridation of atomic layer deposited high-k dielectrics using trisilylamine
JP6946989B2 (ja) * 2017-12-06 2021-10-13 住友電気工業株式会社 窒化珪素パッシベーション膜の成膜方法及び半導体装置の製造方法

Family Cites Families (12)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5840600A (en) * 1994-08-31 1998-11-24 Semiconductor Energy Laboratory Co., Ltd. Method for producing semiconductor device and apparatus for treating semiconductor device
JP3305901B2 (ja) * 1994-12-14 2002-07-24 東芝マイクロエレクトロニクス株式会社 半導体装置の製造方法
US5674783A (en) * 1996-04-01 1997-10-07 Taiwan Semiconductor Manufacturing Company, Ltd. Method for improving the chemical-mechanical polish (CMP) uniformity of insulator layers
JP3141827B2 (ja) * 1997-11-20 2001-03-07 日本電気株式会社 半導体装置の製造方法
US6673126B2 (en) * 1998-05-14 2004-01-06 Seiko Epson Corporation Multiple chamber fabrication equipment for thin film transistors in a display or electronic device
JP2000100812A (ja) * 1998-09-17 2000-04-07 Tokyo Electron Ltd シリコンナイトライド膜の成膜方法
US6348420B1 (en) * 1999-12-23 2002-02-19 Asm America, Inc. Situ dielectric stacks
US6844273B2 (en) * 2001-02-07 2005-01-18 Tokyo Electron Limited Precleaning method of precleaning a silicon nitride film forming system
US6753506B2 (en) * 2001-08-23 2004-06-22 Axcelis Technologies System and method of fast ambient switching for rapid thermal processing
SG114589A1 (en) * 2001-12-12 2005-09-28 Semiconductor Energy Lab Film formation apparatus and film formation method and cleaning method
KR20030064083A (ko) * 2002-01-25 2003-07-31 삼성전자주식회사 원자층 적층을 이용하여 실리콘 나이트라이드 박막을형성하는 방법
JP3947126B2 (ja) 2002-04-11 2007-07-18 株式会社日立国際電気 半導体製造装置

Cited By (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2009536267A (ja) * 2006-05-05 2009-10-08 アプライド マテリアルズ インコーポレイテッド 誘電膜の原子層堆積のための化学物質の光励起のための方法および装置
JP2008140864A (ja) * 2006-11-30 2008-06-19 Tokyo Electron Ltd シリコン窒化膜の形成方法、形成装置、形成装置の処理方法及びプログラム
JP2013187507A (ja) * 2012-03-09 2013-09-19 Hitachi Kokusai Electric Inc 半導体装置の製造方法、基板処理方法、基板処理装置およびプログラム
JP2014140013A (ja) * 2012-12-18 2014-07-31 Tokyo Electron Ltd 薄膜形成方法および薄膜形成装置
KR20200078613A (ko) * 2017-11-21 2020-07-01 어플라이드 머티어리얼스, 인코포레이티드 실리콘 질화물 막들의 건식 에칭 레이트 감소
KR102623407B1 (ko) 2017-11-21 2024-01-09 어플라이드 머티어리얼스, 인코포레이티드 실리콘 질화물 막들의 건식 에칭 레이트 감소

Also Published As

Publication number Publication date
KR100974969B1 (ko) 2010-08-09
KR20090007263A (ko) 2009-01-16
US7427572B2 (en) 2008-09-23
US20060068606A1 (en) 2006-03-30
CN1881544A (zh) 2006-12-20
TW200616085A (en) 2006-05-16
KR100983452B1 (ko) 2010-09-20
CN100594588C (zh) 2010-03-17
KR20060046767A (ko) 2006-05-17
TWI349311B (en) 2011-09-21

Similar Documents

Publication Publication Date Title
JP4179311B2 (ja) 成膜方法、成膜装置及び記憶媒体
JP4935684B2 (ja) 成膜方法及び成膜装置
JP4258518B2 (ja) 成膜方法、成膜装置及び記憶媒体
JP5151260B2 (ja) 成膜方法及び成膜装置
JP4935687B2 (ja) 成膜方法及び成膜装置
JP4396547B2 (ja) 成膜方法、成膜装置及び記憶媒体
JP4929932B2 (ja) 成膜方法、成膜装置及び記憶媒体
JP5233562B2 (ja) 成膜方法及び成膜装置
JP4893729B2 (ja) 成膜方法、成膜装置及び記憶媒体
JP4434149B2 (ja) 成膜方法、成膜装置及び記憶媒体
JP4506677B2 (ja) 成膜方法、成膜装置及び記憶媒体
JP4924437B2 (ja) 成膜方法及び成膜装置
JP5920242B2 (ja) 成膜方法及び成膜装置
JP4929811B2 (ja) プラズマ処理装置
JP5190307B2 (ja) 成膜方法、成膜装置及び記憶媒体
JP4305427B2 (ja) 成膜方法、成膜装置及び記憶媒体
JP4595702B2 (ja) 成膜方法、成膜装置及び記憶媒体
JP5887962B2 (ja) 成膜装置
JP2006066884A (ja) 成膜方法、成膜装置及び記憶媒体
JP5346904B2 (ja) 縦型成膜装置およびその使用方法
JP6024484B2 (ja) 成膜方法及び成膜装置
JP2009260151A (ja) 金属ドープ層の形成方法、成膜装置及び記憶媒体
JP2011029284A (ja) 成膜方法及び成膜装置
JP4983063B2 (ja) プラズマ処理装置
JP2007035740A (ja) 成膜方法、成膜装置及び記憶媒体

Legal Events

Date Code Title Description
A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20070419

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20080617

A02 Decision of refusal

Free format text: JAPANESE INTERMEDIATE CODE: A02

Effective date: 20081111