JP4305427B2 - 成膜方法、成膜装置及び記憶媒体 - Google Patents

成膜方法、成膜装置及び記憶媒体 Download PDF

Info

Publication number
JP4305427B2
JP4305427B2 JP2005224741A JP2005224741A JP4305427B2 JP 4305427 B2 JP4305427 B2 JP 4305427B2 JP 2005224741 A JP2005224741 A JP 2005224741A JP 2005224741 A JP2005224741 A JP 2005224741A JP 4305427 B2 JP4305427 B2 JP 4305427B2
Authority
JP
Japan
Prior art keywords
gas
source gas
valve opening
film forming
processing container
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Active
Application number
JP2005224741A
Other languages
English (en)
Other versions
JP2007042823A (ja
Inventor
一秀 長谷部
充弘 岡田
保華 周
淳 小川
採虎 金
講平 福島
俊樹 高橋
潤 佐藤
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Tokyo Electron Ltd
Original Assignee
Tokyo Electron Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Tokyo Electron Ltd filed Critical Tokyo Electron Ltd
Priority to JP2005224741A priority Critical patent/JP4305427B2/ja
Priority to US11/496,436 priority patent/US7758920B2/en
Priority to TW095128308A priority patent/TWI383448B/zh
Priority to CN2006101083702A priority patent/CN1908228B/zh
Publication of JP2007042823A publication Critical patent/JP2007042823A/ja
Application granted granted Critical
Publication of JP4305427B2 publication Critical patent/JP4305427B2/ja
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/4412Details relating to the exhausts, e.g. pumps, filters, scrubbers, particle traps
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/34Nitrides
    • C23C16/345Silicon nitride
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/448Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for generating reactive gas streams, e.g. by evaporation or sublimation of precursor materials
    • C23C16/452Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for generating reactive gas streams, e.g. by evaporation or sublimation of precursor materials by activating reactive gas streams before their introduction into the reaction chamber, e.g. by ionisation or addition of reactive species
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45527Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45544Atomic layer deposition [ALD] characterized by the apparatus
    • C23C16/45546Atomic layer deposition [ALD] characterized by the apparatus specially adapted for a substrate stack in the ALD reactor
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45563Gas nozzles
    • C23C16/45578Elongated nozzles, tubes with holes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/0217Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon nitride not containing oxygen, e.g. SixNy or SixByNz
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/0228Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition deposition by cyclic CVD, e.g. ALD, ALE, pulsed CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/314Inorganic layers
    • H01L21/3141Deposition using atomic layer deposition techniques [ALD]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/314Inorganic layers
    • H01L21/318Inorganic layers composed of nitrides
    • H01L21/3185Inorganic layers composed of nitrides of siliconnitrides

Description

本発明は、半導体ウエハ等の被処理体に薄膜を形成する成膜方法、成膜装置及び上記成膜装置を制御するプログラムを記憶する記憶媒体に関する。
一般に、半導体集積回路を製造するためにはシリコン基板等よりなる半導体ウエハに対して、成膜処理、エッチング処理、酸化処理、拡散処理、改質処理、自然酸化膜の除去処理等の各種の処理が行なわれる。これらの処理を特許文献1、2等に開示されている縦型の、いわゆるバッチ式の熱処理装置にて行う場合には、まず、半導体ウエハを複数枚、例えば25枚程度収容できるカセットから、半導体ウエハを縦型のウエハボートへ移載してこれに多段に支持させる。このウエハボートは、例えばウエハサイズにもよるが30〜150枚程度のウエハを載置できる。このウエハボートは、排気可能な処理容器内にその下方より搬入(ロード)された後、処理容器内が気密に維持される。そして、処理ガスの流量、プロセス圧力、プロセス温度等の各種のプロセス条件を制御しつつ所定の熱処理が施される。
ここで半導体製造工程における絶縁膜等について注目すると、一般的には、この絶縁膜に関してはSiO 膜が主として用いられていた。しかし、最近にあっては、半導体集積回路の更なる高集積化、高微細化の要請が強くなっている。このような状況下において、耐酸化膜、不純物の拡散防止膜、ゲート素子のサイドウォール膜等の絶縁膜としてシリコン窒化膜(Si 膜)が用いられている。このシリコン窒化膜は、不純物の拡散係数が低く、且つ酸化バリヤ性が高いことから、上述したような絶縁膜として非常に適している。
そして、上記したシリコン窒化膜を形成する場合には、良好な膜質及びステップカバレジを得るために、CVD(Chemical Vapor Deposition)法とは異なって2種類のガスを互いに異なるタイミングで交互に流すようにして非常に薄い窒化膜を1層ずつ形成する、いわゆるALD(Atomic Layer Deposition)法が採用される傾向にある(特許文献2)。
この点について図12及び図13を参照して説明する。図12は従来の一般的な縦型の成膜装置を示す概略構成図、図13は各ガスの供給シーケンスと排気弁の動作との関係を示すグラフである。
図12に示すように、この成膜装置の縦型の処理容器2内には、ウエハボート4上に多段に支持された複数枚の半導体ウエハWが収容されている。そして、この処理容器2内に原料ガスとしての例えばDCS(ジクロロシラン)と反応性ガスとしての例えばNH とが供給可能になされている。この処理容器2の排気系6には、排気開閉弁8と真空ポンプ10とが順次介設されており、容器内雰囲気を真空引きできるようになっている。
このような成膜装置を用いてシリコン窒化膜を形成する場合には、図13に示すように、DCSガスとNH ガスとを交互に異なるタイミングで供給するようにし、DCSガスの供給時に原料ガスをウエハ表面に吸着させ、これを次工程のNH ガスの供給時に窒化させて極めて薄い原子レベル、或いは分子レベルの膜厚のシリコン窒化膜を形成する、という操作を繰り返して堆積するようになっている。
この場合、原料ガスであるDCSガスの供給時には、排気開閉弁8を完全に閉状態にすることによって処理容器2内の圧力を高め、この時にウエハ表面に吸着する原料ガスの吸着量をできるだけ多くしてスループットを向上させることが行われている。
特開平6−275608号公報 特開2004−6801号公報
ところで、上記した従来の方法では、原料ガスの供給時に排気開閉弁8を全閉状態にして容器内圧力を瞬間時に高め、ウエハ表面への原料ガスの吸着量を増加させるようにしている。しかしながら、この場合、排気開閉弁8の全閉時には容器内圧力が一瞬平衡状態となり、排気系の内壁等に付着していた例えば塩化アンモニウム等の反応副生成物の微粒子が剥がれ落ちて逆流し、ウエハ表面等に付着してパーティクル生成の核となる場合があった。
また上記排気開閉弁8としては、開閉弁と圧力調整弁との2つの機能を併せ持つ、いわゆるコンビネーションバルブが用いられるが、このコンビネーションバルブに設けられているOリング等のシール部材に、この排気開閉弁8の全閉時に上記した反応副生成物が付着して堆積し、この結果、この堆積物がシール部材のシール性を阻害して内部リークが生ずる場合があった。そこで、上記シール部材を反応副生成物の昇華温度以上に加熱した状態にして反応副生成物の付着を防止することも考えられるが、この場合には、この排気開閉弁8に対して耐熱処理を施さなければならず、構造が複雑化するので実際的ではない。
本発明は、以上のような問題点に着目し、これを有効に解決すべく創案されたものである。本発明の目的は、スループットを低下させることなくパーティクルの発生を抑制することができると共に、内部リークの発生も防止することが可能な成膜方法、成膜装置及び記憶媒体を提供することにある。
本発明の他の目的は、堆積する薄膜の膜ストレスやエッチングレート等を良好になるように制御することが可能な成膜方法、成膜装置及び記憶媒体を提供することにある。
請求項1に係る発明は、筒体状の処理容器内に被処理体を保持し、全開及び全閉を含んで弁開度を任意に設定することができる弁機構が途中に介設された真空排気系により前記処理容器内の雰囲気を排気しつつ前記処理容器内に原料ガスと反応性ガスとを供給するようにした成膜装置を用いて薄膜を形成する成膜方法において、前記原料ガスと前記反応性ガスとを前記処理容器内へ交互に供給すると共に、前記原料ガスの供給時の前記弁機構の弁開度を、該原料ガスの非供給時の弁開度よりも、全閉状態を除いて小さく設定すると共にその弁開度は、全開状態の5〜20%の範囲内となるようにしたことを特徴とする成膜方法である。
このように、原料ガスと反応性ガスとを交互に繰り返し供給して薄膜を形成するに際して、原料ガスの供給時には、排気側の弁機構の弁開度を原料ガスの非供給時よりも小さく設定するが全閉状態とはしないので、常に排気側への流れが形成された状態となる。従って、弁開度の変化による容器内圧力の変動により、例えば排気系の内壁からパーティクルの生成核となる付着物等が剥がれ落ちても、この剥がれ落ちたパーティクルの生成核は常に排気方向へ流されて行き、これが逆流して被処理体の表面等に付着することはなく、パーティクルの発生を大幅に抑制することができる。
また、弁機構を全閉状態にしないまでも、その弁開度を小さくして容器内圧力を高くするようにしているので、被処理体の表面に対する原料ガスの吸着量が増大し、このためスループットを低下させることなく、このスループットを従来方法の場合と同様に高く維持することができる。
また上述のように原料ガスの供給時に弁機構を全閉状態とはしないので、例えばこのシール部材に反応副生成物が付着することがなくなり、従って、弁機構に内部リークが発生することを防止することができる。
請求項2に係る発明は、筒体状の処理容器内に被処理体を保持し、全開及び全閉を含んで弁開度を任意に設定することができる弁機構が途中に介設された真空排気系により前記処理容器内の雰囲気を排気しつつ前記処理容器内に原料ガスと反応性ガスとを供給するようにした成膜装置を用いて薄膜を形成する成膜方法において、前記原料ガスと前記反応性ガスとを前記処理容器内へ交互に供給すると共に、前記原料ガスの供給時の前記弁機構の弁開度を、該原料ガスの非供給時の弁開度よりも、全閉状態を除いて小さく設定すると共にその弁開度は、全開状態の80〜95%の範囲内となるようにしたことを特徴とする成膜方法である。
例えば請求項3に規定するように、前記原料ガスの供給時と前記反応性ガスの供給時との間には間欠期間が設けられており、前記間欠期間には前記処理容器内は少なくとも不活性ガスパージされていること、或いは全てのガスの供給が停止されて真空引きされている。
また例えば請求項4に規定するように、前記反応性ガスはプラズマにより活性化されるようにしてもよい。
また例えば請求項5に規定するように、前記処理容器は前記被処理体を複数枚処理できる大きさで縦型に成形されており、前記保持手段は前記被処理体を複数段に保持して前記処理容器内へ挿脱自在になされている。
また例えば請求項6に規定するように、前記真空排気系は、前記弁機構が途中に介設されて前記原料ガスを排気する原料ガス用排気通路と、前記弁機構が途中に介設されて前記反応性ガスを排気する反応性ガス用排気通路とを有し、前記両排気通路に介設された2つの弁機構の全体の弁開度が、等価的に1つの弁開度として制御される。
また例えば請求項7に規定するように、前記原料ガスは、ジクロロシラン(DCS)、ヘキサクロロジシラン(HCD)、モノシラン[SiH ]、ジシラン[Si ]、ヘキサメチルジシラザン(HMDS)、テトラクロロシラン(TCS)、ジシリルアミン(DSA)、トリシリルアミン(TSA)、ビスターシャルブチルアミノシラン(BTBAS)よりなる群より選択されるシラン系ガスであり、前記反応性ガスは、アンモニア[NH ]、窒素[N ]、一酸化二窒素[N O]、一酸化窒素[NO]よりなる群より選択される窒化ガス、またはO 、O よりなる群より選択される酸化性ガスである。
また例えば請求項8に規定するように、前記処理容器内へドーパントガスが供給されて、前記薄膜中にはドーパントが含まれている。
また例えば請求項9に規定するように、前記ドーパントは、ボロン及び/又は炭素よりなる。
請求項10に係る発明は、被処理体に対して所定の薄膜を形成する成膜装置において、筒体状の処理容器と、前記処理容器内で前記被処理体を保持する保持手段と、前記被処理体を加熱する加熱手段と、前記処理容器内へ成膜用の原料ガスを供給する原料ガス供給手段と、前記処理容器内へ前記原料ガスと反応する反応性ガスを供給する反応性ガス供給手段と、全開及び全閉を含んで弁開度を任意に設定することができる弁機構が途中に介設されて前記処理容器内の雰囲気を排気する真空排気系と、前記原料ガスと前記反応性ガスとを前記処理容器内へ交互に供給すると共に、前記原料ガスの供給時の前記弁機構の弁開度を、該原料ガスの非供給時の弁開度よりも、全閉状態を除いて小さく設定すると共にその弁開度は、全開状態の5〜20%の範囲内となるように制御する制御手段と、を備えたことを特徴とする成膜装置である。
請求項11に係る発明は、被処理体に対して所定の薄膜を形成する成膜装置において、筒体状の処理容器と、前記処理容器内で前記被処理体を保持する保持手段と、前記被処理体を加熱する加熱手段と、前記処理容器内へ成膜用の原料ガスを供給する原料ガス供給手段と、前記処理容器内へ前記原料ガスと反応する反応性ガスを供給する反応性ガス供給手段と、全開及び全閉を含んで弁開度を任意に設定することができる弁機構が途中に介設されて前記処理容器内の雰囲気を排気する真空排気系と、前記原料ガスと前記反応性ガスとを前記処理容器内へ交互に供給すると共に、前記原料ガスの供給時の前記弁機構の弁開度を、該原料ガスの非供給時の弁開度よりも、全閉状態を除いて小さく設定すると共にその弁開度は、全開状態の80〜95%の範囲内となるように制御する制御手段と、を備えたことを特徴とする成膜装置である。
この場合、例えば請求項12に規定するように、前記反応性ガスを活性化する活性化手段を有するようにしてもよい。
また例えば請求項13に規定するように、前記処理容器は前記被処理体を複数枚処理できる大きさで縦型に成形されており、前記保持手段は前記被処理体を複数段に保持して前記処理容器内へ挿脱自在になされている。
また例えば請求項14に規定するように、前記真空排気系は、前記弁機構が途中に介設されて前記原料ガスを排気する原料ガス用排気通路と、前記弁機構が途中に介設されて前記反応性ガスを排気する反応性ガス用排気通路とを有する。
請求項15に係る発明は、被処理体に対して所定の薄膜を形成する成膜装置において、筒体状の処理容器と、前記処理容器内で前記被処理体を保持する保持手段と、前記被処理体を加熱する加熱手段と、前記処理容器内へ成膜用の原料ガスを供給する原料ガス供給手段と、前記処理容器内へ前記原料ガスと反応する反応性ガスを供給する反応性ガス供給手段と、全開及び全閉を含んで弁開度を任意に設定することができる弁機構が途中に介設されて前記処理容器内の雰囲気を排気する真空排気系とを有する成膜装置を用いて薄膜を形成するに際して、前記原料ガスと前記反応性ガスとを前記処理容器内へ交互に供給すると共に、前記原料ガスの供給時の前記弁機構の弁開度を、該原料ガスの非供給時の弁開度よりも、全閉状態を除いて小さく設定すると共にその弁開度は、全開状態の5〜20%の範囲内となるように前記成膜装置を制御するプログラムを記憶する記憶媒体である。
請求項16に係る発明は、被処理体に対して所定の薄膜を形成する成膜装置において、筒体状の処理容器と、前記処理容器内で前記被処理体を保持する保持手段と、前記被処理体を加熱する加熱手段と、前記処理容器内へ成膜用の原料ガスを供給する原料ガス供給手段と、前記処理容器内へ前記原料ガスと反応する反応性ガスを供給する反応性ガス供給手段と、全開及び全閉を含んで弁開度を任意に設定することができる弁機構が途中に介設されて前記処理容器内の雰囲気を排気する真空排気系とを有する成膜装置を用いて薄膜を形成するに際して、前記原料ガスと前記反応性ガスとを前記処理容器内へ交互に供給すると共に、前記原料ガスの供給時の前記弁機構の弁開度を、該原料ガスの非供給時の弁開度よりも、全閉状態を除いて小さく設定すると共にその弁開度は、全開状態の80〜95%の範囲内となるように前記成膜装置を制御するプログラムを記憶する記憶媒体である。
本発明に係る成膜方法、成膜装置及び記憶媒体によれば、次のように優れた作用効果を発揮することができる。
請求項1、10、15及びこれらを引用する請求項に係る発明によれば、原料ガスと反応性ガスとを交互に繰り返し供給して薄膜を形成するに際して、原料ガスの供給時には、排気側の弁機構の弁開度を原料ガスの非供給時よりも小さく設定すると共にその弁開度は、全開状態の5〜20%の範囲内となるようにして全閉状態とはしないので、常に排気側への流れが形成された状態となる。従って、弁開度の変化による容器内圧力の変動により、例えば排気系の内壁からパーティクルの生成核となる付着物等が剥がれ落ちても、この剥がれ落ちたパーティクルの生成核は常に排気方向へ流されて行き、これが逆流して被処理体の表面等に付着することはなく、パーティクルの発生を大幅に抑制することができる。
また、弁機構を全閉状態にしないまでも、その弁開度を小さくして容器内圧力を高くするようにしているので、被処理体の表面に対する原料ガスの吸着量が増大し、このためスループットを低下させることなく、このスループットを従来方法の場合と同様に高く維持することができる。
また上述のように原料ガスの供給時に弁機構を全閉状態とはしないので、例えばこのシール部材に反応副生成物が付着することがなくなり、従って、弁機構に内部リークが発生することを防止することができる。
請求項2、11、16及びこれらを引用する請求項に係る発明によれば、原料ガスと反応性ガスとを交互に繰り返し供給して薄膜を形成するに際して、原料ガスの供給時には、排気側の弁機構の弁開度を原料ガスの非供給時よりも小さく設定すると共にその弁開度は、全開状態の80〜95%の範囲内となるようにしたので、堆積する薄膜の膜ストレスやエッチングレート等を良好になるように制御することができる。
以下に、本発明に係る成膜方法、成膜装置及び記憶媒体の一実施例を添付図面に基づいて詳述する。
図1は本発明の係る成膜装置の一例を示す縦断面構成図、図2は成膜装置(加熱手段は省略)を示す横断面構成図、図3は弁機構を示す縦断面図、図4は弁機構の横断面図である。尚、ここでは原料ガスとしてシラン系ガスの1つであるジクロロシラン(DCS)を用い、反応性ガスとして窒化ガスの1つであるアンモニアガス(NH )を用い、上記NH ガスをプラズマにより活性化してシリコン窒化膜(SiN)を成膜する場合を例にとって説明する。
図示するように、プラズマを形成することができるこの成膜装置12は、下端が開口された有天井の円筒体状の処理容器14を有している。この処理容器14の全体は、例えば石英により形成されており、この処理容器14内の天井には、石英製の天井板16が設けられて封止されている。また、この処理容器14の下端開口部には、例えばステンレススチールにより円筒体状に成形されたマニホールド18がOリング等のシール部材20を介して連結されている。
上記処理容器14の下端は、上記マニホールド18によって支持されており、このマニホールド18の下方より多数枚の被処理体としての半導体ウエハWを多段に載置した保持手段としての石英製のウエハボート22が昇降可能に挿脱自在になされている。本実施例の場合において、このウエハボート22の支柱22Aには、例えば50〜100枚程度の直径が300mmのウエハWを略等ピッチで多段に支持できるようになっている。
このウエハボート22は、石英製の保温筒24を介してテーブル26上に載置されており、このテーブル26は、マニホールド18の下端開口部を開閉する例えばステンレススチール製の蓋部28を貫通する回転軸30上に支持される。
そして、この回転軸30の貫通部には、例えば磁性流体シール32が介設され、この回転軸30を気密にシールしつつ回転可能に支持している。また、蓋部28の周辺部とマニホールド18の下端部には、例えばOリング等よりなるシール部材34が介設されており、処理容器14内のシール性を保持している。
上記した回転軸30は、例えばボートエレベータ等の昇降機構(図示せず)に支持されたアーム36の先端に取り付けられており、ウエハボート22及び蓋部28等を一体的に昇降して処理容器14内へ挿脱できるようになされている。尚、上記テーブル26を上記蓋部28側へ固定して設け、ウエハボート22を回転させることなくウエハWの処理を行うようにしてもよい。
このマニホールド18には、処理容器14内の方へプラズマ化される反応性ガスとして例えば窒化ガスの1つであるアンモニア(NH )ガスを供給する反応性ガス供給手段38と、原料ガスとして例えばシラン系ガスの1つであるDCS(ジクロロシラン)ガスを供給する原料ガス供給手段40と、パージガスとして不活性ガス、例えばN ガスを供給するパージガス供給手段42とが設けられる。具体的には、上記反応性ガス供給手段38は、上記マニホールド18の側壁を内側へ貫通して上方向へ屈曲されて延びる石英管よりなる反応性ガス分散ノズル44を有している。この反応性ガス分散ノズル44には、その長さ方向に沿って複数(多数)のガス噴射孔44Aが所定の間隔を隔てて形成されており、各ガス噴射孔44Aから水平方向に向けて略均一にアンモニアガスを噴射できるようになっている。
また同様に上記原料ガス供給手段40も、上記マニホールド18の側壁を内側へ貫通して上方向へ屈曲されて延びる石英管よりなる原料ガス分散ノズル46を有している。上記原料ガス分散ノズル46には、その長さ方向に沿って複数(多数)のガス噴射孔46Aが所定の間隔を隔てて形成されており、各ガス噴射孔46Aから水平方向に向けて略均一に原料ガスであるDCSガスを噴射できるようになっている。また同様にパージガス供給手段42も、上記マニホールド18の側壁を内側へ貫通して上方向へ屈曲されて延びる石英管よりなるパージガス分散ノズル48を有している。このパージガス分散ノズル48には、その長さ方向に沿って複数(多数)のガス噴射孔48A(図2参照)が所定の間隔を隔てて形成されており、各ガス噴射孔48Aから水平方向に向けて略均一にN ガスを噴射できるようになっている。
上記各ノズル44、46、48には、それぞれのガス通路52、54、56が接続されている。そして、各ガス通路52、54、56には、それぞれ開閉弁52A、54A、56A及びマスフローコントローラのような流量制御器52B、54B、56Bが介設されており、NH ガス、DCSガス及びN ガスをそれぞれ流量制御しつつ供給できるようになっている。
そして、上記処理容器14の側壁の一部には、その高さ方向に沿ってプラズマを発生させて反応性ガスを活性化させる活性化手段60が形成されると共に、この活性化手段60に対向する処理容器14の反対側には、この内部雰囲気を真空排気するために処理容器14の側壁を、例えば上下方向へ削りとることによって形成した細長い排気口62が設けられている。具体的には、上記活性化手段60は、上記処理容器14の側壁を上下方向に沿って所定の幅で削りとることによって上下に細長い開口64を形成し、この開口64をその外側より覆うようにして断面凹部状になされた上下に細長い例えば石英製のプラズマ区画壁66を容器外壁に気密に溶接接合することにより形成されている。
これにより、この処理容器14の側壁の一部を凹部状に外側へ窪ませることにより一側が処理容器14内へ開口されて連通された活性化手段60が一体的に形成されることになる。すなわちプラズマ区画壁66の内部空間は、上記処理容器14内に一体的に連通された状態となっている。上記開口64は、ウエハボート22に保持されている全てのウエハWを高さ方向においてカバーできるように上下方向に十分に長く形成されている。
そして、上記プラズマ区画壁66の両側壁の外側面には、その長さ方向(上下方向)に沿って互いに対向するようにして細長い一対のプラズマ電極68が設けられると共に、このプラズマ電極68にはプラズマ発生用の高周波電源70が給電ライン72を介して接続されており、上記プラズマ電極68に例えば13.56MHzの高周波電圧を印加することによりプラズマを発生し得るようになっている。尚、この高周波電圧の周波数は13.56MHzに限定されず、他の周波数、例えば400kHz等を用いてもよい。
そして、上記処理容器14内を上方向に延びていく反応性ガス分散ノズル44は途中で処理容器14の半径方向外方へ屈曲されて、上記プラズマ区画壁66内の一番奥(処理容器14の中心より一番離れた部分)に位置され、この一番奥の部分に沿って上方に向けて起立させて設けられている。従って、高周波電源70がオンされている時に上記反応性ガス分散ノズル44のガス噴射孔44Aから噴射されたアンモニアガスはここで活性化されて処理容器14の中心に向けて拡散しつつ流れるようになっている。
そして上記プラズマ区画壁66の外側には、これを覆うようにして例えば石英よりなる絶縁保護カバー74が取り付けられている。また、この絶縁保護カバー74の内側部分には、図示しない冷媒通路が設けられており、冷却された窒素ガスを流すことにより上記プラズマ電極68を冷却し得るようになっている。
そして上記プラズマ区画壁66の開口64の外側近傍、すなわち開口64の外側(処理容器14内)の両側には、上記原料ガス分散ノズル46とパージガス分散ノズル48とがそれぞれ片側ずつに起立させて設けられており、各ノズル46、48に設けた各ガス噴射孔46A、48Aより処理容器14の中心方向に向けてDCSガスとN ガスとをそれぞれ噴射し得るようになっている。
一方、上記開口64に対向させて設けた排気口62には、これを覆うようにして石英よりなる断面コ字状に成形された排気口カバー部材76が溶接により取り付けられている。この排気口カバー部材76は、上記処理容器14の側壁に沿って上方に延びており、処理容器14の上方のガス出口78につながっている。そして、この処理容器14の外周を囲むようにしてこの処理容器14及びこの内部のウエハWを加熱する筒体状の加熱手段80が設けられている。
そして、上記ガス出口78には、真空排気系82が接続されている。この真空排気系82は、上記ガス出口78に連結された排気通路84を有しており、この排気通路84の途中には、その上流側より下流側に向けて弁機構86と真空ポンプ88と排気ガス中の不要物質を除去する除害ユニット89とが順次介設されており、上記処理容器14内を真空引きできるようになっている。上記弁機構86は、全開及び全閉を含んで弁開度を任意に設定することができる弁の機能、すなわち開閉弁と圧力調整弁との2つの機能を併せもっており、いわゆるコンビネーションバルブが用いられる。
図3及び図4は上記コンビネーションバルブ機能を有する弁機構86の概念図の一例を示しており、排気通路84の途中に介設された筒体状の弁筐体90の内部には弁口92を有する弁座94が設けられる。そして、この弁座94の上流側には、複数の通気路96を有する弁駆動部98が設けられている。この弁駆動部98に設けたアクチュエータ100からはロッド102が下流側に向けて延びており、このロッド102の先端には弁体104が設けられており、上記弁座94に着座して弁口92を閉じるようになっている。そして、上記弁体104と弁駆動部98とを連結して伸縮可能になされたベローズ103が設けられており、アクチュエータ100側を保護している。そして、この弁体104には例えばOリング等よりなるシール部材106が設けられており、上記弁口92を完全に密閉できるようになっている。
従って、弁体104を前後方向に適宜移動することにより、前述したように全閉状態と全開状態とを含めて任意の弁開度に設定できるようになっている。また、この弁体104は、反応副生成物の付着を防止するために、所定の温度、例えば150℃程度に加熱されている。尚、この弁機構86の構成は、単に一例を示しただけであり、この構成に限定されない。
そして、上記各ガスの供給、供給停止、ガス流量の制御及び高周波のオン・オフ制御、弁機構86の弁開度(全閉、全開を含む)等は例えばマイクロコンピュータ等よりなる制御手段110により行われる。そして、この制御手段110は、この成膜装置12の全体の動作も制御することになる。またこの制御手段110は、上記した装置全体の動作を制御するためのプログラムを記憶する例えばフロッピディスクやフラッシュメモリ等の記憶媒体112を有している。
<第1実施例>
次に、以上のように構成された成膜装置を用いて行なわれるプラズマによる成膜方法(いわゆるALD成膜)について説明する。上述したように、以下に説明する動作は、上記記憶媒体112に記憶されたプログラムに基づいて行われる。ここでは成膜処理として、ウエハ表面に低温で間欠的にプラズマを用いてシリコン窒化膜(SiN)を形成する場合を例にとって説明する。図5は本発明方法の第1実施例の各ガスの供給シーケンスと弁機構の動作の関係を示すグラフである。すなわち、本発明方法の第1実施例では、DCSガスとNH ガスとを処理容器14内へ交互に供給すると共に、前記DCSガスの供給時の弁機構86の弁開度を、原料ガスの非供給時の弁開度よりも、全閉状態を除いて小さく設定するようにしている。
まず、常温の多数枚、例えば50〜100枚の300mmサイズのウエハWが載置された状態のウエハボート22を予め所定の温度になされた処理容器14内にその下方より上昇させてロードし、蓋部28でマニホールド18の下端開口部を閉じることにより容器内を密閉する。
そして処理容器14内を真空引きして所定のプロセス圧力に維持すると共に、加熱手段80への供給電力を増大させることにより、ウエハ温度を上昇させてプロセス温度を維持し、上記DCSガスとNH ガスとを原料ガス供給手段40及び反応性ガス供給手段38からそれぞれ交互に間欠的に供給し、回転しているウエハボート22に支持されているウエハWの表面にシリコン窒化膜(SiN)を形成する。この際、NH ガスを単独で供給する時に、全供給時間に亘って、或いは全供給時間の一部において高周波電源(RF電源)70をオンしてプラズマを立てるようにする。
具体的には、NH ガスは反応性ガス分散ノズル44の各ガス噴射孔44Aから水平方向へ噴射され、また、DCSガスは原料ガス分散ノズル46の各ガス噴射孔46Aから水平方向へ噴射される。この場合、上記各ガスは、連続的に供給されるのではなく、図5に示すように互いにタイミングを同じにして、或いはタイミングをずらして供給する。そして、タイミングをずらしたガス同士は、間に間欠期間(パージ期間)120を挟んで交互に間欠的に繰り返し供給され、シリコン窒化膜の薄膜を一層ずつ繰り返し積層する。すなわち、図5(A)はDCSガスの供給タイミングを示し、図5(B)はNH ガスの供給タイミングを示す。上記両ガスは、先にDCSガスを供給して原料ガスをウエハ表面に付着させ、その後にNH ガスを供給してウエハ表面に付着している原料ガスを窒化して薄い層状のシリコン窒化膜を形成する、という1サイクルの処理を複数回繰り返し行う。この場合、両ガスの供給動作の間の間欠期間120ではパージガスとしてN ガスを供給し、容器内の残留ガスの排出を促進させる。尚、この成膜処理が行われている間は、真空排気系82によって連続的に真空引きが行われている。
ここで、従来のALD法にあっては、原料ガスであるDCSガスを供給する時には、真空排気系の排気開閉弁8(図12及び図13参照)を完全に閉じて容器内圧力を上げることによってウエハ表面への原料ガスの付着を促進させたが、前述したようにこの場合にはパーティクル等が発生するなどの種々の不都合が生じた。
そこで、本発明方法では、真空排気系82の弁機構86を、全閉状態にしないで、図5(D)に示すように僅かに開いた小さな弁開度に設定する。また原料ガスであるDCSガスを供給しない時、すなわち非供給時には、弁開度100%の全開状態にしている。
上述のように、弁開度を小さな値に設定した時は、図5(E)に示すように容器内圧力は次第に上昇して行くことになる。
このように、原料ガスの供給時に、弁開度を”ゼロ”(全閉状態)にするのでなく、僅かに開けておくことにより、排気側へのガスの流れは完全には遮断されることがない。従って、処理容器2内の圧力変動によって排気系の内壁等に付着していた物質が剥がれ落ちても、これが処理容器14内へ逆流して戻ることはなく、弁開度が小さくなされたことにより流速は低下するが継続的に排出方向に流れる排気ガスに随伴して外部へ排出されることになる。従って、ウエハ表面にパーティクルが付着したりすることを防止することができる。
また、原料ガスであるDCSガスの供給時には、容器内圧力を従来方法の場合よりは低いが、十分に高くすることができるので(図5(E)参照)、原料ガスのウエハ表面への付着量も大きくすることができ、この結果、成膜レートも高く維持することができて高いスループットを維持することができる。
また、成膜処理中においては、弁機構86を全閉状態にすることはないので、この弁機構86のシール部材106に塩化アンモニウム等の反応副生成物が付着することを抑制でき、従って、内部リークが発生することも防止することができる。
この場合、上記原料ガスの供給時の弁開度Δt(図5(D)参照)は5〜20%の範囲内であり、弁開度Δtが5%よりも小さいと、パーティクルやパーティクル生成の核となる物質の排気効果が十分でなくなり、また、20%よりも大きくなると、ウエハ表面に対する原料ガスの付着効率が低下して、スループットが劣化してしまう。
ここで間欠期間(パージ期間)120では、上述のように不活性ガスであるN ガスを処理容器14内へ供給して残留ガスを排除するようにしてもよいし(不活性ガスパージ)、或いは、全てのガスの供給を停止したまま真空引きを継続して行うことにより(バキュームとも称す)、処理容器14内の残留ガスを排除するようにしてもよい。
また具体的なプロセス条件としては、吸着工程であるDCSガスの供給期間T1は1〜120秒程度、反応工程(窒化工程)であるNH ガスの供給期間T2は1〜120秒程度、パージ期間である間欠期間120の長さT3は1〜30秒程度であるが、これらの各時間は単に一例を示したに過ぎず、この数値に限定されない。通常、1サイクルによって形成される膜厚は0.5〜1.1Å/サイクル程度であるので、目標膜厚が例えば700Åであるならば、600サイクル程度繰り返し行うことになる。
またDCSガスの流量は50〜2000sccmの範囲内、例えば1000sccm(1slm)であり、NH ガスの流量は100〜5000sccmの範囲内、例えば3000sccmである。またプロセス温度はCVD成膜処理よりも低い温度であり、具体的には250〜700℃の範囲内、好ましくは350〜600℃の範囲内である。このプロセス温度が250℃よりも低いと、反応が生ぜずにほとんど膜が堆積せず、また700℃よりも高い場合には、膜質の劣るCVDによる堆積膜が形成されてしまうのみならず、前工程ですでに形成されている金属膜等に熱的ダメージを与えてしまう。
またプロセス圧力に関しては、図5(E)中のLOWの値は0〜5Torrの範囲内であり、好ましくは0〜1Torrの範囲内である。またHIGHの値は0.1〜10Torrの範囲内であり、好ましくは0.1〜5Torrの範囲内である。尚、1Torr=133.3Paである。
このように、本発明によれば、原料ガス(DCSガス)と反応性ガス(NH )とを交互に繰り返し供給して薄膜を形成するに際して、原料ガスの供給時には、排気側の弁機構86の弁開度を原料ガスの非供給時よりも小さく設定するが全閉状態とはしないので、常に排気側への流れが形成された状態となる。従って、弁開度の変化による容器内圧力の変動により、例えば排気系の内壁からパーティクルの生成核となる付着物等が剥がれ落ちても、この剥がれ落ちたパーティクルの生成核は常に排気方向へ流されて行き、これが逆流して被処理体である半導体ウエハWの表面等に付着することはなく、パーティクルの発生を大幅に抑制することができる。
また、弁機構86を全閉状態にしないまでも、その弁開度を小さくして容器内圧力を高くするようにしているので、被処理体の表面に対する原料ガスの吸着量が増大し、このためスループットを低下させることなく、このスループットを従来方法の場合と同様に高く維持することができる。
また上述のように原料ガスの供給時に弁機構86を全閉状態とはしないので、例えばこのシール部材に反応副生成物が付着することがなくなり、従って、弁機構86に内部リークが発生することを防止することができる。
次に、本発明の成膜方法を行った場合のパーティクルの発生の評価を行ったので、その評価結果について従来方法と比較しつつ説明する。図6は本発明の成膜方法と従来の成膜方法を行った時の成膜装置内におけるパーティクルの発生を示すグラフである。図中、左側が本発明方法を示し、右側が従来方法の場合を示す。横軸は、ウエハ処理回数の増加方向(ラン数)を示し、左縦軸はパーティクル数を示し、右縦軸はウエハに成膜した積算膜厚を示す。ここでは、成膜処理を行う毎に、その時のウエハ上のパーティクル数をカウントしてグラフ化している。グラフ中の曲線X1、X2は、それぞれ積算膜厚を表し、縦方向の棒グラフがパーティクル数を表す。
このグラフから明らかなように、従来方法の場合には、ラン数が少なくても多くなっても、突発的に、しかも頻度が高く、パーティクルが非常に多量に発生しており、好ましくない。これに対して、本発明方法の場合には、上記従来方法に対して発生するパーティクル数は遥かに少なく、しかも低いパーティクル数で安定しており、突発的に多量のパーティクルが発生することもなく、良好な結果を示すことが確認できた。
上記実施例では、NH ガスを供給する前後に、それぞれパージ期間を設けたが、これに限らず、例えば前後の両パージ期間を省略してもよいし、或いはNH ガスを供給した直後のパージ期間を省略して直ちにDCSガスの供給を開始するようにしてもよく、この場合にはパージ期間を省略した分だけスループットを向上させることができる。
また図5に示すガスの供給態様では、NH ガスを供給する時に処理容器14内の圧力はその前後に亘って連続して一定になるように維持しているいが、これに限定されず、図7に示す第2実施例のように、弁開度を僅かに調整することによって圧力を制御し、NH の活性種密度を向上させて最適化するようにしてもよい。
尚、パーティクル対策とは直接的に関係ないが、堆積膜の膜ストレスやエッチングレート等を制御するために、原料ガス(DCS)を供給する時に弁機構86の弁開度を僅かだけ低下させて略全開状態に近い弁開度に設定する場合もある。
図8はこのような本発明方法の第3実施例の各ガスの伸縮シーケンスと弁機構の動作の関係を示すグラフである。ここでは、図8(D)に示すように、全開状態になされている弁開度はDCSガスの供給時に僅かに小さく設定されるだけであり、従って、この時の容器内圧力(図8(E))は僅かしか上げないように制御する。この時の弁開度Δt1は80〜95%程度の範囲内である。このように圧力制御する理由は、前述したように堆積する薄膜の膜ストレスやエッチングレート等を良好になるように制御するためである。
例えば図9は堆積膜のウエットエッチングレートのDCS圧力依存性を示すグラフである。ここでは上述のようにして形成した堆積膜についてウエットエッチングレートの評価を行っている。この時の成膜条件は、RFパワーは250ワット(NH )とし、成膜温度を400℃に設定している。そしてDCSガス供給時の圧力を1.2〜5.2Torrの範囲で変化させている。ウエットエッチング時には、0.1%DHF(希釈HF)に60秒間浸漬している。
この結果、DCSガス供給時の圧力を上記範囲内で変化させることにより、ウエットエッチングレートを34〜38Å/minの範囲に亙って制御できることが確認できた。ここで以上説明した各実施例における圧力は、各ガス供給ステップにおける平均圧力値を示す。
以上各実施例では、真空排気系82として一系統の排気通路84を設けた場合を例にとって説明したが、この場合、この真空排気系82内に反応副生成物として例えば塩化アンモニウムが生じて排気系内を閉塞する等の恐れがある。
そこで、真空排気系として複数系統の排気通路を設けるようにして、原料ガスの排気系と反応性ガスの排気系と分けるようにしてもよい。図10は2系統の排気通路を設けた真空排気系の一例を示す図、図11は図10に示す真空排気系における弁機構の動作を示す図である。
図10に示すように、ここでは真空排気系82として、原料ガス用排気通路84Aと反応性ガス用排気通路84Bとを並列になるように設けている。そして、各排気通路84A、84Bに、先の弁機構86と同じ構造の弁機構86A、86B、真空ポンプ88A、88B及び除害ユニット89A、89Bをそれぞれ順次介設している。この場合、原料ガス用排気通路84Aからは主として原料ガス及びこれと同時に供給されるガスを排出し、反応性ガス用排気通路84Bからは主として反応性ガス及びこれと同時に供給されるガスを排出する。そして、ここでは上記両排気通路84A、84Bに介設された2つの弁機構86A、86Bの全体の弁開度が、等価的に1つの弁開度として制御される。すなわち、両弁機構86A、86Bの合計した弁開度が、図5(D)に示した弁開度と一致することになる。尚、この場合にも、上記両弁機構86A、86Bは必要に応じて全閉状態と全開状態の間の中間の開状態を設定できるのは勿論である。
上記弁機構86A、86Bの具体的な動作は、図11に示されており、ここでは図5に示す第1実施例の場合の弁機構86の動作と等価になる弁動作について示している。従って、図11に示していないガスの供給態様等の他の態様は図5に示した内容と同じである。すなわち、原料ガス用の弁機構86Aは、弁開度がΔtの状態と全閉状態とを繰り返し、反応性ガス用の弁機構86Bは全開状態と全閉状態とを繰り返すように制御される。
具体的には、原料ガスを供給する時には、弁機構86Aの弁開度はΔtの状態となって原料ガスを流し、他方の弁機構86Bは全閉状態となる。逆に、反応性ガスを供給する時には、弁機構86Aは全閉状態となり、弁機構86Bは全開状態となって反応性ガスを流す。これにより、原料ガスと反応性ガスとが互いに異なる排気通路84A、84Bを専用に通ることになるので、上記両ガスが排気系内で混合することがないので反応副生成物が発生せず、この結果、上記真空排気系82内が閉塞等されることを防止することができる。
尚、上記各実施例では、原料ガスとしてDCSガスを用い、反応性ガスとしてアンモニアガスを用いたが、これに限定されず、すなわち、原料ガスは、ジクロロシラン(DCS)、ヘキサクロロジシラン(HCD)、モノシラン[SiH ]、ジシラン[Si ]、ヘキサメチルジシラザン(HMDS)、テトラクロロシラン(TCS)、ジシリルアミン(DSA)、トリシリルアミン(TSA)、ビスターシャルブチルアミノシラン(BTBAS)よりなる群より選択されるシラン系ガスを用いることができる。また、反応性ガスは、アンモニア[NH ]、窒素[N ]、一酸化二窒素[N O]、一酸化窒素[NO]よりなる群より選択される窒化ガス、またはO 、O よりなる群より選択される酸化性ガスを用いることができる。
またここでドーパントとしてボロン(B)を導入する場合にはドーパントガスとしてBCl 等を用いることができる。またドーパントとしてシリコン(Si)を導入する場合にはドーパントガスとしてC 等の炭化水素ガスを用いることができる。上記ドーパントガスは、例えば原料ガスと同期させて処理容器14内へ導入すればよい。これにより、ボロン又はシリコン或いはこれらの双方がドーパントして導入された薄膜を形成することができる。
ここでNH ガスに代えて酸素等の酸化性ガスを用いれば、シリコン酸化膜を形成することができる。
また、堆積する膜種は上記したように限定されず、原料ガスの供給時に、ウエハへの原料ガスの付着を促進するために容器内圧力を高くするよう制御する成膜方法については本発明を適用することができる。
また、ここでは反応性ガスを活性化手段60によりプラズマでもって活性化したが、原料ガス自体の反応性が高い場合には、活性化手段60を設けなくてもよい。
更には、ここでは縦型の処理容器を用いた、バッチ式の成膜装置について説明したが、これに限定されず、ウエハを1枚ずつ処理する、いわゆる枚葉式の成膜装置にも本発明を適用することができる。
また被処理体としては、半導体ウエハに限定されず、ガラス基板、LCD基板、セラミック基板等にも本発明を適用することができる。
本発明の係る成膜装置の一例を示す縦断面構成図である。 成膜装置を示す横断面構成図である。 弁機構を示す縦断面図である。 弁機構の横断面図である。 本発明方法の第1実施例の各ガスの供給シーケンスと弁機構の動作の関係を示すグラフである。 本発明の成膜方法と従来の成膜方法を行った時の成膜装置内におけるパーティクルの発生を示すグラフである。 本発明方法の第2実施例の各ガスの供給シーケンスと弁機構の動作の関係を示すグラフである。 本発明方法の第3実施例の各ガスの伸縮シーケンスと弁機構の動作の関係を示すグラフである。 堆積膜のウエットエッチングレートのDCS圧力依存性を示すグラフである。 2系統の排気通路を設けた真空排気系の一例を示す図である。 図10に示す真空排気系における弁機構の動作を示す図である。 従来の一般的な縦型の成膜装置を示す概略構成図である。 各ガスの供給シーケンスと排気弁の動作との関係を示すグラフである。
符号の説明
12 成膜装置
14 処理容器
22 ウエハボート(保持手段)
38 反応性ガス供給手段
40 原料ガス供給手段
42 パージガス供給手段
60 活性化手段
70 高周波電源
82 真空排気系
86 弁機構
110 制御手段
112 記憶媒体
W 半導体ウエハ(被処理体)

Claims (16)

  1. 筒体状の処理容器内に被処理体を保持し、全開及び全閉を含んで弁開度を任意に設定することができる弁機構が途中に介設された真空排気系により前記処理容器内の雰囲気を排気しつつ前記処理容器内に原料ガスと反応性ガスとを供給するようにした成膜装置を用いて薄膜を形成する成膜方法において、
    前記原料ガスと前記反応性ガスとを前記処理容器内へ交互に供給すると共に、前記原料ガスの供給時の前記弁機構の弁開度を、該原料ガスの非供給時の弁開度よりも、全閉状態を除いて小さく設定すると共にその弁開度は、全開状態の5〜20%の範囲内となるようにしたことを特徴とする成膜方法。
  2. 筒体状の処理容器内に被処理体を保持し、全開及び全閉を含んで弁開度を任意に設定することができる弁機構が途中に介設された真空排気系により前記処理容器内の雰囲気を排気しつつ前記処理容器内に原料ガスと反応性ガスとを供給するようにした成膜装置を用いて薄膜を形成する成膜方法において、
    前記原料ガスと前記反応性ガスとを前記処理容器内へ交互に供給すると共に、前記原料ガスの供給時の前記弁機構の弁開度を、該原料ガスの非供給時の弁開度よりも、全閉状態を除いて小さく設定すると共にその弁開度は、全開状態の80〜95%の範囲内となるようにしたことを特徴とする成膜方法。
  3. 前記原料ガスの供給時と前記反応性ガスの供給時との間には間欠期間が設けられており、前記間欠期間には前記処理容器内は少なくとも不活性ガスパージされていること、或いは全てのガスの供給が停止されて真空引きされていることを特徴とする請求項1又は2記載の成膜方法。
  4. 前記反応性ガスはプラズマにより活性化されることを特徴とする請求項1乃至3のいずれか一項に記載の成膜方法。
  5. 前記処理容器は前記被処理体を複数枚処理できる大きさで縦型に成形されており、前記保持手段は前記被処理体を複数段に保持して前記処理容器内へ挿脱自在になされていることを特徴とする請求項1乃至4のいずれか一項に記載の成膜方法。
  6. 前記真空排気系は、前記弁機構が途中に介設されて前記原料ガスを排気する原料ガス用排気通路と、前記弁機構が途中に介設されて前記反応性ガスを排気する反応性ガス用排気通路とを有し、前記両排気通路に介設された2つの弁機構の全体の弁開度が、等価的に1つの弁開度として制御されることを特徴とする請求項1乃至5のいずれか一項に記載の成膜方法。
  7. 前記原料ガスは、ジクロロシラン(DCS)、ヘキサクロロジシラン(HCD)、モノシラン[SiH ]、ジシラン[Si ]、ヘキサメチルジシラザン(HMDS)、テトラクロロシラン(TCS)、ジシリルアミン(DSA)、トリシリルアミン(TSA)、ビスターシャルブチルアミノシラン(BTBAS)よりなる群より選択されるシラン系ガスであり、前記反応性ガスは、アンモニア[NH ]、窒素[N ]、一酸化二窒素[N O]、一酸化窒素[NO]よりなる群より選択される窒化ガス、またはO 、O よりなる群より選択される酸化性ガスであることを特徴とする請求項1乃至6のいずれか一項に記載の成膜方法。
  8. 前記処理容器内へドーパントガスが供給されて、前記薄膜中にはドーパントが含まれていることを特徴とする請求項1乃至7のいずれか一項に記載の成膜方法。
  9. 前記ドーパントは、ボロン及び/又は炭素よりなることを特徴とする請求項8記載の成膜方法。
  10. 被処理体に対して所定の薄膜を形成する成膜装置において、
    筒体状の処理容器と、
    前記処理容器内で前記被処理体を保持する保持手段と、
    前記被処理体を加熱する加熱手段と、
    前記処理容器内へ成膜用の原料ガスを供給する原料ガス供給手段と、
    前記処理容器内へ前記原料ガスと反応する反応性ガスを供給する反応性ガス供給手段と、
    全開及び全閉を含んで弁開度を任意に設定することができる弁機構が途中に介設されて前記処理容器内の雰囲気を排気する真空排気系と、
    前記原料ガスと前記反応性ガスとを前記処理容器内へ交互に供給すると共に、前記原料ガスの供給時の前記弁機構の弁開度を、該原料ガスの非供給時の弁開度よりも、全閉状態を除いて小さく設定すると共にその弁開度は、全開状態の5〜20%の範囲内となるように制御する制御手段と、
    を備えたことを特徴とする成膜装置。
  11. 被処理体に対して所定の薄膜を形成する成膜装置において、
    筒体状の処理容器と、
    前記処理容器内で前記被処理体を保持する保持手段と、
    前記被処理体を加熱する加熱手段と、
    前記処理容器内へ成膜用の原料ガスを供給する原料ガス供給手段と、
    前記処理容器内へ前記原料ガスと反応する反応性ガスを供給する反応性ガス供給手段と、
    全開及び全閉を含んで弁開度を任意に設定することができる弁機構が途中に介設されて前記処理容器内の雰囲気を排気する真空排気系と、
    前記原料ガスと前記反応性ガスとを前記処理容器内へ交互に供給すると共に、前記原料ガスの供給時の前記弁機構の弁開度を、該原料ガスの非供給時の弁開度よりも、全閉状態を除いて小さく設定すると共にその弁開度は、全開状態の80〜95%の範囲内となるように制御する制御手段と、
    を備えたことを特徴とする成膜装置。
  12. 前記反応性ガスを活性化する活性化手段を有することを特徴とする請求項10又は11記載の成膜装置。
  13. 前記処理容器は前記被処理体を複数枚処理できる大きさで縦型に成形されており、前記保持手段は前記被処理体を複数段に保持して前記処理容器内へ挿脱自在になされていることを特徴とする請求項10乃至12のいずれか一項に記載の成膜装置。
  14. 前記真空排気系は、前記弁機構が途中に介設されて前記原料ガスを排気する原料ガス用排気通路と、前記弁機構が途中に介設されて前記反応性ガスを排気する反応性ガス用排気通路とを有することを特徴とする請求項10乃至13のいずれか一項に記載の成膜装置。
  15. 被処理体に対して所定の薄膜を形成する成膜装置において、
    筒体状の処理容器と、
    前記処理容器内で前記被処理体を保持する保持手段と、
    前記被処理体を加熱する加熱手段と、
    前記処理容器内へ成膜用の原料ガスを供給する原料ガス供給手段と、
    前記処理容器内へ前記原料ガスと反応する反応性ガスを供給する反応性ガス供給手段と、
    全開及び全閉を含んで弁開度を任意に設定することができる弁機構が途中に介設されて前記処理容器内の雰囲気を排気する真空排気系とを有する成膜装置を用いて薄膜を形成するに際して、
    前記原料ガスと前記反応性ガスとを前記処理容器内へ交互に供給すると共に、前記原料ガスの供給時の前記弁機構の弁開度を、該原料ガスの非供給時の弁開度よりも、全閉状態を除いて小さく設定すると共にその弁開度は、全開状態の5〜20%の範囲内となるように前記成膜装置を制御するプログラムを記憶する記憶媒体。
  16. 被処理体に対して所定の薄膜を形成する成膜装置において、
    筒体状の処理容器と、
    前記処理容器内で前記被処理体を保持する保持手段と、
    前記被処理体を加熱する加熱手段と、
    前記処理容器内へ成膜用の原料ガスを供給する原料ガス供給手段と、
    前記処理容器内へ前記原料ガスと反応する反応性ガスを供給する反応性ガス供給手段と、
    全開及び全閉を含んで弁開度を任意に設定することができる弁機構が途中に介設されて前記処理容器内の雰囲気を排気する真空排気系とを有する成膜装置を用いて薄膜を形成するに際して、
    前記原料ガスと前記反応性ガスとを前記処理容器内へ交互に供給すると共に、前記原料ガスの供給時の前記弁機構の弁開度を、該原料ガスの非供給時の弁開度よりも、全閉状態を除いて小さく設定すると共にその弁開度は、全開状態の80〜95%の範囲内となるように前記成膜装置を制御するプログラムを記憶する記憶媒体。
JP2005224741A 2005-08-02 2005-08-02 成膜方法、成膜装置及び記憶媒体 Active JP4305427B2 (ja)

Priority Applications (4)

Application Number Priority Date Filing Date Title
JP2005224741A JP4305427B2 (ja) 2005-08-02 2005-08-02 成膜方法、成膜装置及び記憶媒体
US11/496,436 US7758920B2 (en) 2005-08-02 2006-08-01 Method and apparatus for forming silicon-containing insulating film
TW095128308A TWI383448B (zh) 2005-08-02 2006-08-02 形成含矽絕緣膜之方法及裝置
CN2006101083702A CN1908228B (zh) 2005-08-02 2006-08-02 形成含硅的绝缘膜的方法和装置

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
JP2005224741A JP4305427B2 (ja) 2005-08-02 2005-08-02 成膜方法、成膜装置及び記憶媒体

Publications (2)

Publication Number Publication Date
JP2007042823A JP2007042823A (ja) 2007-02-15
JP4305427B2 true JP4305427B2 (ja) 2009-07-29

Family

ID=37699454

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2005224741A Active JP4305427B2 (ja) 2005-08-02 2005-08-02 成膜方法、成膜装置及び記憶媒体

Country Status (4)

Country Link
US (1) US7758920B2 (ja)
JP (1) JP4305427B2 (ja)
CN (1) CN1908228B (ja)
TW (1) TWI383448B (ja)

Families Citing this family (72)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP5082595B2 (ja) * 2007-05-31 2012-11-28 東京エレクトロン株式会社 成膜装置
KR101011490B1 (ko) * 2007-06-08 2011-01-31 도쿄엘렉트론가부시키가이샤 패터닝 방법
WO2008149988A1 (ja) * 2007-06-08 2008-12-11 Tokyo Electron Limited パターニング方法
JP5090097B2 (ja) * 2007-07-26 2012-12-05 株式会社日立国際電気 基板処理装置、半導体装置の製造方法及び基板処理方法
US20090056877A1 (en) 2007-08-31 2009-03-05 Tokyo Electron Limited Plasma processing apparatus
JP4918453B2 (ja) * 2007-10-11 2012-04-18 東京エレクトロン株式会社 ガス供給装置及び薄膜形成装置
KR101043211B1 (ko) * 2008-02-12 2011-06-22 신웅철 배치형 원자층 증착 장치
JP5155070B2 (ja) * 2008-09-02 2013-02-27 株式会社日立国際電気 半導体装置の製造方法、基板処理方法及び基板処理装置
JP5099101B2 (ja) 2009-01-23 2012-12-12 東京エレクトロン株式会社 プラズマ処理装置
JP5136574B2 (ja) 2009-05-01 2013-02-06 東京エレクトロン株式会社 プラズマ処理装置及びプラズマ処理方法
JP5223804B2 (ja) * 2009-07-22 2013-06-26 東京エレクトロン株式会社 成膜方法及び成膜装置
JP5655429B2 (ja) * 2009-08-28 2015-01-21 三菱マテリアル株式会社 多結晶シリコンの製造方法、製造装置及び多結晶シリコン
US9076646B2 (en) 2010-04-15 2015-07-07 Lam Research Corporation Plasma enhanced atomic layer deposition with pulsed plasma exposure
US8637411B2 (en) 2010-04-15 2014-01-28 Novellus Systems, Inc. Plasma activated conformal dielectric film deposition
US9611544B2 (en) 2010-04-15 2017-04-04 Novellus Systems, Inc. Plasma activated conformal dielectric film deposition
US9373500B2 (en) 2014-02-21 2016-06-21 Lam Research Corporation Plasma assisted atomic layer deposition titanium oxide for conformal encapsulation and gapfill applications
US9390909B2 (en) 2013-11-07 2016-07-12 Novellus Systems, Inc. Soft landing nanolaminates for advanced patterning
US8728956B2 (en) 2010-04-15 2014-05-20 Novellus Systems, Inc. Plasma activated conformal film deposition
US9257274B2 (en) 2010-04-15 2016-02-09 Lam Research Corporation Gapfill of variable aspect ratio features with a composite PEALD and PECVD method
US9892917B2 (en) 2010-04-15 2018-02-13 Lam Research Corporation Plasma assisted atomic layer deposition of multi-layer films for patterning applications
US9997357B2 (en) 2010-04-15 2018-06-12 Lam Research Corporation Capped ALD films for doping fin-shaped channel regions of 3-D IC transistors
US8956983B2 (en) 2010-04-15 2015-02-17 Novellus Systems, Inc. Conformal doping via plasma activated atomic layer deposition and conformal film deposition
EP2576859B1 (en) * 2010-06-04 2014-12-24 Oerlikon Advanced Technologies AG Vacuum processing device
JP5545061B2 (ja) 2010-06-18 2014-07-09 東京エレクトロン株式会社 処理装置及び成膜方法
US9685320B2 (en) 2010-09-23 2017-06-20 Lam Research Corporation Methods for depositing silicon oxide
JP5847566B2 (ja) 2011-01-14 2016-01-27 株式会社日立国際電気 半導体装置の製造方法、基板処理方法、基板処理装置およびプログラム
US20120244685A1 (en) * 2011-03-24 2012-09-27 Nuflare Technology, Inc. Manufacturing Apparatus and Method for Semiconductor Device
US8647993B2 (en) 2011-04-11 2014-02-11 Novellus Systems, Inc. Methods for UV-assisted conformal film deposition
JP2013077805A (ja) * 2011-09-16 2013-04-25 Hitachi Kokusai Electric Inc 半導体装置の製造方法、基板処理方法、基板処理装置およびプログラム
CN102394222B (zh) * 2011-11-24 2016-05-04 上海华虹宏力半导体制造有限公司 防止晶圆表面形成固体颗粒的方法
US8592328B2 (en) 2012-01-20 2013-11-26 Novellus Systems, Inc. Method for depositing a chlorine-free conformal sin film
CN103243310B (zh) * 2012-02-14 2017-04-12 诺发系统公司 在衬底表面上的等离子体激活的保形膜沉积的方法
US9355839B2 (en) 2012-10-23 2016-05-31 Lam Research Corporation Sub-saturated atomic layer deposition and conformal film deposition
JP6538300B2 (ja) 2012-11-08 2019-07-03 ノベラス・システムズ・インコーポレーテッドNovellus Systems Incorporated 感受性基材上にフィルムを蒸着するための方法
SG2013083241A (en) 2012-11-08 2014-06-27 Novellus Systems Inc Conformal film deposition for gapfill
JP5956972B2 (ja) * 2012-12-21 2016-07-27 東京エレクトロン株式会社 成膜方法
KR102020446B1 (ko) * 2013-01-10 2019-09-10 삼성전자주식회사 에피텍시얼막 형성 방법 및 이를 수행하기 위한 장치 및 시스템
JP5807084B2 (ja) * 2013-09-30 2015-11-10 株式会社日立国際電気 半導体装置の製造方法、基板処理装置およびプログラム
JP5852151B2 (ja) * 2014-02-12 2016-02-03 株式会社日立国際電気 半導体装置の製造方法、基板処理装置、プログラム及び記録媒体
US9214334B2 (en) 2014-02-18 2015-12-15 Lam Research Corporation High growth rate process for conformal aluminum nitride
JP6291297B2 (ja) * 2014-03-17 2018-03-14 東京エレクトロン株式会社 成膜方法、成膜装置及び記憶媒体
US9478411B2 (en) 2014-08-20 2016-10-25 Lam Research Corporation Method to tune TiOx stoichiometry using atomic layer deposited Ti film to minimize contact resistance for TiOx/Ti based MIS contact scheme for CMOS
US9478438B2 (en) 2014-08-20 2016-10-25 Lam Research Corporation Method and apparatus to deposit pure titanium thin film at low temperature using titanium tetraiodide precursor
US9214333B1 (en) 2014-09-24 2015-12-15 Lam Research Corporation Methods and apparatuses for uniform reduction of the in-feature wet etch rate of a silicon nitride film formed by ALD
JP6871161B2 (ja) * 2014-10-24 2021-05-12 バーサム マテリアルズ ユーエス,リミティド ライアビリティ カンパニー ケイ素含有膜の堆積のための組成物及びそれを使用した方法
US9589790B2 (en) 2014-11-24 2017-03-07 Lam Research Corporation Method of depositing ammonia free and chlorine free conformal silicon nitride film
US9564312B2 (en) 2014-11-24 2017-02-07 Lam Research Corporation Selective inhibition in atomic layer deposition of silicon-containing films
JP6301866B2 (ja) 2015-03-17 2018-03-28 東芝メモリ株式会社 半導体製造方法
US10566187B2 (en) 2015-03-20 2020-02-18 Lam Research Corporation Ultrathin atomic layer deposition film accuracy thickness control
US9502238B2 (en) 2015-04-03 2016-11-22 Lam Research Corporation Deposition of conformal films by atomic layer deposition and atomic layer etch
JP6529348B2 (ja) * 2015-06-05 2019-06-12 株式会社Kokusai Electric 半導体装置の製造方法、基板処理装置およびプログラム
US10526701B2 (en) 2015-07-09 2020-01-07 Lam Research Corporation Multi-cycle ALD process for film uniformity and thickness profile modulation
CN105185693A (zh) * 2015-08-20 2015-12-23 上海华力微电子有限公司 半导体衬底上二氧化硅介质层的形成方法
US9601693B1 (en) 2015-09-24 2017-03-21 Lam Research Corporation Method for encapsulating a chalcogenide material
US10121655B2 (en) 2015-11-20 2018-11-06 Applied Materials, Inc. Lateral plasma/radical source
US10662529B2 (en) * 2016-01-05 2020-05-26 Applied Materials, Inc. Cooled gas feed block with baffle and nozzle for HDP-CVD
US9773643B1 (en) 2016-06-30 2017-09-26 Lam Research Corporation Apparatus and method for deposition and etch in gap fill
US10062563B2 (en) 2016-07-01 2018-08-28 Lam Research Corporation Selective atomic layer deposition with post-dose treatment
US10629435B2 (en) 2016-07-29 2020-04-21 Lam Research Corporation Doped ALD films for semiconductor patterning applications
US10037884B2 (en) 2016-08-31 2018-07-31 Lam Research Corporation Selective atomic layer deposition for gapfill using sacrificial underlayer
US10074543B2 (en) 2016-08-31 2018-09-11 Lam Research Corporation High dry etch rate materials for semiconductor patterning applications
US9865455B1 (en) 2016-09-07 2018-01-09 Lam Research Corporation Nitride film formed by plasma-enhanced and thermal atomic layer deposition process
US10832908B2 (en) 2016-11-11 2020-11-10 Lam Research Corporation Self-aligned multi-patterning process flow with ALD gapfill spacer mask
US10454029B2 (en) 2016-11-11 2019-10-22 Lam Research Corporation Method for reducing the wet etch rate of a sin film without damaging the underlying substrate
US10134579B2 (en) 2016-11-14 2018-11-20 Lam Research Corporation Method for high modulus ALD SiO2 spacer
JP6853116B2 (ja) * 2017-05-31 2021-03-31 株式会社Kokusai Electric 半導体装置の製造方法、基板処理装置およびプログラム
US10269559B2 (en) 2017-09-13 2019-04-23 Lam Research Corporation Dielectric gapfill of high aspect ratio features utilizing a sacrificial etch cap layer
KR20200118504A (ko) 2018-03-02 2020-10-15 램 리써치 코포레이션 가수분해를 사용한 선택적인 증착
JP2020178020A (ja) * 2019-04-17 2020-10-29 国立大学法人山形大学 薄膜堆積方法及び装置
JP7330091B2 (ja) 2019-12-24 2023-08-21 東京エレクトロン株式会社 成膜方法
WO2021181498A1 (ja) * 2020-03-10 2021-09-16 株式会社Kokusai Electric 基板処理装置、排気流量制御装置及び半導体装置の製造方法
JP2021061428A (ja) * 2020-12-25 2021-04-15 株式会社Kokusai Electric 半導体装置の製造方法、基板処理装置およびプログラム

Family Cites Families (13)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6139640A (en) * 1998-08-12 2000-10-31 Advanced Micro Devices, Inc. Chemical vapor deposition system and method employing a mass flow controller
JP3409006B2 (ja) * 2000-01-11 2003-05-19 富士通株式会社 成膜方法及び半導体装置の製造方法
US6585823B1 (en) 2000-07-07 2003-07-01 Asm International, N.V. Atomic layer deposition
US20040020599A1 (en) * 2000-12-27 2004-02-05 Sumi Tanaka Treating device
KR100449028B1 (ko) * 2002-03-05 2004-09-16 삼성전자주식회사 원자층 증착법을 이용한 박막 형성방법
JP3947126B2 (ja) * 2002-04-11 2007-07-18 株式会社日立国際電気 半導体製造装置
US6777308B2 (en) * 2002-05-17 2004-08-17 Micron Technology, Inc. Method of improving HDP fill process
JP2004040056A (ja) * 2002-07-08 2004-02-05 Shinko Electric Ind Co Ltd 配線パターンの構造及びバンプの形成方法
JP2004047624A (ja) * 2002-07-10 2004-02-12 Renesas Technology Corp 半導体装置およびその製造方法
JP4382750B2 (ja) * 2003-01-24 2009-12-16 東京エレクトロン株式会社 被処理基板上にシリコン窒化膜を形成するcvd方法
JP2004281853A (ja) 2003-03-18 2004-10-07 Hitachi Kokusai Electric Inc 基板処理装置
JP4242733B2 (ja) * 2003-08-15 2009-03-25 株式会社日立国際電気 半導体装置の製造方法
US20050120958A1 (en) * 2003-12-07 2005-06-09 Frank Lin Reactor

Also Published As

Publication number Publication date
JP2007042823A (ja) 2007-02-15
US7758920B2 (en) 2010-07-20
CN1908228A (zh) 2007-02-07
TWI383448B (zh) 2013-01-21
CN1908228B (zh) 2012-07-04
US20070032047A1 (en) 2007-02-08
TW200721307A (en) 2007-06-01

Similar Documents

Publication Publication Date Title
JP4305427B2 (ja) 成膜方法、成膜装置及び記憶媒体
JP4506677B2 (ja) 成膜方法、成膜装置及び記憶媒体
JP4935684B2 (ja) 成膜方法及び成膜装置
JP5233562B2 (ja) 成膜方法及び成膜装置
JP4258518B2 (ja) 成膜方法、成膜装置及び記憶媒体
JP4179311B2 (ja) 成膜方法、成膜装置及び記憶媒体
JP4434149B2 (ja) 成膜方法、成膜装置及び記憶媒体
JP5190307B2 (ja) 成膜方法、成膜装置及び記憶媒体
JP4929932B2 (ja) 成膜方法、成膜装置及び記憶媒体
JP4396547B2 (ja) 成膜方法、成膜装置及び記憶媒体
JP4929811B2 (ja) プラズマ処理装置
JP4924437B2 (ja) 成膜方法及び成膜装置
JP4935687B2 (ja) 成膜方法及び成膜装置
JP4893729B2 (ja) 成膜方法、成膜装置及び記憶媒体
JP5151260B2 (ja) 成膜方法及び成膜装置
JP4245012B2 (ja) 処理装置及びこのクリーニング方法
US11859280B2 (en) Substrate processing apparatus and method of manufacturing semiconductor device
JP5887962B2 (ja) 成膜装置
JP2011029284A (ja) 成膜方法及び成膜装置
US9502233B2 (en) Method for manufacturing semiconductor device, method for processing substrate, substrate processing device and recording medium
JP2004006801A (ja) 縦型半導体製造装置
JP2006066884A (ja) 成膜方法、成膜装置及び記憶媒体
JP4983063B2 (ja) プラズマ処理装置
JP5082595B2 (ja) 成膜装置
US11618947B2 (en) Method of cleaning, method of manufacturing semiconductor device, substrate processing apparatus, and recording medium

Legal Events

Date Code Title Description
A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20061109

A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20080930

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20081007

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20081204

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20090407

A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20090420

R150 Certificate of patent or registration of utility model

Ref document number: 4305427

Country of ref document: JP

Free format text: JAPANESE INTERMEDIATE CODE: R150

Free format text: JAPANESE INTERMEDIATE CODE: R150

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20120515

Year of fee payment: 3

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20150515

Year of fee payment: 6

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250