JP4506677B2 - 成膜方法、成膜装置及び記憶媒体 - Google Patents

成膜方法、成膜装置及び記憶媒体 Download PDF

Info

Publication number
JP4506677B2
JP4506677B2 JP2006004192A JP2006004192A JP4506677B2 JP 4506677 B2 JP4506677 B2 JP 4506677B2 JP 2006004192 A JP2006004192 A JP 2006004192A JP 2006004192 A JP2006004192 A JP 2006004192A JP 4506677 B2 JP4506677 B2 JP 4506677B2
Authority
JP
Japan
Prior art keywords
gas
processing container
film forming
mixed
reactive
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Active
Application number
JP2006004192A
Other languages
English (en)
Other versions
JP2006287195A5 (ja
JP2006287195A (ja
Inventor
一秀 長谷部
充弘 岡田
採虎 金
丙勲 李
保華 周
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Tokyo Electron Ltd
Original Assignee
Tokyo Electron Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Tokyo Electron Ltd filed Critical Tokyo Electron Ltd
Priority to JP2006004192A priority Critical patent/JP4506677B2/ja
Priority to US11/367,339 priority patent/US20060207504A1/en
Priority to TW095107830A priority patent/TWI352380B/zh
Priority to CN2006100581791A priority patent/CN1831192B/zh
Priority to KR1020060022476A priority patent/KR100967238B1/ko
Publication of JP2006287195A publication Critical patent/JP2006287195A/ja
Publication of JP2006287195A5 publication Critical patent/JP2006287195A5/ja
Priority to US12/167,270 priority patent/US8343594B2/en
Application granted granted Critical
Publication of JP4506677B2 publication Critical patent/JP4506677B2/ja
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45512Premixing before introduction in the reaction chamber
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/34Nitrides
    • C23C16/345Silicon nitride
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45527Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations
    • C23C16/45531Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations specially adapted for making ternary or higher compositions
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45527Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations
    • C23C16/45536Use of plasma, radiation or electromagnetic fields
    • C23C16/45542Plasma being used non-continuously during the ALD reactions
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45553Atomic layer deposition [ALD] characterized by the use of precursors specially adapted for ALD
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45563Gas nozzles
    • C23C16/45578Elongated nozzles, tubes with holes
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/50Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges
    • C23C16/505Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges using radio frequency discharges
    • C23C16/509Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges using radio frequency discharges using internal electrodes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/0217Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon nitride not containing oxygen, e.g. SixNy or SixByNz
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02205Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
    • H01L21/02208Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si
    • H01L21/02211Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound being a silane, e.g. disilane, methylsilane or chlorosilane
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02205Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
    • H01L21/02208Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si
    • H01L21/02219Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound comprising silicon and nitrogen
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/02274Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition in the presence of a plasma [PECVD]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/0228Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition deposition by cyclic CVD, e.g. ALD, ALE, pulsed CVD

Description

本発明は、半導体ウエハ等の被処理体に薄膜を形成する成膜方法、成膜装置及びこれをコンピュータ制御するプログラムを記憶するための記憶媒体に関する。
一般に、半導体集積回路を製造するためにはシリコン基板等よりなる半導体ウエハに対して、成膜処理、エッチング処理、酸化処理、拡散処理、改質処理、自然酸化膜の除去処理等の各種の処理が行なわれる。これらの処理を特許文献1等に開示されている縦型の、いわゆるバッチ式の熱処理装置にて行う場合には、まず、半導体ウエハを複数枚、例えば25枚程度収容できるカセットから、半導体ウエハを縦型のウエハボートへ移載してこれに多段に支持させる。このウエハボートは、例えばウエハサイズにもよるが30〜150枚程度のウエハを載置できる。このウエハボートは、排気可能な処理容器内にその下方より搬入(ロード)された後、処理容器内が気密に維持される。そして、処理ガスの流量、プロセス圧力、プロセス温度等の各種のプロセス条件を制御しつつ所定の熱処理が施される。
ここで半導体製造工程における絶縁膜等について注目すると、一般的には、この絶縁膜に関してはSiO 膜が主として用いられていた。しかし、最近にあっては、半導体集積回路の更なる高集積化、高微細化の要請が強くなっている。このような状況下において、耐酸化膜、不純物の拡散防止膜、ゲート素子のサイドウォール膜等の絶縁膜としてシリコン窒化膜(Si 膜)が用いられている(特許文献1)。また同様な目的で、ボロン窒化膜(BN膜)も注目されている。このシリコン窒化膜等は、不純物の拡散係数が低く、且つ酸化バリヤ性が高いことから、上述したような絶縁膜として非常に適しており、その製造方法は、例えば筒体状の縦型の反応炉内にDCS(ジクロロシラン)ガスとNH ガスとを交互に繰り返し流して分子レベルの薄い膜を繰り返し堆積させることによって所望の膜厚のシリコン窒化膜を得るようになっている。
ところで、前述したような高集積化や高微細化の要請に加えて、今日においては動作速度の高速化も更に要請されている。この場合、上記したシリコン窒化膜等は、誘電率が比較的高いことから、寄生容量が多く発生して電子の移動度を抑制したり、或いは電荷蓄積型のセンサに用いた場合には寄生容量によるバックグランドレベルの増加などの不都合が生じてきた。
そこで、不純物としてボロン(B)を添加して形成したシリコン窒化膜が、上記不純物の拡散係数や酸化バリヤ性を先のシリコン窒化膜と同等に維持しつつ、誘電率を非常に小さくして寄生容量を大幅に抑制することが可能な絶縁膜として提案されている(特許文献2)。
特開平6−275608号公報 特開2004−6801号公報
ところで、上述のように複数種類の原料ガスを用いて成膜を行う場合、膜質の特性を高く維持するには、各元素の組成比が所望する組成比となるようにコントロールすることが重要である。そして、原料ガスの一部となる添加ガス等の供給量は、堆積膜の主成分となる元素を含む原料ガスの供給量に対して非常に少ないのが一般的である。このように供給量の少ない添加ガス等を上述したような縦長の反応炉へ供給した場合、各ガス種のウエハ面に対する吸着力の違いや、各ガス種の供給流量の違いに起因して特にウエハの配列方向、すなわち面間方向において堆積膜の元素組成比に大きな変動が生じてしまって組成比の均一性が劣化する、といった問題があった。
そこで、上記添加ガスのように供給量が非常に少ないガスを反応炉内に導入する場合、添加ガスの供給量をウエハの配列方向に沿って均一化させるために、縦長の反応炉に沿ってガスノズルを設け、このノズルに所定の間隔で設けた多数のガス噴射孔より上記添加ガスを噴射するようにしたり、或いは供給時間を短くして単時間当たりの供給量を多くする試みもなされている。しかし、この場合には、添加ガスの流れ方向の上流側に位置するガス噴射孔からの噴射量が、ガス流の下流側に位置するガス噴射孔からの供給量も多くなる傾向にあり、しかも各ガス噴射孔からの噴射量を均一化させるように最適化することも非常に困難であり、上記した問題点を十分に解決するに至っていない。
更に、上記添加ガスのような供給量が非常に少ないガスを不活性な希釈ガスで希釈して全体流量を多くし、この希釈ガスを反応炉内へ供給することも考えられるが、この場合には希釈ガスの追加に伴って添加ガスの分圧が低下することから、その分、吸着速度も低下してしまい、この場合にも上記問題点を十分に解決することはできない。
本発明は、以上のような問題点に着目し、これを有効に解決すべく創案されたものである。本発明の目的は、処理容器の高さ方向において添加ガスのような供給量が少ないガスを均一に分散させて供給するようにし、もって形成される薄膜中の元素の組成比を均一化させることが可能な成膜方法、成膜装置及び記憶媒体を提供することにある。
請求項1に係る発明は、被処理体に対して不純物が添加されたシリコン窒化膜よりなる薄膜を形成するための成膜装置において、真空引き可能になされた縦型の筒体状の処理容器と、前記被処理体を複数段に保持して前記処理容器内に挿脱される保持手段と、前記処理容器の外周に設けられる加熱手段と、シラン系ガスよりなる原料ガスと添加される不純物を含む原料ガスとを混合させて混合ガスを形成する混合タンク部と、前記混合タンク部からの混合ガスを前記処理容器内へ供給する混合ガスノズル部と、前記混合ガスと反応する窒化ガスよりなる反応性ガスを前記処理容器内へ供給する反応性ガスノズル部と、前記処理容器の側壁に沿って設けられて、反応性ガスをプラズマにより活性化するための活性化手段と、前記シラン系ガスを50〜2000sccmの範囲内で供給し、前記不純物を含む原料ガスを1〜15sccmの範囲内で供給すると共に、前記混合ガスと前記反応性ガスとを交互に且つ間欠的に前記処理容器内へ供給するように前記混合ガスと前記反応性ガスの供給を制御する制御手段と、を備えたことを特徴とする成膜装置である。
このように、成膜用の複数の異なる原料ガスを予め混合タンク部内で混合させることによって均一化した混合ガスを形成し、この混合ガスを処理容器内へ供給するようにしたので、形成される薄膜中の面間方向における元素の組成比を均一化させることができる。
請求項2に係る発明は、被処理体に対して不純物が添加されたシリコン窒化膜よりなる薄膜を形成するための成膜装置において、真空引き可能になされた縦型の筒体状の処理容器と、前記被処理体を複数段に保持して前記処理容器内に挿脱される保持手段と、前記処理容器の外周に設けられる加熱手段と、シラン系ガスよりなる原料ガスを流すために途中に開閉弁が介設されたガス通路と、添加される不純物を含む原料ガスを流すために途中に開閉弁が介設されたガス通路と、前記各ガス通路の下流側を共通に結合することにより形成される混合ガス通路と、前記各ガス通路の内の少なくともガス供給量の多い方のガス通路に介設されるガス貯留タンク部と、前記混合ガス通路からの混合ガスを前記処理容器内へ供給する混合ガスノズル部と、前記混合ガスと反応する窒化ガスよりなる反応性ガスを流すために途中に開閉弁が介設されたガス通路と、該ガス通路からの前記反応性ガスを前記処理容器内へ供給する反応性ガスノズル部と、前記処理容器の側壁に沿って設けられて、反応性ガスをプラズマにより活性化するための活性化手段と、前記シラン系ガスを50〜2000sccmの範囲内で供給し、前記不純物を含む原料ガスを1〜15sccmの範囲内で供給すると共に、前記各開閉弁を開閉させて前記混合ガスと前記反応性ガスとを交互に且つ間欠的に前記処理容器内へ供給するように前記混合ガスと前記反応性ガスの供給を制御する制御手段と、を備えたことを特徴とする成膜装置である。
この場合、例えば請求項3に規定するように、前記各原料ガスを流す前記各ガス通路には開閉弁が設けられると共に、前記混合ガスを流す混合ガス通路には開閉弁が設けられ、前記制御手段は、前記各原料ガスを流す前記各ガス通路に設けられた前記開閉弁と前記混合ガス通路に設けられた前記開閉弁とを互いに開閉状態が逆になるように制御する
また例えば請求項4に規定するように、前記活性化手段は、前記処理容器に一体的に組み込まれている。
また例えば請求項5に規定するように、前記反応性ガスノズル部は、前記処理容器の長さ方向に沿って延びると共に、所定の間隔を隔てて複数のガス噴射孔が形成された分散ノズルを有する。
また例えば請求項6に規定するように、前記混合ガスノズル部は、前記処理容器の長さ方向に沿って延びると共に、所定の間隔を隔てて複数のガス噴射孔が形成された分散ノズルを有する。
また例えば請求項7に規定するように、前記複数の原料ガス中には、供給量が他の原料ガスの供給量の1/100以下である微小量供給用原料ガスが含まれている。
また例えば請求項8に規定するように、前記反応性ガスは、窒化ガスまたは酸化ガスである。
また例えば請求項9に規定するように、前記窒化ガスは、アンモニア[NH ]、窒素[N ]、一酸化二窒素[N O]、一酸化窒素[NO]よりなる群より選択される1以上のガスである。
また例えば請求項10に規定するように、前記複数の原料ガスは、シラン系ガスと添加用ガスとを含む。
また例えば請求項11に規定するように、前記シラン系ガスは、ジクロロシラン(DCS)、ヘキサクロロジシラン(HCD)、モノシラン[SiH ]、ジシラン[Si ]、ヘキサメチルジシラザン(HMDS)、テトラクロロシラン(TCS)、ジシリルアミン(DSA)、トリシリルアミン(TSA)、ビスターシャルブチルアミノシラン(BTBAS)よりなる群より選択される1以上のガスである。
また例えば請求項12に規定するように、前記添加用ガスは、BCl 、B 、BF 、B(CH よりなる群より選択される1以上のボロン含有ガスである。
請求項13に係る発明は、真空引き可能になされて被処理体を複数枚収容することができる縦型の筒体状の処理容器内に、シラン系ガスよりなる原料ガスと添加される不純物を含む原料ガスと窒化ガスよりなる反応性ガスとを供給して被処理体の表面に不純物が添加されたシリコン窒化膜よりなる薄膜を形成する成膜方法において、前記シラン系ガスを50〜2000sccmの範囲内で供給し、前記不純物を含む原料ガスを1〜15sccmの範囲内で供給して両ガスを混合タンク部内で混合させて混合ガスを形成し、前記混合ガスと前記反応性ガスとを交互に且つ間欠的に前記処理容器内へ供給すると共に、前記処理容器の側壁に沿って形成した凹部状の領域で前記反応性ガスをプラズマによって活性化するようにしたことを特徴とする成膜方法である。
請求項14に係る発明は、真空引き可能になされて被処理体を複数枚収容することができる縦型の筒体状の処理容器内に、シラン系ガスよりなる原料ガスと添加される不純物を含む原料ガスと窒化ガスよりなる反応性ガスとを供給して被処理体の表面に不純物が添加されたシリコン窒化膜よりなる薄膜を形成する成膜方法において、前記シラン系ガスを50〜2000sccmの範囲内で供給し、前記不純物を含む原料ガスを1〜15sccmの範囲内で供給すると共に、前記原料ガスの内の少なくとも前記不純物を含む原料ガスを供給途中にて一時的にガス貯留タンク部に貯留する工程と、前記ガス貯留タンク部から供給する前記原料ガスと前記シラン系ガスよりなる原料ガスとを供給途中のガス通路にて混合させて混合ガスを形成すると共に、前記混合ガスと前記反応性ガスとを交互に且つ間欠的に前記処理容器内へ供給する工程と、前記処理容器の側壁に沿って形成した凹部状の領域で前記反応性ガスをプラズマによって活性化する工程と、を有するようにしたことを特徴とする成膜方法である。
の場合、例えば請求項15に規定するように、前記複数の原料ガス中には、供給量が他の原料ガスの供給量の1/100以下である微小量供給用原料ガスが含まれている。
また例えば請求項16に規定するように、前記反応性ガスは、窒化ガスまたは酸化ガスである。
また例えば請求項17に規定するように、前記複数の原料ガスは、シラン系ガスと添加用ガスとを含む。
請求項18に係る発明は、真空引き可能になされた縦型の筒体状の処理容器と、被処理体を複数段に保持して前記処理容器内に挿脱される保持手段と、前記処理容器の外周に設けられる加熱手段と、シラン系ガスよりなる原料ガスと添加される不純物を含む原料ガスとを混合させて混合ガスを形成する混合タンク部と、前記混合タンク部からの混合ガスを前記処理容器内へ供給する混合ガスノズル部と、前記混合ガスと反応する窒化ガスよりなる反応性ガスを前記処理容器内へ供給する反応性ガスノズル部と、前記処理容器の側壁に沿って設けられて、反応性ガスをプラズマにより活性化するための活性化手段と、装置全体の動作を制御する制御手段とを有する成膜装置を用いて前記被処理体の表面に不純物が添加されたシリコン窒化膜よりなる薄膜を形成するに際して、前記シラン系ガスを50〜2000sccmの範囲内で供給し、前記不純物を含む原料ガスを1〜15sccmの範囲内で供給して両ガスを前記混合タンク部内で混合させて混合ガスを形成し、前記混合ガスと前記反応性ガスとを交互に且つ間欠的に前記処理容器内へ供給すると共に、前記処理容器の側壁に沿って形成した凹部状の領域で前記反応性ガスをプラズマによって活性化するように前記成膜装置を制御するためのプログラムを記憶することを特徴とする記憶媒体である。
請求項19に係る発明は、真空引き可能になされた縦型の筒体状の処理容器と、被処理体を複数段に保持して前記処理容器内に挿脱される保持手段と、前記処理容器の外周に設けられる加熱手段と、シラン系ガスよりなる原料ガスを流すために途中に開閉弁が介設されたガス通路と、添加される不純物を含む原料ガスを流すために途中に開閉弁が介設されたガス通路と、前記各ガス通路の下流側を共通に結合することにより形成される混合ガス通路と、前記各ガス通路の内の少なくともガス供給量の多い方のガス通路に介設されるガス貯留タンク部と、前記混合ガス通路からの混合ガスを前記処理容器内へ供給する混合ガスノズル部と、前記混合ガスと反応する窒化ガスよりなる反応性ガスを流すために途中に開閉弁が介設されたガス通路と、該ガス通路からの前記反応性ガスを前記処理容器内へ供給する反応性ガスノズル部と、前記処理容器の側壁に沿って設けられて、反応性ガスをプラズマにより活性化するための活性化手段と、装置全体の動作を制御する制御手段とを有する成膜装置を用いて前記被処理体の表面に不純物が添加されたシリコン窒化膜よりなる薄膜を形成するに際して、前記シラン系ガスを50〜2000sccmの範囲内で供給し、前記不純物を含む原料ガスを1〜15sccmの範囲内で供給すると共に、前記原料ガスの内の少なくとも前記不純物を含む原料ガスを供給途中にて一時的に前記ガス貯留タンク部に貯留する工程と、前記ガス貯留タンク部から供給する前記原料ガスと前記シラン系ガスよりなる原料ガスとを供給途中の前記ガス通路にて混合させて混合ガスを形成すると共に、前記混合ガスと前記反応性ガスとを交互に且つ間欠的に前記処理容器内へ供給する工程と、前記処理容器の側壁に沿って形成した凹部状の領域で前記反応性ガスをプラズマによって活性化する工程と、を行なうように前記成膜装置を制御するためのプログラムを記憶することを特徴とする記憶媒体である。

本発明に係る成膜方法、成膜装置及び記憶媒体によれば、次のように優れた作用効果を発揮することができる。
成膜用の複数の異なる原料ガスを予め混合タンク部内、或いは混合ガス通路内で混合させることによって均一化した混合ガスを形成し、この混合ガスを処理容器内へ供給するようにしたので、形成される薄膜中の面間方向における元素の組成比を均一化させることができる。
以下に、本発明に係る成膜方法、成膜装置及び記憶媒体の一実施例を添付図面に基づいて詳述する。
図1は本発明の係る成膜装置の一例を示す縦断面構成図、図2は成膜装置(加熱手段は省略)を示す横断面構成図、図3は各種のガスの供給のタイミングとRF(高周波)の印加のタイミングを示すタイミングチャートである。尚、ここでは原料ガスとしてシラン系ガスとボロン含有ガスとを用い、具体的には上記シラン系ガスとしてジクロロシラン(DCS)を用い、ボロン含有ガスとしてBCl ガスを用いる。また反応性ガスとしては、窒化ガスであるアンモニアガス(NH )を用い、上記NH ガスをプラズマにより活性化して不純物としてボロンの含有(ドープ)されたボロン含有シリコン窒化膜(SiBN)を成膜する場合を例にとって説明する。従って、ここでは上記ボロン含有ガスは、添加ガスとしても用いられることになる。
図示するように、プラズマを形成することができるこの成膜装置2は、下端が開口された有天井の円筒体状の処理容器4を有している。この処理容器4の全体は、例えば石英により形成されており、この処理容器4内の天井には、石英製の天井板6が設けられて封止されている。また、この処理容器4の下端開口部には、例えばステンレススチールにより円筒体状に成形されたマニホールド8がOリング等のシール部材10を介して連結されている。
上記処理容器4の下端は、上記マニホールド8によって支持されており、このマニホールド8の下方より多数枚の被処理体としての半導体ウエハWを多段に載置した保持手段としての石英製のウエハボート12が昇降可能に挿脱自在になされている。本実施例の場合において、このウエハボート12の支柱12Aには、例えば50〜100枚程度の直径が300mmのウエハWを略等ピッチで多段に支持できるようになっている。
このウエハボート12は、石英製の保温筒14を介してテーブル16上に載置されており、このテーブル16は、マニホールド8の下端開口部を開閉する例えばステンレススチール製の蓋部18を貫通する回転軸20上に支持される。
そして、この回転軸20の貫通部には、例えば磁性流体シール22が介設され、この回転軸20を気密にシールしつつ回転可能に支持している。また、蓋部18の周辺部とマニホールド8の下端部には、例えばOリング等よりなるシール部材24が介設されており、処理容器4内のシール性を保持している。
上記した回転軸20は、例えばボートエレベータ等の昇降機構(図示せず)に支持されたアーム26の先端に取り付けられており、ウエハボート12及び蓋部18等を一体的に昇降して処理容器4内へ挿脱できるようになされている。尚、上記テーブル16を上記蓋部18側へ固定して設け、ウエハボート12を回転させることなくウエハWの処理を行うようにしてもよい。
上記マニホールド8には、反応性ガスとしてNH ガスを供給するための反応性ガスノズル部28と、複数の成膜用の原料ガスを混合して形成された混合ガスを供給するための混合ガスノズル部30と、N ガス等の不活性ガスを供給するためのガスノズル32とが、それぞれ設けられている。具体的には、上記反応性ガスノズル部28は、上記マニホールド8の側壁を内側へ気密に貫通して上方向へ屈曲されて容器内の天井板6の近傍まで延びる石英管よりなる分散ノズル34を有している。この分散ノズル34には、その長さ方向に沿って複数(多数)のガス噴射孔34Aが所定の間隔を隔てて形成されており、各噴射孔34Aから水平方向に向けて略均一にNH ガスを噴射できるようになっている。
また、上記混合ガスノズル部30は、上記反応性ガスノズル部28と同様に上記マニホールド8の側壁を内側へ気密に貫通して上方向へ屈曲されて容器内の天井板6の近傍まで延びる石英管よりなる分散ノズル36を有している。この分散ノズル36には、その長さ方向に沿って複数(多数)のガス噴射孔36Aが所定の間隔を隔てて形成されており、各噴射孔36Aから水平方向に向けて略均一に後述するような混合ガスを噴射できるようになっている。
また上記反応性ガスノズル部28は、ガス通路40を介して反応性ガスとしてNH ガスを貯留する反応性ガス源、すなわちNH ガス源42に接続されている。このガス通路40には、マスフローコントローラのような流量制御器40A及び開閉弁40Bが下流側に向けて順次介設されており、必要に応じて流量制御しつつNH ガスを流し得るようになっている。
また不活性ガス用の上記ガスノズル32は、ガス通路44を介して不活性ガス源であるN ガス源46に接続されている。
このガス通路44には、マスフローコントローラのような流量制御器44A及び開閉弁44Bが下流側に向けて順次介設されており、必要に応じて流量制御しつつパージガスとして例えばN ガスを流し得るようになっている。
また上記混合ガスノズル部30には、途中に開閉弁48Aが介設された混合ガス通路48が接続されており、この混合ガス通路48の上流側は本発明の特徴とする所定の容量(容積)の混合タンク部50に接続されている。そして、この混合タンク部50からは、図示例では2本のガス通路56、58が延びており、これらのガス通路56、58の上流側は、成膜用の原料ガス源としてここでは2つの原料ガス源、すなわちDCSガス源52とBCl ガス源54とにそれぞれ接続されている。そして、上記各ガス通路56、58には、マスフローコントローラのような流量制御器56A、58A及び開閉弁56B、58Bが下流側に向けてそれぞれ順次介設されており、必要に応じて流量制御しつつDCSガスとBCl ガスとを混合タンク部50に向けてそれぞれ流して混合ガスを形成できるようになっている。ここで上記混合タンク部50の容積は、上記両ガスが均一に混合されるような大きさに設置され、例えば両ガスのそれぞれの流量にもよるが、ここでは例えば4リットル程度に設定されている。
そして、上記NH ガス、DCSガス、BCl ガス、N ガス等の各ガスの供給、供給停止、ガス流量の制御及び後述する高周波のオン・オフ制御等は例えばコンピュータ等よりなる制御手段60により行われる。そして、この制御手段60は、上記制御の他にこの装置全体の動作も制御し、この制御手段60はこの制御を行うために用いられるプログラムを記憶するための例えばフラッシュメモリやフロッピディスク等よりなる記憶媒体62を有している。
一方、上記処理容器4の側壁の一部には、プラズマを発生させて窒化ガスを活性化させる活性化手段66がその高さ方向に沿って形成されると共に、この活性化手段66に対向する反対側の容器側壁には、この内部雰囲気を真空排気するために処理容器4の側壁を、例えば上下方向へ削りとることによって形成した細長い排気口68が設けられている。具体的には、上記活性化手段66は、上記処理容器4の側壁を上下方向に沿って所定の幅で削りとることによって上下に細長い開口70を形成し、この開口70をその外側より覆うようにして断面凹部状になされた上下に細長い例えば石英製のプラズマ区画壁72を容器外壁に気密に溶接接合することにより形成されている。これにより、この処理容器4の側壁の一部を凹部状に外側へ窪ませることにより一側が処理容器4内へ開口されて連通された活性化手段66が一体的に形成されることになる。すなわちプラズマ区画壁72の内部空間は、上記処理容器4内に一体的に連通された状態となっている。上記開口70は、ウエハボート12に保持されている全てのウエハWを高さ方向においてカバーできるように上下方向に十分に長く形成されている。
そして、上記プラズマ区画壁72の両側壁の外側面には、その長さ方向(上下方向)に沿って互いに対向するようにして細長い一対のプラズマ電極74が設けられると共に、このプラズマ電極74にはプラズマ発生用の高周波電源76が給電ライン78を介して接続されており、上記プラズマ電極74に例えば13.56MHzの高周波電圧を印加することによりプラズマを発生し得るようになっている。尚、この高周波電圧の周波数は13.56MHzに限定されず、他の周波数、例えば400kHz等を用いてもよい。
そして、上記処理容器4内を上方向に延びていく上記反応性ガスノズル部28の分散ノズル34は途中で処理容器4の半径方向外方へ屈曲されて、上記プラズマ区画壁72内の一番奥(処理容器4の中心より一番離れた部分)に位置され、この一番奥の部分に沿って上方に向けて起立させて設けられている。従って、高周波電源76がオンされている時に上記分散ノズル34のガス噴射孔34Aから噴射されたアンモニアガスはここで活性化されて処理容器4の中心に向けて強制的に流すようになっている。
そして上記プラズマ区画壁72の外側には、これを覆うようにして例えば石英よりなる絶縁保護カバー80が取り付けられている。また、この絶縁保護カバー80の内側部分には、図示しない冷媒通路が設けられており、冷却された窒素ガス等の冷媒を流すことにより上記プラズマ電極74を冷却し得るようになっている。
そして上記プラズマ区画壁72の開口70の外側近傍、すなわち開口70の外側(処理容器4内)の一側には、上記混合ガスノズル部30の分散ノズル36が起立させて設けられており、この分散ノズル36に設けた各ガス噴射孔36Aより処理容器4の中心方向に向けてシラン系ガスであるDCSガスとBCl ガスとの混合ガスを噴射し得るようになっている。
また、上記開口70に対向させて設けた排気口68には、これを覆うようにして石英よりなる断面コ字状に成形された排気口カバー部材82が溶接により取り付けられている。この排気口カバー部材82は、上記処理容器4の側壁に沿って上方に延びており、処理容器4の上方のガス出口84より図示しない真空ポンプ等を介設した真空排気系により真空引きされる。そして、この処理容器4の外周を囲むようにしてこの処理容器4及びこの内部のウエハWを加熱する筒体状の加熱手段86が設けられている。
次に、以上のように構成された成膜装置を用いて原子レベルの厚さの薄膜を繰り返し積層形成する成膜方法(ALD:Atomic Layered Deposition)について説明する。ここでは成膜処理として、ウエハ表面に低温で間欠的にプラズマを用いて不純物としてボロン(B)が含有されたボロン含有シリコン窒化膜(SiBN)を形成する場合を例にとって説明する。すなわち、本発明方法では、2つの原料ガスであるDCSガスとBCl ガスとを混合タンク部50内で混合して混合ガスを形成し、この混合ガスと反応性ガスであるアンモニアガスとを交互に処理容器内に供給すると共に、上記アンモニアガスをプラズマにより活性化させるようにしている。
まず、常温の多数枚、例えば50〜100枚の300mmサイズのウエハWが載置された状態のウエハボート12を予め所定の温度になされた処理容器4内にその下方より上昇させてロードし、蓋部18でマニホールド8の下端開口部を閉じることにより容器内を密閉する。
そして処理容器4内を真空引きして所定のプロセス圧力に維持すると共に、加熱手段86への供給電力を増大させることにより、ウエハ温度を上昇させてプロセス温度を維持する。これと同時に、原料ガス源であるDCSガス源52とBCl ガス源54とから両原料ガスをそれぞれ流量制御しつつ流してこれらのガスを混合タンク部50内にて混合して混合ガスを形成し、そして、この混合ガスと反応性ガス源であるNH ガス源42からのNH ガスとを交互に且つ間欠的に処理容器4内へ供給し、回転しているウエハボート12に支持されているウエハWの表面にボロン含有シリコン窒化膜(SiBN)を形成する。この際、NH ガスを単独で供給する時に、全供給時間に亘って、或いは全供給時間の一部において高周波電源(RF電源)76をオンしてプラズマを立てるようにする。
具体的には、NH ガスは分散ノズル34の各ガス噴射孔34Aから水平方向へ噴射され、また、DCSガスとBCl ガスとの混合ガスは分散ノズル36の各ガス噴射孔36Aから水平方向へ噴射され、上記各ガスが反応してボロン含有シリコン窒化膜(SiBN)が形成される。この場合、上記各ガスは、連続的に供給されるのではなく、図3に示すように互いにタイミングをずらして供給する。そして、タイミングをずらしたガス同士は、間に間欠期間(パージ期間)90を挟んで交互に間欠的に繰り返し供給され、ボロン含有シリコン窒化膜の薄膜を一層ずつ繰り返し積層する。すなわち、混合ガスが供給されるとこの混合ガスがウエハ表面に吸着し、次にNH ガスが供給されると上記ウエハ表面に吸着している混合ガスが窒化されて分子レベル、或いは原子レベルの厚さの薄いSiBN膜が形成され、この薄膜形成操作が繰り返し行われる。また間欠期間90においては真空引きが継続されて容器内に残留するガスを排除している。そして、NH ガスを単独で流す時には、図3(C)に示すようにRF電源がオンされてプラズマが立てられて、供給されるNH ガスを活性化して活性種等が作られ、反応(分解)が促進される。
この場合、NH ガスの供給期間の全期間に亘ってRF電源をオンしてもよいし、図3(C)に示すようにNH ガスの供給開始から所定の時間Δtが経過した後に、RF電源をオンするようにしてもよい。この所定の時間ΔtとはNH ガスの流量が安定するまでの時間であり、例えば5秒程度である。このように、NH ガスの流量が安定化した後にRF電源をオンすることにより、ウエハWの面間方向(高さ方向)における活性種の濃度均一性を向上できる。また間欠期間90では、不活性ガスであるN ガスを処理容器4内へ供給して残留ガスを排除するようにしてもよいし(不活性ガスパージ)、或いは、全てのガスの供給を停止したまま真空引きを継続して行うことにより(バキュームとも称す)、処理容器4内の残留ガスを排除するようにしてもよい。更には、間欠期間90の前半はバキュームを行い、後半は不活性ガスパージを行うようにしてもよい。
この場合、吸着工程である混合ガスの供給期間T1は10秒程度、反応工程(窒化工程)である単独のNH ガスの供給期間T2は10秒程度、パージ期間である間欠期間90の長さT3は5〜15秒程度、RF電源のオン時間T4は5秒程度であるが、これらの各時間は単に一例を示したに過ぎず、この数値に限定されない。通常、1サイクルによって形成される膜厚は1.1〜1.3Å/サイクル程度であるので、目標膜厚が例えば700Åであるならば、600サイクル程度繰り返し行うことになる。
ここで上記成膜処理のプロセス条件について説明すると、DCSガスの流量は50〜2000sccmの範囲内、例えば1000sccm(1slm)であり、BCl ガスの流量は1〜15sccmの範囲内、例えば2sccmであり、NH ガスの流量は500〜5000sccmの範囲内、例えば1000sccmである。このように、ここではBCl ガスの流量は、DCSガスの流量と比較して非常に少ない。またプロセス温度はCVD成膜処理よりも低い温度であり、具体的には300〜700℃の範囲内、好ましくは550〜630℃の範囲内である。このプロセス温度が300℃よりも低いと、反応が生ぜずにほとんど膜が堆積せず、また700℃よりも高い場合には、膜質の劣るCVDによる堆積膜が形成されてしまうのみならず、前工程ですでに形成されている金属膜等に熱的ダメージを与えてしまう。
またプロセス圧力は13Pa(0.1Torr)〜1330Pa(10Torr)の範囲内、好ましくは40Pa(0.3Torr)〜266Pa(2Torr)の範囲内であり、例えば吸着工程では1Torr、プラズマを用いる窒化工程では0.3Torrである。プロセス圧力が13Paよりも小さい場合には、成膜レートが実用レベル以下になり、また1330Paよりも大きい場合には、プラズマが十分に立たなくなってしまう。
このように、2つの原料ガスであるDCSガスとBCl ガスとを予め混合タンク部50内で均一に混合して混合ガスを形成し、この混合ガスを間欠的に供給するようにしているので、希釈ガスを用いなくても供給量の少ないBCl ガスを処理容器4内の高さ方向において略均一に分散させることができる。従って、形成されるSiBN膜の薄膜中の元素の組成比をウエハの載置位置によらずに均一化させることができる。この場合、DCSガスの供給量に対してBCl ガスの供給量は遥かに少ない微小量供給用原料ガス(添加用ガス)となるので、このように一方の原料ガスの供給量が他方の原料ガスの供給量より遥かに少ない時に、上述のように両ガスを予め均一混合して供給することにより、供給量の少ない原料ガスを他方の供給量の多い原料ガスに随伴させて処理容器4内の高さ方向に均一的に分散させることができるので、形成される薄膜中の元素の組成比を一層均一化させることができる。
ここで、微小量供給用の原料ガスであるBCl ガスの供給量が、他方の原料ガスであるDCSガスの供給量よりも1/100以下である時に、上記したような膜中の元素の組成比の均一化改善効果を一層発揮することができる。
また微小量供給用原料ガスであるBCl ガスをウエハ表面に吸着させる際に、吸着量はBCl ガスの分圧に依存するが、希釈ガスを用いることなく容器内の高さ方向に均一に供給することができるので、そのBCl ガスの分圧を希釈ガスを用いた場合と比較して高く維持してウエハ表面への吸着を促進させることができる。この結果、1サイクル当たりの成膜レートを維持したまま、1サイクルに要する時間を短縮化して、そのスループットを向上させることができる。例えば実験の結果、従来の成膜方法ではBCl ガスをウエハ表面に十分に吸着させるのに要する時間は15秒程度要したが、本発明方法によれば、その時間は2〜3秒まで縮めることができた。この結果、従来方法では1サイクルに30秒程度を要したが、本発明方法では8秒まで短縮することができ、スループットを向上できることが確認できた。
また混合タンク部50内にて混合ガスを形成する場合には、各ガス通路56及び58に介設した開閉弁56B及び58Bを成膜処理が開始された後に、共に常時”開”状態にしてDCSガスとBCl ガスとを成膜処理が完了するまでの複数サイクルに亘って連続的に混合タンク部50内へ導入するようにして常時混合ガスを形成するようにしてもよいし、或いは混合ガスを処理容器4内へ供給する時に開閉される開閉弁48Aが”閉”状態の時に両開閉弁56B及び58Bを”開”状態にして混合ガスを形成し、開閉弁48Aが”開”状態で混合ガスを供給する時に両開閉弁56B、58Bを”閉”状態にするようにしてもよい。すなわち、この場合には両開閉弁56B、58Bと開閉弁48Aとは、互いに開閉状態が逆になるように制御される。
尚、上記実施例では原料ガスとしてDCSガスとBCl ガスとを用いたが、これに炭化水素ガスを原料ガスとして加えて、全部で3種類の原料ガスを用いるようにしてもよい。この場合には、炭化水素ガスとして例えばエチレン(C )を用いることができ、具体的には、エチレンガス源がガス通路を介して混合タンク部50に接続され、エチレンガスを上記DCSガス及びBCl ガスと同期しつつ流量制御して混合タンク部50に流すことになる。この3種類の原料ガスを用いた時には、膜中に炭素がドープされるので薄膜としてSiBCN膜が形成されることになる。
また、ここではNH ガスをプラズマにより活性化させるようにして用いたが、NH ガスを活性化させないで用いるようにしてもよい。この場合には、反応性が少し劣化するので、プロセス温度を少し上げて反応を促進させるようにするのが好ましい。
このようにプラズマを用いない場合には、プラズマを立てるための部材、例えばプラズマを形成する高周波電源76やプラズマ電極74や活性化手段66等は不要になって、上記成膜装置2から取り除かれるのは勿論である。
また図1に示す装置例では、混合ガスを供給するノズルとして多数のガス噴射孔36Aが形成された分散ノズル36を用いたが、これに限定されず、ガスノズル32と同様に一直線上になされた、いわゆるストレート管やL字状に屈曲された、いわゆるL字管等を用いることができる。このようなストレート管やL字管を用いた場合には、混合ガスは処理容器4内の下部から、或いは上部から供給されることになるので、排気口68は、処理容器4の上部、或いは下部に設けるようにして混合ガスが処理容器4内の高さ方向に沿って十分に流れるように構成する。
また上記図1に示す装置例においては、混合タンク部50内で2つの原料ガス、すなわちBCl とDCSとを混合させるようにしたが、これに限定されず、上記両ガスをガス通路の途中で合流させて混合させるようにしてもよい。この場合には、少なくとも供給量の多い方のガスを流すガス通路にガス貯留タンク部を設けて一時的にガスを貯め込むようにし、必要時に多量のガスを短時間で供給できるようにしておく。このような原料ガス供給系の変形例を図4に示す。図4は原料ガス供給系の変形例を示す部分拡大図であり、図1に示す構成部分と同一構成部分については同一参照符号を付している。
図4(A)に示す場合には、上述したように混合タンク部50(図1参照)を設けないで、上記原料ガス源52、54から延びる各ガス通路56、58であって各開閉弁56B、58Bの下流側に、それぞれガス貯留タンク部102、104を介設している。そして、ガス貯留タンク部102、104の更に下流側の各ガス通路56、58に、出口側の開閉弁56C、58Cをそれぞれ介設している。上記ガス通路56、58は、その下流側で互いに結合されて混合ガス通路48に連絡している。この場合、上記出口側の開閉弁56C、58Cは、制御手段60により同期して同時に開閉制御される。ここで上記DCS側のガス貯留タンク部102は、DCSガスの流量が多いので、そのタンク容量を大きく設定し、例えば先の混合タンク部50と同じ程度の容量とする。
これに対して、他方のBCl 側のガス貯留タンク部104は、BCl ガスの流量が上記DCSガスよりもかなり少ないので、そのタンク容量は小さくて済み、例えば0.05リットル程度に設定する。
この場合には、上記したように、出口側の開閉弁56C、58Cを同時に開閉制御することにより、各ガス貯留タンク部102、104内で一時的に貯留されていたDCSガスとBCl ガスとが各ガス通路56、58内を同時に流れてきて混合ガス通路48にて混合され、処理容器4内へ間欠的に供給することができる。この結果、図1に示した装置例と同様な作用効果を発揮することができる。
また図4(B)に示す装置例は、図4(A)に示す装置例から、ガス貯留タンク部104と、この上流側の開閉弁58Aを省略した構成を示す。この場合もBCl ガスの流量が、他方のDCSガスの流量よりも遥かに少ないことから、上記ガス貯留タンク部104を省略した構成であっても、混合ガス通路48内にて少量のBCl ガスを多量のDCSガス中に均一に混合させて供給することができる。
また上記実施例では、原料ガスの一種であるシラン系ガスとしてDCSガスを用いたが、これに限定されず、ジクロロシラン(DCS)、ヘキサクロロジシラン(HCD)、モノシラン[SiH ]、ジシラン[Si ]、ヘキサメチルジシラザン(HMDS)、テトラクロロシラン(TCS)、ジシリルアミン(DSA)、トリシリルアミン(TSA)、ビスターシャルブチルアミノシラン(BTBAS)よりなる群より選択される1以上のガスを用いることができる。
また、上記実施例では、原料ガスの一種であるボロン含有ガスとしてBCl ガスを用いたが、これに限定されず、BCl 、B 、BF 、B(CH よりなる群より選択される1以上のガスを用いることができる。
また、上記実施例では、反応性ガスである窒化ガスとしてNH ガスを用いたが、これに限定されず、アンモニア[NH ]、窒素[N ]、一酸化二窒素[N O]、一酸化窒素[NO]よりなる群より選択される1以上のガスを用いることができる。
また更に、ここでは成膜装置2として、プラズマを形成する活性化手段66を処理容器4に一体的に組み込んだ装置例について説明したが、これに限定されず、この活性化手段66を処理容器4とは別体で設け、NH ガスを処理容器4の外で予め活性化(いわゆるリモートプラズマ)、その活性化NH ガスを処理容器4内へ供給するようにしてもよい。
また、上記実施例では形成される薄膜の種類としてSiBN膜やSiBCN膜を形成する場合を例にとって説明したが、これに限定されず、例えばBCN膜(炭素含有ボロン窒化膜)を形成する場合にも本発明方法を適用することができる。この場合には、ボロン含有ガスと炭化水素ガスが原料ガスとなって両ガスが混合されることになる。
更に本発明は、処理容器内へ導入する前に混合しても問題も生じない複数の原料ガスを用いて成膜処理を行う場合には、全て適用することができ、例えば反応性ガスとして酸化ガス、例えばO ガス等を用いて成膜処理する場合にも本発明を適用することができる。
また被処理体としては、半導体ウエハに限定されず、ガラス基板やLCD基板等にも本発明を適用することができる。
本発明の係る成膜装置の一例を示す縦断面構成図である。 成膜装置(加熱手段は省略)を示す横断面構成図である。 各種のガスの供給のタイミングとRF(高周波)の印加のタイミングを示すタイミングチャートである。 原料ガス供給系の変形例を示す部分拡大図であり、
符号の説明
2 成膜装置
4 処理容器
12 ウエハボート(供給手段)
28 反応性ガスノズル部
30 混合ガスノズル部
42 NH ガス源(反応性ガス源)
48 混合ガス通路
50 混合タンク部
52 DCSガス源(原料ガス源)
54 BCl ガス源
56,58 ガス通路
56C,58C 開閉弁
60 制御手段
62 記憶媒体
66 活性化手段
74 プラズマ電極
76 高周波電源
86 加熱手段
102,104 ガス貯留タンク部
W 半導体ウエハ(被処理体)

Claims (19)

  1. 被処理体に対して不純物が添加されたシリコン窒化膜よりなる薄膜を形成するための成膜装置において、
    真空引き可能になされた縦型の筒体状の処理容器と、
    前記被処理体を複数段に保持して前記処理容器内に挿脱される保持手段と、
    前記処理容器の外周に設けられる加熱手段と、
    シラン系ガスよりなる原料ガスと添加される不純物を含む原料ガスとを混合させて混合ガスを形成する混合タンク部と、
    前記混合タンク部からの混合ガスを前記処理容器内へ供給する混合ガスノズル部と、
    前記混合ガスと反応する窒化ガスよりなる反応性ガスを前記処理容器内へ供給する反応性ガスノズル部と、
    前記処理容器の側壁に沿って設けられて、反応性ガスをプラズマにより活性化するための活性化手段と、
    前記シラン系ガスを50〜2000sccmの範囲内で供給し、前記不純物を含む原料ガスを1〜15sccmの範囲内で供給すると共に、前記混合ガスと前記反応性ガスとを交互に且つ間欠的に前記処理容器内へ供給するように前記混合ガスと前記反応性ガスの供給を制御する制御手段と、
    を備えたことを特徴とする成膜装置。
  2. 被処理体に対して不純物が添加されたシリコン窒化膜よりなる薄膜を形成するための成膜装置において、
    真空引き可能になされた縦型の筒体状の処理容器と、
    前記被処理体を複数段に保持して前記処理容器内に挿脱される保持手段と、
    前記処理容器の外周に設けられる加熱手段と、
    シラン系ガスよりなる原料ガスを流すために途中に開閉弁が介設されたガス通路と、
    添加される不純物を含む原料ガスを流すために途中に開閉弁が介設されたガス通路と、
    前記各ガス通路の下流側を共通に結合することにより形成される混合ガス通路と、
    前記各ガス通路の内の少なくともガス供給量の多い方のガス通路に介設されるガス貯留タンク部と、
    前記混合ガス通路からの混合ガスを前記処理容器内へ供給する混合ガスノズル部と、
    前記混合ガスと反応する窒化ガスよりなる反応性ガスを流すために途中に開閉弁が介設されたガス通路と、
    該ガス通路からの前記反応性ガスを前記処理容器内へ供給する反応性ガスノズル部と、
    前記処理容器の側壁に沿って設けられて、反応性ガスをプラズマにより活性化するための活性化手段と、
    前記シラン系ガスを50〜2000sccmの範囲内で供給し、前記不純物を含む原料ガスを1〜15sccmの範囲内で供給すると共に、前記各開閉弁を開閉させて前記混合ガスと前記反応性ガスとを交互に且つ間欠的に前記処理容器内へ供給するように前記混合ガスと前記反応性ガスの供給を制御する制御手段と、
    を備えたことを特徴とする成膜装置。
  3. 前記各原料ガスを流す前記各ガス通路には開閉弁が設けられると共に、前記混合ガスを流す混合ガス通路には開閉弁が設けられ、前記制御手段は、前記各原料ガスを流す前記各ガス通路に設けられた前記開閉弁と前記混合ガス通路に設けられた前記開閉弁とを互いに開閉状態が逆になるように制御することを特徴とする請求項1記載の成膜装置。
  4. 前記活性化手段は、前記処理容器に一体的に組み込まれていることを特徴とする請求項3記載の成膜装置。
  5. 前記反応性ガスノズル部は、前記処理容器の長さ方向に沿って延びると共に、所定の間隔を隔てて複数のガス噴射孔が形成された分散ノズルを有することを特徴とする請求項1乃至4のいずれか一項に記載の成膜装置。
  6. 前記混合ガスノズル部は、前記処理容器の長さ方向に沿って延びると共に、所定の間隔を隔てて複数のガス噴射孔が形成された分散ノズルを有することを特徴とする請求項1乃至5のいずれか一項に記載の成膜装置。
  7. 前記複数の原料ガス中には、供給量が他の原料ガスの供給量の1/100以下である微小量供給用原料ガスが含まれていることを特徴とする請求項1乃至6のいずれか一項に記載の成膜装置。
  8. 前記反応性ガスは、窒化ガスまたは酸化ガスであることを特徴とする請求項1乃至7のいずれか一項に記載の成膜装置。
  9. 前記窒化ガスは、アンモニア[NH ]、窒素[N ]、一酸化二窒素[N O]、一酸化窒素[NO]よりなる群より選択される1以上のガスであることを特徴とする請求項8記載の成膜装置。
  10. 前記複数の原料ガスは、シラン系ガスと添加用ガスとを含むことを特徴とする請求項1乃至9のいずれか一項に記載の成膜装置。
  11. 前記シラン系ガスは、ジクロロシラン(DCS)、ヘキサクロロジシラン(HCD)、モノシラン[SiH ]、ジシラン[Si ]、ヘキサメチルジシラザン(HMDS)、テトラクロロシラン(TCS)、ジシリルアミン(DSA)、トリシリルアミン(TSA)、ビスターシャルブチルアミノシラン(BTBAS)よりなる群より選択される1以上のガスであることを特徴とする請求項10記載の成膜装置。
  12. 前記添加用ガスは、BCl 、B 、BF 、B(CH よりなる群より選択される1以上のボロン含有ガスであることを特徴とする請求項10または11記載の成膜装置。
  13. 真空引き可能になされて被処理体を複数枚収容することができる縦型の筒体状の処理容器内に、シラン系ガスよりなる原料ガスと添加される不純物を含む原料ガスと窒化ガスよりなる反応性ガスとを供給して被処理体の表面に不純物が添加されたシリコン窒化膜よりなる薄膜を形成する成膜方法において、
    前記シラン系ガスを50〜2000sccmの範囲内で供給し、前記不純物を含む原料ガスを1〜15sccmの範囲内で供給して両ガスを混合タンク部内で混合させて混合ガスを形成し、前記混合ガスと前記反応性ガスとを交互に且つ間欠的に前記処理容器内へ供給すると共に、前記処理容器の側壁に沿って形成した凹部状の領域で前記反応性ガスをプラズマによって活性化するようにしたことを特徴とする成膜方法。
  14. 真空引き可能になされて被処理体を複数枚収容することができる縦型の筒体状の処理容器内に、シラン系ガスよりなる原料ガスと添加される不純物を含む原料ガスと窒化ガスよりなる反応性ガスとを供給して被処理体の表面に不純物が添加されたシリコン窒化膜よりなる薄膜を形成する成膜方法において、
    前記シラン系ガスを50〜2000sccmの範囲内で供給し、前記不純物を含む原料ガスを1〜15sccmの範囲内で供給すると共に、前記原料ガスの内の少なくとも前記不純物を含む原料ガスを供給途中にて一時的にガス貯留タンク部に貯留する工程と、
    前記ガス貯留タンク部から供給する前記原料ガスと前記シラン系ガスよりなる原料ガスとを供給途中のガス通路にて混合させて混合ガスを形成すると共に、前記混合ガスと前記反応性ガスとを交互に且つ間欠的に前記処理容器内へ供給する工程と、
    前記処理容器の側壁に沿って形成した凹部状の領域で前記反応性ガスをプラズマによって活性化する工程と、
    を有するようにしたことを特徴とする成膜方法。
  15. 前記複数の原料ガス中には、供給量が他の原料ガスの供給量の1/100以下である微小量供給用原料ガスが含まれていることを特徴とする請求項13乃至14のいずれか一項に記載の成膜方法。
  16. 前記反応性ガスは、窒化ガスまたは酸化ガスであることを特徴とする請求項13乃至15のいずれか一項に記載の成膜方法。
  17. 前記複数の原料ガスは、シラン系ガスと添加用ガスとを含むことを特徴とする請求項13乃至16のいずれか一項に記載の成膜方法。
  18. 真空引き可能になされた縦型の筒体状の処理容器と、
    被処理体を複数段に保持して前記処理容器内に挿脱される保持手段と、
    前記処理容器の外周に設けられる加熱手段と、
    シラン系ガスよりなる原料ガスと添加される不純物を含む原料ガスとを混合させて混合ガスを形成する混合タンク部と、
    前記混合タンク部からの混合ガスを前記処理容器内へ供給する混合ガスノズル部と、
    前記混合ガスと反応する窒化ガスよりなる反応性ガスを前記処理容器内へ供給する反応性ガスノズル部と、
    前記処理容器の側壁に沿って設けられて、反応性ガスをプラズマにより活性化するための活性化手段と、
    装置全体の動作を制御する制御手段とを有する成膜装置を用いて前記被処理体の表面に不純物が添加されたシリコン窒化膜よりなる薄膜を形成するに際して、
    前記シラン系ガスを50〜2000sccmの範囲内で供給し、前記不純物を含む原料ガスを1〜15sccmの範囲内で供給して両ガスを前記混合タンク部内で混合させて混合ガスを形成し、前記混合ガスと前記反応性ガスとを交互に且つ間欠的に前記処理容器内へ供給すると共に、前記処理容器の側壁に沿って形成した凹部状の領域で前記反応性ガスをプラズマによって活性化するように前記成膜装置を制御するためのプログラムを記憶することを特徴とする記憶媒体。
  19. 真空引き可能になされた縦型の筒体状の処理容器と、
    被処理体を複数段に保持して前記処理容器内に挿脱される保持手段と、
    前記処理容器の外周に設けられる加熱手段と、
    シラン系ガスよりなる原料ガスを流すために途中に開閉弁が介設されたガス通路と、
    添加される不純物を含む原料ガスを流すために途中に開閉弁が介設されたガス通路と、
    前記各ガス通路の下流側を共通に結合することにより形成される混合ガス通路と、
    前記各ガス通路の内の少なくともガス供給量の多い方のガス通路に介設されるガス貯留タンク部と、
    前記混合ガス通路からの混合ガスを前記処理容器内へ供給する混合ガスノズル部と、
    前記混合ガスと反応する窒化ガスよりなる反応性ガスを流すために途中に開閉弁が介設されたガス通路と、
    該ガス通路からの前記反応性ガスを前記処理容器内へ供給する反応性ガスノズル部と、
    前記処理容器の側壁に沿って設けられて、反応性ガスをプラズマにより活性化するための活性化手段と、
    装置全体の動作を制御する制御手段とを有する成膜装置を用いて前記被処理体の表面に不純物が添加されたシリコン窒化膜よりなる薄膜を形成するに際して、
    前記シラン系ガスを50〜2000sccmの範囲内で供給し、前記不純物を含む原料ガスを1〜15sccmの範囲内で供給すると共に、前記原料ガスの内の少なくとも前記不純物を含む原料ガスを供給途中にて一時的に前記ガス貯留タンク部に貯留する工程と、
    前記ガス貯留タンク部から供給する前記原料ガスと前記シラン系ガスよりなる原料ガスとを供給途中の前記ガス通路にて混合させて混合ガスを形成すると共に、前記混合ガスと前記反応性ガスとを交互に且つ間欠的に前記処理容器内へ供給する工程と、
    前記処理容器の側壁に沿って形成した凹部状の領域で前記反応性ガスをプラズマによって活性化する工程と、
    を行なうように前記成膜装置を制御するためのプログラムを記憶することを特徴とする記憶媒体。
JP2006004192A 2005-03-11 2006-01-11 成膜方法、成膜装置及び記憶媒体 Active JP4506677B2 (ja)

Priority Applications (6)

Application Number Priority Date Filing Date Title
JP2006004192A JP4506677B2 (ja) 2005-03-11 2006-01-11 成膜方法、成膜装置及び記憶媒体
US11/367,339 US20060207504A1 (en) 2005-03-11 2006-03-06 Film formation method and apparatus for semiconductor process
TW095107830A TWI352380B (en) 2005-03-11 2006-03-08 Film formation apparatus for semiconductor process
KR1020060022476A KR100967238B1 (ko) 2005-03-11 2006-03-10 반도체 처리용 성막 방법 및 장치와, 컴퓨터로 판독 가능한 매체
CN2006100581791A CN1831192B (zh) 2005-03-11 2006-03-10 半导体处理用成膜方法和成膜装置
US12/167,270 US8343594B2 (en) 2005-03-11 2008-07-03 Film formation method and apparatus for semiconductor process

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
JP2005070034 2005-03-11
JP2006004192A JP4506677B2 (ja) 2005-03-11 2006-01-11 成膜方法、成膜装置及び記憶媒体

Publications (3)

Publication Number Publication Date
JP2006287195A JP2006287195A (ja) 2006-10-19
JP2006287195A5 JP2006287195A5 (ja) 2006-12-28
JP4506677B2 true JP4506677B2 (ja) 2010-07-21

Family

ID=37008987

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2006004192A Active JP4506677B2 (ja) 2005-03-11 2006-01-11 成膜方法、成膜装置及び記憶媒体

Country Status (5)

Country Link
US (2) US20060207504A1 (ja)
JP (1) JP4506677B2 (ja)
KR (1) KR100967238B1 (ja)
CN (1) CN1831192B (ja)
TW (1) TWI352380B (ja)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US11180645B2 (en) 2015-05-08 2021-11-23 Dow Global Technologies Llc Process for foaming polyolefin compositions using an azodicarbonamide/citrate mixture as a nucleating agent

Families Citing this family (226)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP4279176B2 (ja) * 2004-03-02 2009-06-17 株式会社アルバック シリコン窒化膜の形成方法
JP4258518B2 (ja) * 2005-03-09 2009-04-30 東京エレクトロン株式会社 成膜方法、成膜装置及び記憶媒体
JP4464949B2 (ja) * 2006-11-10 2010-05-19 株式会社日立国際電気 基板処理装置及び選択エピタキシャル膜成長方法
US20080213479A1 (en) 2007-02-16 2008-09-04 Tokyo Electron Limited SiCN film formation method and apparatus
JP4924437B2 (ja) * 2007-02-16 2012-04-25 東京エレクトロン株式会社 成膜方法及び成膜装置
US8084105B2 (en) * 2007-05-23 2011-12-27 Applied Materials, Inc. Method of depositing boron nitride and boron nitride-derived materials
JP5151260B2 (ja) * 2007-06-11 2013-02-27 東京エレクトロン株式会社 成膜方法及び成膜装置
US8337950B2 (en) * 2007-06-19 2012-12-25 Applied Materials, Inc. Method for depositing boron-rich films for lithographic mask applications
JP5090097B2 (ja) * 2007-07-26 2012-12-05 株式会社日立国際電気 基板処理装置、半導体装置の製造方法及び基板処理方法
US20090093100A1 (en) * 2007-10-09 2009-04-09 Li-Qun Xia Method for forming an air gap in multilevel interconnect structure
WO2009054232A1 (ja) * 2007-10-22 2009-04-30 Nanomaterial Laboratory Co., Ltd. 半導体製造装置、半導体製造方法及び電子機器
US20090159958A1 (en) * 2007-12-20 2009-06-25 Spansion Llc Electronic device including a silicon nitride layer and a process of forming the same
JP4611414B2 (ja) * 2007-12-26 2011-01-12 株式会社日立国際電気 半導体装置の製造方法、基板処理方法および基板処理装置
JP4935684B2 (ja) 2008-01-12 2012-05-23 東京エレクトロン株式会社 成膜方法及び成膜装置
JP4935687B2 (ja) * 2008-01-19 2012-05-23 東京エレクトロン株式会社 成膜方法及び成膜装置
US8148269B2 (en) * 2008-04-04 2012-04-03 Applied Materials, Inc. Boron nitride and boron-nitride derived materials deposition method
JP5233562B2 (ja) * 2008-10-04 2013-07-10 東京エレクトロン株式会社 成膜方法及び成膜装置
US7910491B2 (en) * 2008-10-16 2011-03-22 Applied Materials, Inc. Gapfill improvement with low etch rate dielectric liners
US8563090B2 (en) * 2008-10-16 2013-10-22 Applied Materials, Inc. Boron film interface engineering
JP5730496B2 (ja) * 2009-05-01 2015-06-10 株式会社日立国際電気 熱処理装置、半導体デバイスの製造方法および基板処理方法
JP5655429B2 (ja) * 2009-08-28 2015-01-21 三菱マテリアル株式会社 多結晶シリコンの製造方法、製造装置及び多結晶シリコン
US8409352B2 (en) * 2010-03-01 2013-04-02 Hitachi Kokusai Electric Inc. Method of manufacturing semiconductor device, method of manufacturing substrate and substrate processing apparatus
JP5462671B2 (ja) * 2010-03-15 2014-04-02 株式会社豊田中央研究所 気相成長方法
KR101366002B1 (ko) * 2010-04-09 2014-02-21 가부시키가이샤 히다치 고쿠사이 덴키 반도체 장치의 제조 방법, 기판 처리 방법 및 기판 처리 장치
US9611544B2 (en) 2010-04-15 2017-04-04 Novellus Systems, Inc. Plasma activated conformal dielectric film deposition
US8956983B2 (en) 2010-04-15 2015-02-17 Novellus Systems, Inc. Conformal doping via plasma activated atomic layer deposition and conformal film deposition
US9076646B2 (en) 2010-04-15 2015-07-07 Lam Research Corporation Plasma enhanced atomic layer deposition with pulsed plasma exposure
US9997357B2 (en) 2010-04-15 2018-06-12 Lam Research Corporation Capped ALD films for doping fin-shaped channel regions of 3-D IC transistors
US8637411B2 (en) 2010-04-15 2014-01-28 Novellus Systems, Inc. Plasma activated conformal dielectric film deposition
US8728956B2 (en) 2010-04-15 2014-05-20 Novellus Systems, Inc. Plasma activated conformal film deposition
US9257274B2 (en) 2010-04-15 2016-02-09 Lam Research Corporation Gapfill of variable aspect ratio features with a composite PEALD and PECVD method
US9892917B2 (en) 2010-04-15 2018-02-13 Lam Research Corporation Plasma assisted atomic layer deposition of multi-layer films for patterning applications
US9390909B2 (en) 2013-11-07 2016-07-12 Novellus Systems, Inc. Soft landing nanolaminates for advanced patterning
US9373500B2 (en) 2014-02-21 2016-06-21 Lam Research Corporation Plasma assisted atomic layer deposition titanium oxide for conformal encapsulation and gapfill applications
US9324576B2 (en) 2010-05-27 2016-04-26 Applied Materials, Inc. Selective etch for silicon films
US9685320B2 (en) 2010-09-23 2017-06-20 Lam Research Corporation Methods for depositing silicon oxide
KR101277966B1 (ko) * 2010-10-27 2013-06-27 현대제철 주식회사 일렉트로 가스 용접의 용접부 이면 냉각 장치
US8741778B2 (en) 2010-12-14 2014-06-03 Applied Materials, Inc. Uniform dry etch in two stages
US10283321B2 (en) 2011-01-18 2019-05-07 Applied Materials, Inc. Semiconductor processing system and methods using capacitively coupled plasma
US8771539B2 (en) 2011-02-22 2014-07-08 Applied Materials, Inc. Remotely-excited fluorine and water vapor etch
JP5886531B2 (ja) * 2011-02-24 2016-03-16 東京エレクトロン株式会社 成膜方法および成膜装置
US8999856B2 (en) 2011-03-14 2015-04-07 Applied Materials, Inc. Methods for etch of sin films
US9064815B2 (en) 2011-03-14 2015-06-23 Applied Materials, Inc. Methods for etch of metal and metal-oxide films
US8647993B2 (en) 2011-04-11 2014-02-11 Novellus Systems, Inc. Methods for UV-assisted conformal film deposition
US8771536B2 (en) 2011-08-01 2014-07-08 Applied Materials, Inc. Dry-etch for silicon-and-carbon-containing films
US8679982B2 (en) 2011-08-26 2014-03-25 Applied Materials, Inc. Selective suppression of dry-etch rate of materials containing both silicon and oxygen
US8679983B2 (en) 2011-09-01 2014-03-25 Applied Materials, Inc. Selective suppression of dry-etch rate of materials containing both silicon and nitrogen
US8927390B2 (en) 2011-09-26 2015-01-06 Applied Materials, Inc. Intrench profile
US8808563B2 (en) 2011-10-07 2014-08-19 Applied Materials, Inc. Selective etch of silicon by way of metastable hydrogen termination
WO2013070436A1 (en) 2011-11-08 2013-05-16 Applied Materials, Inc. Methods of reducing substrate dislocation during gapfill processing
US8592328B2 (en) * 2012-01-20 2013-11-26 Novellus Systems, Inc. Method for depositing a chlorine-free conformal sin film
JP5920242B2 (ja) * 2012-06-02 2016-05-18 東京エレクトロン株式会社 成膜方法及び成膜装置
US9267739B2 (en) 2012-07-18 2016-02-23 Applied Materials, Inc. Pedestal with multi-zone temperature control and multiple purge capabilities
US9373517B2 (en) 2012-08-02 2016-06-21 Applied Materials, Inc. Semiconductor processing with DC assisted RF power for improved control
US9034770B2 (en) 2012-09-17 2015-05-19 Applied Materials, Inc. Differential silicon oxide etch
US9023734B2 (en) 2012-09-18 2015-05-05 Applied Materials, Inc. Radical-component oxide etch
US9390937B2 (en) 2012-09-20 2016-07-12 Applied Materials, Inc. Silicon-carbon-nitride selective etch
US9132436B2 (en) 2012-09-21 2015-09-15 Applied Materials, Inc. Chemical control features in wafer process equipment
US9355839B2 (en) 2012-10-23 2016-05-31 Lam Research Corporation Sub-saturated atomic layer deposition and conformal film deposition
JP6538300B2 (ja) 2012-11-08 2019-07-03 ノベラス・システムズ・インコーポレーテッドNovellus Systems Incorporated 感受性基材上にフィルムを蒸着するための方法
SG2013083241A (en) 2012-11-08 2014-06-27 Novellus Systems Inc Conformal film deposition for gapfill
US8765574B2 (en) 2012-11-09 2014-07-01 Applied Materials, Inc. Dry etch process
US8969212B2 (en) 2012-11-20 2015-03-03 Applied Materials, Inc. Dry-etch selectivity
US9064816B2 (en) 2012-11-30 2015-06-23 Applied Materials, Inc. Dry-etch for selective oxidation removal
US8980763B2 (en) 2012-11-30 2015-03-17 Applied Materials, Inc. Dry-etch for selective tungsten removal
US9111877B2 (en) 2012-12-18 2015-08-18 Applied Materials, Inc. Non-local plasma oxide etch
US8921234B2 (en) 2012-12-21 2014-12-30 Applied Materials, Inc. Selective titanium nitride etching
US9018108B2 (en) 2013-01-25 2015-04-28 Applied Materials, Inc. Low shrinkage dielectric films
US10256079B2 (en) 2013-02-08 2019-04-09 Applied Materials, Inc. Semiconductor processing systems having multiple plasma configurations
JP5839606B2 (ja) * 2013-02-26 2016-01-06 東京エレクトロン株式会社 窒化膜を形成する方法
US9362130B2 (en) 2013-03-01 2016-06-07 Applied Materials, Inc. Enhanced etching processes using remote plasma sources
US9040422B2 (en) 2013-03-05 2015-05-26 Applied Materials, Inc. Selective titanium nitride removal
US8801952B1 (en) 2013-03-07 2014-08-12 Applied Materials, Inc. Conformal oxide dry etch
US10170282B2 (en) 2013-03-08 2019-01-01 Applied Materials, Inc. Insulated semiconductor faceplate designs
US20140271097A1 (en) 2013-03-15 2014-09-18 Applied Materials, Inc. Processing systems and methods for halide scavenging
US8895449B1 (en) 2013-05-16 2014-11-25 Applied Materials, Inc. Delicate dry clean
US9114438B2 (en) 2013-05-21 2015-08-25 Applied Materials, Inc. Copper residue chamber clean
US9493879B2 (en) 2013-07-12 2016-11-15 Applied Materials, Inc. Selective sputtering for pattern transfer
US9773648B2 (en) 2013-08-30 2017-09-26 Applied Materials, Inc. Dual discharge modes operation for remote plasma
US8956980B1 (en) 2013-09-16 2015-02-17 Applied Materials, Inc. Selective etch of silicon nitride
JP6267080B2 (ja) * 2013-10-07 2018-01-24 東京エレクトロン株式会社 シリコン窒化物膜の成膜方法および成膜装置
US8951429B1 (en) 2013-10-29 2015-02-10 Applied Materials, Inc. Tungsten oxide processing
US9576809B2 (en) 2013-11-04 2017-02-21 Applied Materials, Inc. Etch suppression with germanium
US9236265B2 (en) 2013-11-04 2016-01-12 Applied Materials, Inc. Silicon germanium processing
US9520303B2 (en) 2013-11-12 2016-12-13 Applied Materials, Inc. Aluminum selective etch
US9245762B2 (en) 2013-12-02 2016-01-26 Applied Materials, Inc. Procedure for etch rate consistency
US9117855B2 (en) 2013-12-04 2015-08-25 Applied Materials, Inc. Polarity control for remote plasma
US9287095B2 (en) 2013-12-17 2016-03-15 Applied Materials, Inc. Semiconductor system assemblies and methods of operation
US9263278B2 (en) 2013-12-17 2016-02-16 Applied Materials, Inc. Dopant etch selectivity control
US9190293B2 (en) 2013-12-18 2015-11-17 Applied Materials, Inc. Even tungsten etch for high aspect ratio trenches
US9287134B2 (en) 2014-01-17 2016-03-15 Applied Materials, Inc. Titanium oxide etch
US9293568B2 (en) 2014-01-27 2016-03-22 Applied Materials, Inc. Method of fin patterning
US9396989B2 (en) 2014-01-27 2016-07-19 Applied Materials, Inc. Air gaps between copper lines
US9385028B2 (en) 2014-02-03 2016-07-05 Applied Materials, Inc. Air gap process
US9214334B2 (en) 2014-02-18 2015-12-15 Lam Research Corporation High growth rate process for conformal aluminum nitride
US9499898B2 (en) 2014-03-03 2016-11-22 Applied Materials, Inc. Layered thin film heater and method of fabrication
US9299575B2 (en) 2014-03-17 2016-03-29 Applied Materials, Inc. Gas-phase tungsten etch
US9299537B2 (en) 2014-03-20 2016-03-29 Applied Materials, Inc. Radial waveguide systems and methods for post-match control of microwaves
US9299538B2 (en) 2014-03-20 2016-03-29 Applied Materials, Inc. Radial waveguide systems and methods for post-match control of microwaves
US9136273B1 (en) 2014-03-21 2015-09-15 Applied Materials, Inc. Flash gate air gap
US9903020B2 (en) 2014-03-31 2018-02-27 Applied Materials, Inc. Generation of compact alumina passivation layers on aluminum plasma equipment components
US9269590B2 (en) 2014-04-07 2016-02-23 Applied Materials, Inc. Spacer formation
US9309598B2 (en) 2014-05-28 2016-04-12 Applied Materials, Inc. Oxide and metal removal
US9847289B2 (en) 2014-05-30 2017-12-19 Applied Materials, Inc. Protective via cap for improved interconnect performance
US9406523B2 (en) 2014-06-19 2016-08-02 Applied Materials, Inc. Highly selective doped oxide removal method
US9378969B2 (en) 2014-06-19 2016-06-28 Applied Materials, Inc. Low temperature gas-phase carbon removal
US9425058B2 (en) 2014-07-24 2016-08-23 Applied Materials, Inc. Simplified litho-etch-litho-etch process
US9159606B1 (en) 2014-07-31 2015-10-13 Applied Materials, Inc. Metal air gap
US9496167B2 (en) 2014-07-31 2016-11-15 Applied Materials, Inc. Integrated bit-line airgap formation and gate stack post clean
US9378978B2 (en) 2014-07-31 2016-06-28 Applied Materials, Inc. Integrated oxide recess and floating gate fin trimming
US9165786B1 (en) 2014-08-05 2015-10-20 Applied Materials, Inc. Integrated oxide and nitride recess for better channel contact in 3D architectures
US9659753B2 (en) 2014-08-07 2017-05-23 Applied Materials, Inc. Grooved insulator to reduce leakage current
US9553102B2 (en) 2014-08-19 2017-01-24 Applied Materials, Inc. Tungsten separation
US9478411B2 (en) 2014-08-20 2016-10-25 Lam Research Corporation Method to tune TiOx stoichiometry using atomic layer deposited Ti film to minimize contact resistance for TiOx/Ti based MIS contact scheme for CMOS
US9478438B2 (en) 2014-08-20 2016-10-25 Lam Research Corporation Method and apparatus to deposit pure titanium thin film at low temperature using titanium tetraiodide precursor
US9355856B2 (en) 2014-09-12 2016-05-31 Applied Materials, Inc. V trench dry etch
US9368364B2 (en) 2014-09-24 2016-06-14 Applied Materials, Inc. Silicon etch process with tunable selectivity to SiO2 and other materials
US9478434B2 (en) 2014-09-24 2016-10-25 Applied Materials, Inc. Chlorine-based hardmask removal
US9214333B1 (en) 2014-09-24 2015-12-15 Lam Research Corporation Methods and apparatuses for uniform reduction of the in-feature wet etch rate of a silicon nitride film formed by ALD
US9613822B2 (en) 2014-09-25 2017-04-04 Applied Materials, Inc. Oxide etch selectivity enhancement
US9355922B2 (en) 2014-10-14 2016-05-31 Applied Materials, Inc. Systems and methods for internal surface conditioning in plasma processing equipment
US9966240B2 (en) 2014-10-14 2018-05-08 Applied Materials, Inc. Systems and methods for internal surface conditioning assessment in plasma processing equipment
US9589790B2 (en) 2014-11-24 2017-03-07 Lam Research Corporation Method of depositing ammonia free and chlorine free conformal silicon nitride film
US9564312B2 (en) 2014-11-24 2017-02-07 Lam Research Corporation Selective inhibition in atomic layer deposition of silicon-containing films
US11637002B2 (en) 2014-11-26 2023-04-25 Applied Materials, Inc. Methods and systems to enhance process uniformity
US9299583B1 (en) 2014-12-05 2016-03-29 Applied Materials, Inc. Aluminum oxide selective etch
US10224210B2 (en) 2014-12-09 2019-03-05 Applied Materials, Inc. Plasma processing system with direct outlet toroidal plasma source
US10573496B2 (en) 2014-12-09 2020-02-25 Applied Materials, Inc. Direct outlet toroidal plasma source
US9502258B2 (en) 2014-12-23 2016-11-22 Applied Materials, Inc. Anisotropic gap etch
US9343272B1 (en) 2015-01-08 2016-05-17 Applied Materials, Inc. Self-aligned process
US11257693B2 (en) 2015-01-09 2022-02-22 Applied Materials, Inc. Methods and systems to improve pedestal temperature control
US9373522B1 (en) 2015-01-22 2016-06-21 Applied Mateials, Inc. Titanium nitride removal
US9449846B2 (en) 2015-01-28 2016-09-20 Applied Materials, Inc. Vertical gate separation
US20160225652A1 (en) 2015-02-03 2016-08-04 Applied Materials, Inc. Low temperature chuck for plasma processing systems
US9728437B2 (en) 2015-02-03 2017-08-08 Applied Materials, Inc. High temperature chuck for plasma processing systems
US9881805B2 (en) 2015-03-02 2018-01-30 Applied Materials, Inc. Silicon selective removal
US10566187B2 (en) 2015-03-20 2020-02-18 Lam Research Corporation Ultrathin atomic layer deposition film accuracy thickness control
US9502238B2 (en) 2015-04-03 2016-11-22 Lam Research Corporation Deposition of conformal films by atomic layer deposition and atomic layer etch
US10526701B2 (en) 2015-07-09 2020-01-07 Lam Research Corporation Multi-cycle ALD process for film uniformity and thickness profile modulation
US9741593B2 (en) 2015-08-06 2017-08-22 Applied Materials, Inc. Thermal management systems and methods for wafer processing systems
US9691645B2 (en) 2015-08-06 2017-06-27 Applied Materials, Inc. Bolted wafer chuck thermal management systems and methods for wafer processing systems
US9349605B1 (en) 2015-08-07 2016-05-24 Applied Materials, Inc. Oxide etch selectivity systems and methods
GB201514542D0 (en) 2015-08-14 2015-09-30 Thomas Simon C S A method of producing graphene
US10504700B2 (en) 2015-08-27 2019-12-10 Applied Materials, Inc. Plasma etching systems and methods with secondary plasma injection
US9601693B1 (en) 2015-09-24 2017-03-21 Lam Research Corporation Method for encapsulating a chalcogenide material
US10522371B2 (en) 2016-05-19 2019-12-31 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US10504754B2 (en) 2016-05-19 2019-12-10 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US9865484B1 (en) 2016-06-29 2018-01-09 Applied Materials, Inc. Selective etch using material modification and RF pulsing
US9773643B1 (en) 2016-06-30 2017-09-26 Lam Research Corporation Apparatus and method for deposition and etch in gap fill
US10062563B2 (en) 2016-07-01 2018-08-28 Lam Research Corporation Selective atomic layer deposition with post-dose treatment
US10629435B2 (en) 2016-07-29 2020-04-21 Lam Research Corporation Doped ALD films for semiconductor patterning applications
US10074543B2 (en) 2016-08-31 2018-09-11 Lam Research Corporation High dry etch rate materials for semiconductor patterning applications
US10037884B2 (en) 2016-08-31 2018-07-31 Lam Research Corporation Selective atomic layer deposition for gapfill using sacrificial underlayer
US9865455B1 (en) 2016-09-07 2018-01-09 Lam Research Corporation Nitride film formed by plasma-enhanced and thermal atomic layer deposition process
US10629473B2 (en) 2016-09-09 2020-04-21 Applied Materials, Inc. Footing removal for nitride spacer
US10062575B2 (en) 2016-09-09 2018-08-28 Applied Materials, Inc. Poly directional etch by oxidation
US10062585B2 (en) 2016-10-04 2018-08-28 Applied Materials, Inc. Oxygen compatible plasma source
US10546729B2 (en) 2016-10-04 2020-01-28 Applied Materials, Inc. Dual-channel showerhead with improved profile
US9721789B1 (en) 2016-10-04 2017-08-01 Applied Materials, Inc. Saving ion-damaged spacers
US9934942B1 (en) 2016-10-04 2018-04-03 Applied Materials, Inc. Chamber with flow-through source
US10062579B2 (en) 2016-10-07 2018-08-28 Applied Materials, Inc. Selective SiN lateral recess
US9947549B1 (en) 2016-10-10 2018-04-17 Applied Materials, Inc. Cobalt-containing material removal
US10454029B2 (en) 2016-11-11 2019-10-22 Lam Research Corporation Method for reducing the wet etch rate of a sin film without damaging the underlying substrate
US10163696B2 (en) 2016-11-11 2018-12-25 Applied Materials, Inc. Selective cobalt removal for bottom up gapfill
US10832908B2 (en) 2016-11-11 2020-11-10 Lam Research Corporation Self-aligned multi-patterning process flow with ALD gapfill spacer mask
US9768034B1 (en) 2016-11-11 2017-09-19 Applied Materials, Inc. Removal methods for high aspect ratio structures
US10242908B2 (en) 2016-11-14 2019-03-26 Applied Materials, Inc. Airgap formation with damage-free copper
US10134579B2 (en) 2016-11-14 2018-11-20 Lam Research Corporation Method for high modulus ALD SiO2 spacer
US10026621B2 (en) 2016-11-14 2018-07-17 Applied Materials, Inc. SiN spacer profile patterning
JP6733516B2 (ja) 2016-11-21 2020-08-05 東京エレクトロン株式会社 半導体装置の製造方法
US10280519B2 (en) 2016-12-09 2019-05-07 Asm Ip Holding B.V. Thermal atomic layer etching processes
US10283319B2 (en) 2016-12-22 2019-05-07 Asm Ip Holding B.V. Atomic layer etching processes
WO2018118288A1 (en) * 2016-12-22 2018-06-28 Applied Materials, Inc. Sibn film for conformal hermetic dielectric encapsulation without direct rf exposure to underlying structure material
US10566206B2 (en) 2016-12-27 2020-02-18 Applied Materials, Inc. Systems and methods for anisotropic material breakthrough
US10403507B2 (en) 2017-02-03 2019-09-03 Applied Materials, Inc. Shaped etch profile with oxidation
US10431429B2 (en) 2017-02-03 2019-10-01 Applied Materials, Inc. Systems and methods for radial and azimuthal control of plasma uniformity
US10043684B1 (en) 2017-02-06 2018-08-07 Applied Materials, Inc. Self-limiting atomic thermal etching systems and methods
US10319739B2 (en) 2017-02-08 2019-06-11 Applied Materials, Inc. Accommodating imperfectly aligned memory holes
US10943834B2 (en) 2017-03-13 2021-03-09 Applied Materials, Inc. Replacement contact process
US10319649B2 (en) 2017-04-11 2019-06-11 Applied Materials, Inc. Optical emission spectroscopy (OES) for remote plasma monitoring
US11276590B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Multi-zone semiconductor substrate supports
US11276559B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Semiconductor processing chamber for multiple precursor flow
US10049891B1 (en) 2017-05-31 2018-08-14 Applied Materials, Inc. Selective in situ cobalt residue removal
US10497579B2 (en) 2017-05-31 2019-12-03 Applied Materials, Inc. Water-free etching methods
US10920320B2 (en) 2017-06-16 2021-02-16 Applied Materials, Inc. Plasma health determination in semiconductor substrate processing reactors
US10541246B2 (en) 2017-06-26 2020-01-21 Applied Materials, Inc. 3D flash memory cells which discourage cross-cell electrical tunneling
US10727080B2 (en) 2017-07-07 2020-07-28 Applied Materials, Inc. Tantalum-containing material removal
US10541184B2 (en) 2017-07-11 2020-01-21 Applied Materials, Inc. Optical emission spectroscopic techniques for monitoring etching
US10354889B2 (en) 2017-07-17 2019-07-16 Applied Materials, Inc. Non-halogen etching of silicon-containing materials
US10170336B1 (en) 2017-08-04 2019-01-01 Applied Materials, Inc. Methods for anisotropic control of selective silicon removal
US10043674B1 (en) 2017-08-04 2018-08-07 Applied Materials, Inc. Germanium etching systems and methods
US10297458B2 (en) 2017-08-07 2019-05-21 Applied Materials, Inc. Process window widening using coated parts in plasma etch processes
US10269559B2 (en) 2017-09-13 2019-04-23 Lam Research Corporation Dielectric gapfill of high aspect ratio features utilizing a sacrificial etch cap layer
US10128086B1 (en) 2017-10-24 2018-11-13 Applied Materials, Inc. Silicon pretreatment for nitride removal
US10283324B1 (en) 2017-10-24 2019-05-07 Applied Materials, Inc. Oxygen treatment for nitride etching
US10256112B1 (en) 2017-12-08 2019-04-09 Applied Materials, Inc. Selective tungsten removal
US10903054B2 (en) 2017-12-19 2021-01-26 Applied Materials, Inc. Multi-zone gas distribution systems and methods
US11328909B2 (en) 2017-12-22 2022-05-10 Applied Materials, Inc. Chamber conditioning and removal processes
US10854426B2 (en) 2018-01-08 2020-12-01 Applied Materials, Inc. Metal recess for semiconductor structures
US10964512B2 (en) 2018-02-15 2021-03-30 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus and methods
US10679870B2 (en) 2018-02-15 2020-06-09 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus
TWI716818B (zh) 2018-02-28 2021-01-21 美商應用材料股份有限公司 形成氣隙的系統及方法
US10593560B2 (en) 2018-03-01 2020-03-17 Applied Materials, Inc. Magnetic induction plasma source for semiconductor processes and equipment
WO2019169335A1 (en) 2018-03-02 2019-09-06 Lam Research Corporation Selective deposition using hydrolysis
US10319600B1 (en) 2018-03-12 2019-06-11 Applied Materials, Inc. Thermal silicon etch
US10497573B2 (en) 2018-03-13 2019-12-03 Applied Materials, Inc. Selective atomic layer etching of semiconductor materials
US10573527B2 (en) 2018-04-06 2020-02-25 Applied Materials, Inc. Gas-phase selective etching systems and methods
US10490406B2 (en) 2018-04-10 2019-11-26 Appled Materials, Inc. Systems and methods for material breakthrough
US10699879B2 (en) 2018-04-17 2020-06-30 Applied Materials, Inc. Two piece electrode assembly with gap for plasma control
US10886137B2 (en) 2018-04-30 2021-01-05 Applied Materials, Inc. Selective nitride removal
US10872778B2 (en) 2018-07-06 2020-12-22 Applied Materials, Inc. Systems and methods utilizing solid-phase etchants
US10755941B2 (en) 2018-07-06 2020-08-25 Applied Materials, Inc. Self-limiting selective etching systems and methods
US10672642B2 (en) 2018-07-24 2020-06-02 Applied Materials, Inc. Systems and methods for pedestal configuration
US11049755B2 (en) 2018-09-14 2021-06-29 Applied Materials, Inc. Semiconductor substrate supports with embedded RF shield
US10892198B2 (en) 2018-09-14 2021-01-12 Applied Materials, Inc. Systems and methods for improved performance in semiconductor processing
US11062887B2 (en) 2018-09-17 2021-07-13 Applied Materials, Inc. High temperature RF heater pedestals
US11417534B2 (en) 2018-09-21 2022-08-16 Applied Materials, Inc. Selective material removal
US11682560B2 (en) 2018-10-11 2023-06-20 Applied Materials, Inc. Systems and methods for hafnium-containing film removal
US11121002B2 (en) 2018-10-24 2021-09-14 Applied Materials, Inc. Systems and methods for etching metals and metal derivatives
CN109234703B (zh) * 2018-11-27 2020-10-16 湖南顶立科技有限公司 一种气相沉积系统
US11437242B2 (en) 2018-11-27 2022-09-06 Applied Materials, Inc. Selective removal of silicon-containing materials
US11721527B2 (en) 2019-01-07 2023-08-08 Applied Materials, Inc. Processing chamber mixing systems
US10920319B2 (en) 2019-01-11 2021-02-16 Applied Materials, Inc. Ceramic showerheads with conductive electrodes
JP2021019201A (ja) 2019-07-18 2021-02-15 エーエスエム アイピー ホールディング ビー.ブイ. 半導体処理システム用シャワーヘッドデバイス
US11574813B2 (en) 2019-12-10 2023-02-07 Asm Ip Holding B.V. Atomic layer etching
WO2023175740A1 (ja) * 2022-03-15 2023-09-21 株式会社Kokusai Electric 基板処理装置、基板処理方法、半導体装置の製造方法、プログラム及びガス供給ユニット

Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2000058527A (ja) * 1998-08-05 2000-02-25 Toshiba Mach Co Ltd 回転型気相成長装置及び気相成長方法
JP2004134466A (ja) * 2002-10-08 2004-04-30 Hitachi Kokusai Electric Inc 基板処埋装置
JP2004343017A (ja) * 2003-05-19 2004-12-02 Tokyo Electron Ltd プラズマ処理装置

Family Cites Families (21)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US3721583A (en) * 1970-12-08 1973-03-20 Ibm Vapor phase epitaxial deposition process for forming superlattice structure
JPS6262529A (ja) * 1985-09-12 1987-03-19 Toppan Printing Co Ltd 窒化シリコン膜の作成方法
JPS62156822A (ja) * 1985-12-27 1987-07-11 Nippon Telegr & Teleph Corp <Ntt> 絶縁薄膜とその形成方法及び形成装置
JPH0642474B2 (ja) * 1988-03-31 1994-06-01 株式会社東芝 半導体製造装置
US5040046A (en) * 1990-10-09 1991-08-13 Micron Technology, Inc. Process for forming highly conformal dielectric coatings in the manufacture of integrated circuits and product produced thereby
US5225378A (en) * 1990-11-16 1993-07-06 Tokyo Electron Limited Method of forming a phosphorus doped silicon film
JP2912059B2 (ja) * 1991-08-27 1999-06-28 山形日本電気株式会社 常圧cvd装置
JP2833946B2 (ja) * 1992-12-08 1998-12-09 日本電気株式会社 エッチング方法および装置
JPH06314660A (ja) * 1993-03-04 1994-11-08 Mitsubishi Electric Corp 薄膜形成法及びその装置
JP3590451B2 (ja) 1995-05-30 2004-11-17 アネルバ株式会社 絶縁膜の作成方法
JPH10306377A (ja) * 1997-05-02 1998-11-17 Tokyo Electron Ltd 微量ガス供給方法及びその装置
CN100371491C (zh) * 1999-08-17 2008-02-27 东京电子株式会社 脉冲等离子体处理方法及其设备
JP2002134497A (ja) 2000-10-23 2002-05-10 Sony Corp 半導体装置の製造方法
JP3403181B2 (ja) * 2001-03-30 2003-05-06 東京エレクトロン株式会社 熱処理装置及び熱処理方法
CN100462475C (zh) * 2001-08-29 2009-02-18 东京电子株式会社 用于等离子处理的装置和方法
CN100373559C (zh) * 2002-01-15 2008-03-05 东京毅力科创株式会社 形成含硅绝缘膜的cvd方法和装置
KR100449028B1 (ko) * 2002-03-05 2004-09-16 삼성전자주식회사 원자층 증착법을 이용한 박막 형성방법
US7439191B2 (en) * 2002-04-05 2008-10-21 Applied Materials, Inc. Deposition of silicon layers for active matrix liquid crystal display (AMLCD) applications
KR20030081144A (ko) 2002-04-11 2003-10-17 가부시키가이샤 히다치 고쿠사이 덴키 종형 반도체 제조 장치
JP3947126B2 (ja) * 2002-04-11 2007-07-18 株式会社日立国際電気 半導体製造装置
CN1670244B (zh) * 2004-03-20 2010-05-05 鸿富锦精密工业(深圳)有限公司 气体配制系统及其气体配制方法

Patent Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2000058527A (ja) * 1998-08-05 2000-02-25 Toshiba Mach Co Ltd 回転型気相成長装置及び気相成長方法
JP2004134466A (ja) * 2002-10-08 2004-04-30 Hitachi Kokusai Electric Inc 基板処埋装置
JP2004343017A (ja) * 2003-05-19 2004-12-02 Tokyo Electron Ltd プラズマ処理装置

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US11180645B2 (en) 2015-05-08 2021-11-23 Dow Global Technologies Llc Process for foaming polyolefin compositions using an azodicarbonamide/citrate mixture as a nucleating agent

Also Published As

Publication number Publication date
US8343594B2 (en) 2013-01-01
KR20060097672A (ko) 2006-09-14
CN1831192B (zh) 2011-06-29
US20060207504A1 (en) 2006-09-21
CN1831192A (zh) 2006-09-13
KR100967238B1 (ko) 2010-06-30
US20080274302A1 (en) 2008-11-06
TWI352380B (en) 2011-11-11
TW200710952A (en) 2007-03-16
JP2006287195A (ja) 2006-10-19

Similar Documents

Publication Publication Date Title
JP4506677B2 (ja) 成膜方法、成膜装置及び記憶媒体
JP5920242B2 (ja) 成膜方法及び成膜装置
JP4179311B2 (ja) 成膜方法、成膜装置及び記憶媒体
JP4396547B2 (ja) 成膜方法、成膜装置及び記憶媒体
JP4935687B2 (ja) 成膜方法及び成膜装置
JP5190307B2 (ja) 成膜方法、成膜装置及び記憶媒体
JP5233562B2 (ja) 成膜方法及び成膜装置
JP4258518B2 (ja) 成膜方法、成膜装置及び記憶媒体
JP5151260B2 (ja) 成膜方法及び成膜装置
US9349587B2 (en) Method of manufacturing semiconductor device and method of processing substrate and substrate processing apparatus
JP4305427B2 (ja) 成膜方法、成膜装置及び記憶媒体
JP4929811B2 (ja) プラズマ処理装置
JP4929932B2 (ja) 成膜方法、成膜装置及び記憶媒体
JP4935684B2 (ja) 成膜方法及び成膜装置
JP5287964B2 (ja) 成膜方法及び成膜装置
US9318316B2 (en) Method of manufacturing semiconductor device, method of processing substrate and substrate processing apparatus for forming thin film containing at least two different elements
JP4893729B2 (ja) 成膜方法、成膜装置及び記憶媒体
KR101503725B1 (ko) 성막 방법 및 성막 장치
JP6024484B2 (ja) 成膜方法及び成膜装置
US20120267340A1 (en) Film deposition method and film deposition apparatus
JP5887962B2 (ja) 成膜装置
JP2009260151A (ja) 金属ドープ層の形成方法、成膜装置及び記憶媒体
JP2006066884A (ja) 成膜方法、成膜装置及び記憶媒体
JP5082595B2 (ja) 成膜装置
US11804365B2 (en) Substrate processing apparatus, plasma generating apparatus, and method of manufacturing semiconductor device

Legal Events

Date Code Title Description
A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20061109

A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20080528

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20090224

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20090413

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20100209

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20100310

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20100406

A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20100419

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20130514

Year of fee payment: 3

R150 Certificate of patent or registration of utility model

Ref document number: 4506677

Country of ref document: JP

Free format text: JAPANESE INTERMEDIATE CODE: R150

Free format text: JAPANESE INTERMEDIATE CODE: R150

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20130514

Year of fee payment: 3

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250