KR20060097672A - 반도체 처리용 성막 방법 및 장치 - Google Patents

반도체 처리용 성막 방법 및 장치 Download PDF

Info

Publication number
KR20060097672A
KR20060097672A KR1020060022476A KR20060022476A KR20060097672A KR 20060097672 A KR20060097672 A KR 20060097672A KR 1020060022476 A KR1020060022476 A KR 1020060022476A KR 20060022476 A KR20060022476 A KR 20060022476A KR 20060097672 A KR20060097672 A KR 20060097672A
Authority
KR
South Korea
Prior art keywords
gas
processing
processing gas
region
supplying
Prior art date
Application number
KR1020060022476A
Other languages
English (en)
Other versions
KR100967238B1 (ko
Inventor
가즈히데 하세베
미쯔히로 오까다
김채호
이병훈
파오화 주
Original Assignee
도쿄 엘렉트론 가부시키가이샤
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 도쿄 엘렉트론 가부시키가이샤 filed Critical 도쿄 엘렉트론 가부시키가이샤
Publication of KR20060097672A publication Critical patent/KR20060097672A/ko
Application granted granted Critical
Publication of KR100967238B1 publication Critical patent/KR100967238B1/ko

Links

Images

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45512Premixing before introduction in the reaction chamber
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/34Nitrides
    • C23C16/345Silicon nitride
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45527Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations
    • C23C16/45531Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations specially adapted for making ternary or higher compositions
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45527Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations
    • C23C16/45536Use of plasma, radiation or electromagnetic fields
    • C23C16/45542Plasma being used non-continuously during the ALD reactions
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45553Atomic layer deposition [ALD] characterized by the use of precursors specially adapted for ALD
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45563Gas nozzles
    • C23C16/45578Elongated nozzles, tubes with holes
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/50Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges
    • C23C16/505Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges using radio frequency discharges
    • C23C16/509Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges using radio frequency discharges using internal electrodes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/0217Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon nitride not containing oxygen, e.g. SixNy or SixByNz
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02205Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
    • H01L21/02208Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si
    • H01L21/02211Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound being a silane, e.g. disilane, methylsilane or chlorosilane
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02205Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
    • H01L21/02208Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si
    • H01L21/02219Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound comprising silicon and nitrogen
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/02274Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition in the presence of a plasma [PECVD]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/0228Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition deposition by cyclic CVD, e.g. ALD, ALE, pulsed CVD

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Organic Chemistry (AREA)
  • General Chemical & Material Sciences (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Physics & Mathematics (AREA)
  • Plasma & Fusion (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Inorganic Chemistry (AREA)
  • Electromagnetism (AREA)
  • Chemical Vapour Deposition (AREA)
  • Formation Of Insulating Films (AREA)

Abstract

본 발명의 반도체 처리용 성막 장치는 처리 가스를 처리 영역 내에 공급하는 처리 가스 공급 시스템을 포함한다. 처리 가스 공급 시스템은 제1 및 제3 처리 가스를 혼합하여 혼합 가스를 형성하는 가스 혼합 탱크와, 가스 혼합 탱크로부터 처리 영역에 혼합 가스를 공급하는 혼합 가스 공급 라인과, 가스 혼합 탱크를 경유하지 않고 처리 영역에 제2 처리 가스를 공급하는 제2 처리 가스 공급 라인을 갖는 제2 처리 가스 공급계와, 혼합 가스 공급 라인 및 제2 처리 가스 공급 라인에 각각 배치된 제1 및 제2 개폐 밸브를 포함한다. 제어부는 혼합 가스와 제2 처리 가스를 처리 영역에 대해 교대로 펄스형으로 공급하도록 제1 및 제2 개방 밸브의 개폐를 제어한다.
성막 장치, 처리 용기, 웨이퍼 보트, 회전축, 테이블

Description

반도체 처리용 성막 방법 및 장치{FILM FORMATION METHOD AND APPARATUS FOR SEMICONDUCTOR PROCESS}
도1은 본 발명의 제1 실시 형태에 관한 성막 장치(종형 CVD 장치)를 도시하는 단면도.
도2는 도1에 도시한 장치의 일부를 도시하는 횡단 평면도.
도3은 제1 실시 형태에 관한 성막 방법에 있어서의 가스 공급 및 RF(고주파) 인가의 형태를 나타내는 타이밍도.
도4는 본 발명의 제2 실시 형태에 관한 성막 장치(종형 CVD 장치)를 도시하는 단면도.
도5는 제2 실시 형태의 변경예에 관한 성막 장치의 가스 공급 시스템의 일부를 도시하는 도면.
도6은 주제어부의 구성의 개략을 나타내는 블럭도.
<도면의 주요 부분에 대한 부호의 설명>
2 : 성막 장치
4 : 처리 용기
5 : 처리 영역
6 : 천정판
8 : 매니폴드
10, 24 : 밀봉 부재
12 : 웨이퍼 보트
14 : 보온통
16 : 테이블
18 : 덮개
20 : 회전축
25 : 승강 기구
28 : 제2 처리 가스 공급계
30 : 제1 처리 가스 공급계
32 : 제3 처리 가스 공급계
34 : 제4 처리 가스 공급계
35 : 혼합 가스 공급계
36 : 퍼지 가스 공급계
38, 40 : 가스 분산 노즐
38A, 40A : 가스 분사 구멍
42 : 가스 혼합 탱크
44 : 혼합 가스 공급 라인
52 : 혼합 가스 탱크
48A, 50A, 52A, 54A, 56A : 개폐 밸브
48B, 50B, 52B, 54B, 56B : 유량 제어기
66 : 가스 여기부
68 : 배기구
70 : 개구
72 : 커버
74 : 전극
76 : 고주파 전원
78 : 급전 라인
80 : 절연 보호 커버
82 : 배기 커버 부재
84 : 가스 출구
86 : 히터
212 : 기억부
[문헌 1] 일본 특허 공개 평6-34974호 공보
[문헌 2] 일본 특허 공개 제2004-6801호 공보
본 발명은 반도체 웨이퍼 등의 피처리 기판 상에 박막을 형성하는 반도체 처 리용 성막 장치 및 방법에 관한 것이다. 여기서, 반도체 처리라 함은, 웨이퍼나 LCD(Liquid Crystal Display)나 FPD(Flat Panel Display)용 유리 기판 등의 피처리 기판 상에 반도체층, 절연층 및 도전층 등을 소정의 패턴으로 형성함으로써, 상기 피처리 기판 상에 반도체 디바이스나, 반도체 디바이스에 접속되는 배선 및 전극 등을 포함하는 구조물을 제조하기 위해 실시되는 다양한 처리를 의미한다.
반도체 집적 회로를 구성하는 반도체 디바이스의 제조에 있어서는, 피처리 기판, 예를 들어 반도체 웨이퍼에 성막, 에칭, 산화, 확산, 개질, 어닐링 및 자연 산화막의 제거 등의 각종의 처리가 실시된다. 일본 특허 공개 평6-34974호 공보는 종형의[소위 뱃치(batch)식의] 열처리 장치에 있어서의 이러한 종류의 반도체 처리방법을 개시한다. 이 방법에서는, 우선 반도체 웨이퍼가 웨이퍼 카세트로부터 종형의 웨이퍼 보트 상에 이동 탑재되어 다단으로 지지된다. 웨이퍼 카세트에는, 예를 들어 25매의 웨이퍼를 수용할 수 있고, 웨이퍼 보트에는 30매 내지 150매의 웨이퍼를 적재할 수 있다. 다음에, 웨이퍼 보트가 처리 용기의 하방으로부터 그 내부에 로드되는 동시에 처리 용기가 기밀하게 폐쇄된다. 다음에, 처리 가스의 유량을 처리 압력 및 처리 온도 등의 각종의 처리 조건이 제어된 상태에서 소정의 열처리가 행해진다.
종래, 반도체 디바이스의 절연막으로서 실리콘 산화막(SiO2막)이 주로 사용되고 있었다. 그러나, 최근 반도체 집적 회로의 고집적화 및 고미세화의 요구에 수반하고, 용도에 따라서 실리콘 산화막 대신에 실리콘 질화막(Si3N4막)이 사용되어 있다(일본 특허 공개 평6-34974호 공보). 예를 들어, 실리콘 질화막은 내산화막, 불순물의 확산 방지막 및 게이트 전극 구조의 사이드벽막으로서 배치된다. 실리콘 질화막은 불순물의 확산 계수가 낮고, 또한 산화 배리어성이 높기 때문에, 상술한 바와 같은 절연막으로서 매우 적합하다. 또한 마찬가지의 목적으로 붕소 질화막(BN막)도 주목받고 있다.
예를 들어, 실란계 가스인 디클로로실란(DCS)과 질화 가스인 NH3을 이용하여 실리콘 질화막(SiN)을 형성하는 경우, 이하와 같은 처리가 행해진다. 즉, 처리 용기 내에 DCS와 NH3 가스가 퍼지 기간을 협지하여 교대로 간헐적으로 공급된다. NH3 가스를 공급할 때에 RF(고주파)가 인가됨으로써 처리 용기 내에 플라즈마가 생성되어 질화 반응이 촉진된다. 여기서, 우선, DCS가 처리 용기 내로 공급됨으로써 웨이퍼 표면 상에 DCS가 분자 레벨로 한층 혹은 복수층 흡착한다. 여분의 DCS는 퍼지 기간 동안에 배제된다. 다음에, NH3이 공급되어 플라즈마가 생성됨으로써 저온에서의 질화에 의해 실리콘 산화막이 형성된다. 이러한 일련의 공정이 반복 행해져 소정의 두께의 막이 완성된다.
한편, 최근 반도체 디바이스의 동작 속도의 고속화도 중요한 요소가 되고 있다. 이 점에 관한 것으로, 실리콘 질화막은 유전율이 비교적 높고, 기생 용량을 증대시키기 때문에 문제가 생긴다. 즉, 기생 용량이 커지면 전자의 이동도가 억제되어 디바이스의 동작 속도가 저하된다. 또한, 실리콘 질화막을 전하 축적형 센서에 이용한 경우에는 기생 용량에 의해 배경 레벨이 증가한다는 문제도 있다.
이러한 관점으로부터, 실리콘 질화막에 불순물을 도프함으로써 불순물의 확산 계수나 산화 배리어성을 유지하면서 유전율을 저하시키는 것이 제안되어 있다. 일본 특허 공개 제2004-6801호는 CVD(Chemical Vapor Deposition)에 의해 불순물로서 붕소(B)를 도프한 실리콘 질화막을 형성하는 방법을 개시한다. 이 붕소 함유 실리콘 질화막(SiBN)은 불순물의 확산 계수가 낮고, 산화 배리어성이 높고, 또한 유전율도 매우 작기 때문에 절연막으로서 매우 우수하다.
그러나, 후술하는 바와 같이, 본 발명자들에 따르면 첨가 가스와 같은 공급량이 적은 처리 가스를 사용하는 경우, 종래의 종형의(소위 뱃치식의) 열처리 장치에서는 퇴적막의 조성의 면간 균일성이 악화되는 경향이 있는 것이 발견되어 있다.
본 발명은 처리 용기의 높이 방향에 있어서 첨가 가스와 같은 공급량이 적은 처리 가스를 균일하게 공급함으로써, 퇴적막의 조성의 면간 균일성을 향상시키는 반도체 처리용 성막 장치 및 방법을 제공하는 것을 목적으로 한다.
본 발명의 제1 시점은 반도체 처리용 성막 장치이며,
간격을 두고 적층된 복수의 피처리 기판을 수납하는 처리 영역을 갖는 처리 용기와,
상기 처리 영역 내에서 상기 피처리 기판을 지지하는 지지 부재와,
상기 처리 영역 내의 상기 피처리 기판을 가열하는 히터와,
상기 처리 영역 내를 배기하는 배기계와,
상기 피처리 기판 상에 박막을 퇴적하기 위한 처리 가스를 상기 처리 영역 내에 공급하는 처리 가스 공급 시스템과,
상기 처리 가스 공급 시스템을 포함하는 상기 장치의 동작을 제어하는 제어부를 구비하고,
상기 처리 가스는 상기 박막의 주원료를 제공하는 제1 처리 가스와, 상기 제1 처리 가스와 반응하는 제2 처리 가스와, 상기 박막의 부원료를 제공하는 제3 처리 가스를 포함하고,
상기 처리 가스 공급 시스템은,
상기 제1 및 제3 처리 가스를 혼합하여 혼합 가스를 형성하기 위해 상기 처리 용기 밖에 배치된 가스 혼합 탱크와,
상기 가스 혼합 탱크로부터 상기 처리 영역에 상기 혼합 가스를 공급하는 혼합 가스 공급 라인과,
상기 가스 혼합 탱크에 상기 제1 및 제3 처리 가스를 각각 공급하는 제1 및 제3 처리 가스 공급계와,
상기 가스 혼합 탱크를 경유하지 않고 상기 처리 영역에 상기 제2 처리 가스를 공급하는 제2 처리 가스 공급 라인을 갖는 제2 처리 가스 공급계와,
상기 혼합 가스 공급 라인 및 상기 제2 처리 가스 공급 라인에 각각 배치된 제1 및 제2 개폐 밸브를 구비하고,
상기 제어부는 상기 가스 혼합 탱크로부터의 상기 혼합 가스와, 상기 제2 처리 가스 공급계로부터의 상기 제2 처리 가스를 상기 처리 영역에 대해 교대로 펄스형으로 공급하도록 상기 제1 및 제2 개폐 밸브의 개폐를 제어한다.
본 발명의 제2 시점은 반도체 처리용 성막 장치이며,
간격을 두고 적층된 복수의 피처리 기판을 수납하는 처리 영역을 갖는 처리 용기와,
상기 처리 영역 내에서 상기 피처리 기판을 지지하는 지지 부재와,
상기 처리 영역 내의 상기 피처리 기판을 가열하는 히터와,
상기 처리 영역 내를 배기하는 배기계와,
상기 피처리 기판 상에 박막을 퇴적하기 위한 처리 가스를 상기 처리 영역 내에 공급하는 처리 가스 공급 시스템과,
상기 처리 가스 공급 시스템을 포함하는 상기 장치의 동작을 제어하는 제어부를 구비하고,
상기 처리 가스는 상기 박막의 주원료를 제공하는 제1 처리 가스와, 상기 제1 처리 가스와 반응하는 제2 처리 가스와, 상기 박막의 부원료를 제공하는 제3 처리 가스를 포함하고, 상기 처리 영역에 대한 상기 제3 처리 가스의 공급량은 상기 제1 처리 가스의 공급량에 비해 작고,
상기 처리 가스 공급 시스템은,
상기 제1 및 제3 처리 가스를 혼합하여 혼합 가스를 형성하는 동시에 상기 처리 영역에 공급하는 혼합 가스 공급 라인과,
상기 혼합 가스 공급 라인에 상기 제1 및 제3 처리 가스를 공급하는 제1 및 제3 처리 가스 공급 라인을 각각 갖는 제1 및 제3 처리 가스 공급계와,
상기 혼합 가스 공급 라인을 경유하지 않고 상기 처리 영역에 상기 제2 처리 가스를 공급하는 제2 처리 가스 공급 라인을 갖는 제2 처리 가스 공급계와,
상기 제1 내지 제3 처리 가스 공급 라인에 각각 배치된 제1 내지 제3 개폐 밸브와,
상기 제1 개폐 밸브의 바로 앞에서 상기 제1 처리 가스를 일시적으로 저장하기 위해 상기 제1 처리 가스 공급 라인에 배치된 제1 탱크를 구비하고,
상기 제어부는 상기 혼합 가스 공급 라인으로부터의 상기 혼합 가스와, 상기 제2 처리 가스 공급계로부터의 상기 제2 처리 가스를 상기 처리 영역에 대해 교대로 펄스형으로 공급하도록 상기 제1 내지 제3 개폐 밸브의 개폐를 제어한다.
본 발명의 제3 시점은 반도체 처리용 성막 방법이며,
처리 용기의 처리 영역 내에 간격을 두고 적층된 복수의 피처리 기판을 가열하는 공정과,
상기 피처리 기판 상에 박막을 퇴적하기 위한 처리 가스를 상기 처리 영역 내에 공급하는 공정을 구비하고,
상기 처리 가스는 상기 박막의 주원료를 제공하는 제1 처리 가스와, 상기 제1 처리 가스와 반응하는 제2 처리 가스와, 상기 박막의 부원료를 제공하는 제3 처리 가스를 포함하고,
상기 처리 가스를 공급하는 공정은,
상기 처리 용기 밖에 배치된 가스 혼합 탱크에 상기 제1 및 제3 처리 가스를 공급하여 혼합 가스를 형성하는 공정과,
상기 가스 혼합 탱크로부터 상기 처리 영역에 상기 혼합 가스를 공급하는 공 정과,
상기 가스 혼합 탱크를 경유하지 않고 상기 처리 영역에 상기 제2 처리 가스를 공급하는 공정을 구비하고, 상기 혼합 가스와 상기 제2 처리 가스를 상기 처리 영역에 대해 교대로 펄스형으로 공급한다.
본 발명의 제4 시점은 반도체 처리용 성막 방법이며,
처리 용기의 처리 영역 내에 간격을 두고 적층된 복수의 피처리 기판을 가열하는 공정과,
상기 피처리 기판 상에 박막을 퇴적하기 위한 처리 가스를 상기 처리 영역 내에 공급하는 공정을 구비하고,
상기 처리 가스는 상기 박막의 주원료를 제공하는 제1 처리 가스와, 상기 제1 처리 가스와 반응하는 제2 처리 가스와, 상기 박막의 부원료를 제공하는 제3 처리 가스를 포함하는 것과, 상기 처리 영역에 대한 상기 제3 처리 가스의 공급량은 상기 제1 처리 가스의 공급량에 비해 작고,
상기 처리 가스를 공급하는 공정은,
혼합 가스 공급 라인에 상기 제1 및 제3 처리 가스를 혼합하여 혼합 가스를 형성하는 동시에 상기 처리 영역에 공급하는 공정과,
상기 혼합 가스 공급 라인을 경유하지 않고 상기 처리 영역에 상기 제2 처리 가스를 공급하는 공정을 구비하고,
상기 제1 처리 가스는 상기 혼합 가스 공급 라인의 바로 앞에 배치된 제1 탱크에 일시적으로 저장하면서 공급하고,
상기 혼합 가스와 상기 제2 처리 가스를 상기 처리 영역에 대해 교대로 펄스형으로 공급한다.
본 발명의 제5 시점은 프로세서 상에서 실행하기 위한 프로그램 지령을 포함하는 컴퓨터로 판독 가능한 매체이며,
상기 프로그램 지령은 프로세서에 의해 실행될 때 반도체 처리용 성막 장치에,
처리 용기의 처리 영역 내에 간격을 두고 적층된 복수의 피처리 기판을 가열하는 공정과,
상기 피처리 기판 상에 박막을 퇴적하기 위한 처리 가스를 상기 처리 영역 내에 공급하는 공정을 구비하고, 상기 처리 가스는 상기 박막의 주원료를 제공하는 제1 처리 가스와, 상기 제1 처리 가스와 반응하는 제2 처리 가스와, 상기 박막의 부원료를 제공하는 제3 처리 가스를 포함하는 것을 실행시키고,
상기 처리 가스를 공급하는 공정은,
상기 처리 용기 밖에 배치된 가스 혼합 탱크에 상기 제1 및 제3 처리 가스를 공급하여 혼합 가스를 형성하는 공정과,
상기 가스 혼합 탱크로부터 상기 처리 영역에 상기 혼합 가스를 공급하는 공정과,
상기 가스 혼합 탱크를 경유하지 않고 상기 처리 영역에 상기 제2 처리 가스를 공급하는 공정을 구비하고, 상기 혼합 가스와 상기 제2 처리 가스를 상기 처리 영역에 대해 교대로 펄스형으로 공급한다.
본 발명의 제6 시점은 프로세서 상에서 실행하기 위한 프로그램 지령을 포함하는 컴퓨터로 판독 가능한 매체이며,
상기 프로그램 지령은 프로세서에 의해 실행될 때 반도체 처리용 성막 장치에,
처리 용기의 처리 영역 내에 간격을 두고 적층된 복수의 피처리 기판을 가열하는 공정과,
상기 피처리 기판 상에 박막을 퇴적하기 위한 처리 가스를 상기 처리 영역 내에 공급하는 공정을 구비하고, 상기 처리 가스는 상기 박막의 주원료를 제공하는 제1 처리 가스와, 상기 제1 처리 가스와 반응하는 제2 처리 가스와, 상기 박막의 부원료를 제공하는 제3 처리 가스를 포함하고, 상기 처리 영역에 대한 상기 제3 처리 가스의 공급량은 상기 제1 처리 가스의 공급량에 비해 작은 것을 실행시키고,
상기 처리 가스를 공급하는 공정은,
혼합 가스 공급 라인에 상기 제1 및 제3 처리 가스를 혼합하여 혼합 가스를 형성하는 동시에 상기 처리 영역에 공급하는 공정과,
상기 혼합 가스 공급 라인을 경유하지 않고 상기 처리 영역에 상기 제2 처리 가스를 공급하는 공정을 구비하고,
상기 제1 처리 가스는 상기 혼합 가스 공급 라인의 바로 앞에 배치된 제1 탱크에 일시적으로 저장하면서 공급하고,
상기 혼합 가스와 상기 제2 처리 가스를 상기 처리 영역에 대해 교대로 펄스형으로 공급한다.
본 발명의 부가의 목적 및 장점은 이어지는 상세한 설명에 설명되고, 부분적으로는 상세한 설명으로부터 명백해지거나 본 발명의 실시에 의해 습득될 수 있을 것이다. 본 발명의 목적 및 장점은 특히 이하에 지시된 도구들 및 조합들에 의해 실현되고 얻어질 수 있다.
본 명세서에 합체되어 그 일부를 구성하는 첨부 도면은 본 발명의 바람직한 실시예를 예시하고, 전술된 일반적인 설명 및 후술하는 바람직한 실시예의 상세한 설명과 함께 본 발명의 원리를 설명하는 기능을 한다.
본 발명자들은, 본 발명의 개발의 과정에서 종래의 반도체 처리용 성막 장치에 있어서, 복수 종류의 원료 가스를 이용하여 성막을 행하는 경우, 예를 들어 불순물을 첨가한 박막을 형성하는 경우에 발생하는 문제점에 대해 연구하였다. 그 결과, 본 발명자들은 이하에 서술하는 바와 같은 지견을 얻었다.
즉, 복수의 원료 가스를 이용하여 성막을 행하는 경우, 높은 막질을 얻는 데에는 막 중의 조성 원소의 비가 소정치로 되도록 제어하는 것이 중요하다. 그러나, 예를 들어 복수의 원료 가스에 퇴적막의 주성분 원소를 포함하는 주원료 가스와 첨가 가스가 포함되는 경우, 첨가 가스의 공급량은 주원료 가스의 공급량에 대해 매우 작은 것이 일반적이다. 이와 같이, 공급량이 작은 첨가 가스가 종형의 처리실로 공급되면, 웨이퍼 상의 퇴적막 중에 도입되는 첨가 가스에 유래하는 원소의 양이 웨이퍼의 상하 방향의 위치에 의해 크게 상위한다는 문제가 생긴다. 이로 인해, 퇴적막의 조성의 면간 균일성이 악화되는 경향이 있다. 이는 원료 가스의 웨 이퍼면에 대한 흡착력의 차이나, 원료 가스의 유량의 차이에 기인하여 생긴다.
이로 인해, 종형의 처리실로 공급되는 가스의 공급량이 첨가 가스와 같이 매우 적은 경우, 이 가스를 상하 방향에 있어서 균일한 공급량으로 공급하기 위한 대책이 필요해진다. 예를 들어, 종형의 처리실을 따라 가스 노즐을 설치하고, 이 노즐에 소정의 간격으로 마련한 다수의 가스 분사 구멍으로부터 첨가 가스를 분사한다. 혹은, 첨가 가스의 공급 시간을 짧게 하여 단위 시간당 가스의 유량을 크게 한다. 그러나, 상기 전자의 대책의 경우라도, 가스 공급량이 작은 경우에는 상류측의 가스 분사 구멍으로부터의 유량이 하류측의 가스 분사 구멍으로부터의 유량보다도 커지는 경향이 있다. 이 점에 관한 것으로, 가스 공급량이 작은 경우에는 각 가스 분사 구멍으로부터의 유량을 균일화시키도록 각 가스 분사 구멍의 치수 등을 최적화하는 것은 용이하지 않다.
또한, 첨가 가스와 같이 가스의 공급량이 매우 적은 경우, 이 가스를 불활성인 캐리어 가스에 혼합하여 전체 유량을 크게 하는 것도 가능하다. 이 경우, 그러나, 캐리어 가스에 의해 첨가 가스의 분압이 저하하기 때문에, 그만큼 첨가 가스의 흡착 속도가 저하된다.
이하에, 이러한 지견을 기초로 하여 구성된 본 발명의 실시 형태에 대해 도면을 참조하여 설명한다. 또한, 이하의 설명에 있어서, 대략 동일한 기능 및 구성을 갖는 구성 요소에 대해서는 동일 부호를 붙이고, 중복 설명은 필요한 경우에만 행한다.
<제1 실시 형태>
도1은 본 발명의 제1 실시 형태에 관한 성막 장치(종형 CVD 장치)를 도시하는 단면도이다. 도2는 도1에 도시한 장치의 일부를 도시하는 횡단 평면도이다. 이 성막 장치(2)는 실란계 가스인 디클로로실란(DCS) 가스를 포함하는 제1 처리 가스와, 질화 가스인 암모니아(NH3) 가스를 포함하는 제2 처리 가스와, 붕소 함유 가스인 BCl3 가스를 포함하는 제3 처리 가스를 선택적으로 공급 가능한 처리 영역을 구비한다. 성막 장치(2)는, 이와 같은 처리 영역 내에서 피처리 기판 상에 CVD에 의해 SiBN(boron doped silicon nitride)막을 형성하도록 구성된다. 따라서, 붕소 함유 가스는 첨가 가스로서 이용된다. 또한, 처리 영역은 필요에 따라서, 또한 탄화수소 가스인 C2H4 가스(에틸렌 가스)를 포함하는 제4 처리 가스도 선택적으로 공급 가능하게 구성할 수 있다.
성막 장치(2)는 간격을 두고 적층된 복수의 반도체 웨이퍼(피처리 기판)를 수납하여 처리하는 처리 영역(5)을 내부에 규정하는, 하단부가 개구된 천정이 있는 원통체형의 처리 용기(4)를 갖는다. 처리 용기(4)의 전체는, 예를 들어 석영에 의해 형성된다. 처리 용기(4) 내의 천정에는 석영제의 천정판(6)이 배치되어 밀봉된다. 처리 용기(4)의 하단부 개구에는 원통체형으로 성형된 매니폴드(8)가 O링 등의 밀봉 부재(10)를 통하여 연결된다. 또한, 매니폴드(8)를 별도로 설치되지 않고 전체를 원통체형의 석영제의 처리 용기로 구성할 수도 있다.
매니폴드(8)는, 예를 들어 스테인리스 스틸로 이루어져 처리 용기(4)의 하단부를 지지한다. 매니폴드(8)의 하단부 개구를 통해 석영제의 웨이퍼 보트(12)가 승강되고, 이에 의해 처리 용기(4)에 대해 웨이퍼 보트(12)가 로드/언로드된다. 웨이퍼 보트(12)에는 피처리 기판으로서 다수매의 반도체 웨이퍼(W)가 다단으로 적재된다. 예를 들어, 본 실시 형태의 경우에 있어서, 웨이퍼 보트(12)의 지지 기둥(12A)에는, 예를 들어 50매 내지 100매 정도의 직경이 300 ㎜의 웨이퍼(W)가 대략 등피치로 다단으로 지지 가능해진다.
웨이퍼 보트(12)는 석영제의 보온통(14)을 통하여 테이블(16) 상에 적재된다. 테이블(16)은 매니폴드(8)의 하단부 개구를 개폐하는, 예를 들어 스테인리스 스틸제의 덮개(18)를 관통하는 회전축(20) 상에 지지된다.
회전축(20)의 관통부에는, 예를 들어 자성 유체 밀봉(22)이 개재 설치되어 회전축(20)을 기밀하게 밀봉하면서 회전 가능하게 지지한다. 덮개(18)의 주변부와 매니폴드(8)의 하단부에는, 예를 들어 O링 등으로 이루어지는 밀봉 부재(24)가 개재 설치되어 용기 내의 밀봉성을 유지한다.
회전축(20)은, 예를 들어 보트 엘리베이터 등의 승강 기구(25)에 지지된 아암(26)의 선단부에 부착된다. 승강 기구(25)에 의해 웨이퍼 보트(12) 및 덮개(18) 등이 일체적으로 승강된다. 또한, 테이블(16)을 덮개(18)측으로 고정하여 설치하여 웨이퍼 보트(12)를 회전시키지 않고 웨이퍼(W)의 처리를 행하도록 해도 좋다.
매니폴드(8)의 측부에는 처리 용기(4) 내의 처리 영역(5)에 소정의 처리 가스를 공급하기 위한 가스 공급부가 접속된다. 가스 공급부는 제2 처리 가스 공급계(28), 제1 처리 가스 공급계(30), 제3 처리 가스 공급계(32) 및 퍼지 가스 공급계(36)와, 필요에 따라서 배치되는 제4 처리 가스 공급계(34)를 포함한다. 제1 처 리 가스 공급계(30)는 실란계 가스로서 DCS(디클로로실란) 가스를 포함하는 제1 처리 가스를 공급한다. 제2 처리 가스 공급계(28)는 질화 가스로서 암모니아(NH3) 가스를 포함하는 제2 처리 가스를 공급한다. 제3 처리 가스 공급계(32)는 붕소 함유 가스(도프 가스)로서 BCl3 가스를 포함하는 제3 처리 가스를 공급한다. 제4 처리 가스 공급계(34)는 탄화수소 가스로서 C2H4 가스(에틸렌 가스)를 포함하는 제4 처리 가스를 공급한다. 퍼지 가스 공급계(36)는 퍼지 가스로서 불활성 가스, 예를 들어 N2 가스를 공급한다. 제1, 제2 및 제4 처리 가스에는 필요에 따라서 적당한 양의 캐리어 가스가 혼합되지만, 이하에서는 설명을 용이하게 하기 위해 캐리어 가스에 대해서는 언급하지 않는다.
구체적으로는, 제1, 제3 및 제4 처리 가스 공급계(30, 32, 34)는 공통의 혼합 가스 공급계(35)에 접속된다. 혼합 가스 공급계(35)는 제1 및 제3 처리 가스를 혹은 필요에 따라서 이들에 제4 처리 가스를 더 부가하여 혼합하기 위한 가스 혼합 탱크를 갖는다. 가스 혼합 탱크(42)는 가스를 균일하게 혼합하는 동시에 충분한 공급량의 혼합 가스를 일시적으로 축적하는 크기, 예를 들어 4 리터 정도의 용량으로 설정된다(가스 유량 따라서 변경됨). 가스 혼합 탱크(52)는 개폐 밸브(44A)가 배치된 혼합 가스 공급 라인(44)을 통하여 석영관으로 이루어지는 가스 분산 노즐(40)에 접속된다. 한편, 제2 처리 가스 공급계(28)도 석영관으로 이루어지는 가스 분산 노즐(38)에 접속된다.
가스 분산 노즐(38, 40)은 매니폴드(8)의 측벽을 내측으로 관통하여 상측 방 향으로 굴곡되어 연장된다. 각 가스 분산 노즐(38, 40)에는, 그 길이 방향(상하 방향)을 따르거나 웨이퍼 보트(12) 상의 웨이퍼(W)의 전체에 걸치도록 복수의 가스 분사 구멍(38A, 40A)이 소정의 간격을 두고 형성된다. 가스 분사 구멍(38A, 40A)은 웨이퍼 보트(12) 상의 복수의 웨이퍼(W)에 대해 평행한 가스 흐름을 형성하도록 수평 방향으로 대략 균일하게 대응의 처리 가스를 각각 공급한다. 한편, 퍼지 가스 공급계(36)는 매니폴드(8)의 측벽을 관통하여 설치한 짧은 가스 노즐(46)을 갖는다.
가스 혼합 탱크(52)는 제1, 제3 및 제4 처리 가스 공급계(30, 32, 34)의 가스 공급 라인(가스 통로)(50, 52, 54)을 통하여 DCS 가스, BCl3 가스 및 C2H4 가스의 가스원(30S, 32S, 34S)에 각각 접속된다. 제2 처리 가스 공급계(28)의 가스 분산 노즐(38)은 가스 공급 라인(가스 통로)(48)을 통하여 NH3 가스의 가스원(28S)에 접속된다. 퍼지 가스 공급계(36)의 노즐(46)은 가스 공급 라인(가스 통로)(56)을 통하여 N2 가스의 가스원(36S)에 접속된다. 가스 공급 라인(48, 50, 52, 54, 56) 상에는 개폐 밸브(48A, 50A, 52A, 54A, 56A)와 매스플로우 컨트롤러와 같은 유량 제어기(48B, 50B, 52B, 54B, 56B)가 배치된다. 이에 의해, NH3 가스, DCS 가스, BCl3 가스, C2H4 가스 및 N2 가스가 각각 유량 제어하면서 공급 가능해진다.
처리 용기(4)의 측벽의 일부에는 그 높이 방향을 따라 가스 여기부(66)가 배치된다. 가스 여기부(66)에 대향하는 처리 용기(4)의 반대측에는 이 내부 분위기 를 진공 배기하기 위해 처리 용기(4)의 측벽을, 예를 들어 상하 방향으로 깎아냄으로써 형성한 가늘고 긴 배기구(68)가 배치된다.
구체적으로는, 가스 여기부(66)는 처리 용기(4)의 측벽을 상하 방향을 따라 소정의 폭으로 깎아냄으로써 형성한 상하로 가늘고 긴 개구(70)를 갖는다. 개구(70)는 처리 용기(4)의 외벽에 기밀하게 용접 접합된 석영제의 커버(72)에 의해 덮여진다. 커버(72)는 처리 용기(4)의 외측으로 돌출되도록 단면 오목부형을 이루고, 또한 상하로 가늘고 긴 형상을 갖는다.
이 구성에 의해, 처리 용기(4)의 측벽으로부터 돌출하거나 한 쪽이 처리 용기(4) 내로 개구하는 가스 여기부(66)가 형성된다. 즉, 가스 여기부(66)의 내부 공간은 처리 용기(4) 내의 처리 영역(5)에 연통한다. 개구(70)는 웨이퍼 보트(12)에 유지되는 모든 웨이퍼(W)를 높이 방향에 있어서 커버할 수 있도록 상하 방향으로 충분히 길게 형성된다.
커버(72)의 양측벽의 외측면에는 그 길이 방향(상하 방향)을 따라 서로 대향하도록 하여 가늘고 긴 한 쌍의 전극(74)이 배치된다. 전극(74)에는 플라즈마 발생용 고주파 전원(76)이 급전 라인(78)을 통하여 접속된다. 전극(74)에, 예를 들어 13.56 ㎒의 고주파 전압을 인가함으로써 한 쌍의 전극(74) 사이에 플라즈마를 여기하기 위한 고주파 전계가 형성된다. 또한, 고주파 전압의 주파수는 13.56 ㎒로 한정되지 않고, 다른 주파수, 예를 들어 400 ㎑ 등을 이용해도 좋다.
제2 처리 가스의 가스 분산 노즐(38)은 웨이퍼 보트(12) 상의 최하 레벨의 웨이퍼(W)보다도 아래의 위치에서 처리 용기(4)의 반경 방향 외측으로 굴곡된다. 그 후, 가스 분산 노즐(38)은 가스 여기부(66) 내의 가장 내측[처리 용기(4)의 중심으로부터 가장 떨어진 부분]의 위치에서 수직으로 기립한다. 가스 분산 노즐(38)은, 도2에도 도시한 바와 같이 한 쌍의 대향하는 전극(74)에 협지된 영역(고주파 전계가 가장 강한 위치), 즉 주된 플라즈마가 실제로 발생하는 플라즈마 발생 영역(PS)으로부터도 외측으로 떨어진 위치에 설치된다. 가스 분산 노즐(38)의 가스 분사 구멍(38A)으로부터 분사된 NH3 가스를 포함하는 제2 처리 가스는 플라즈마 발생 영역(PS)을 향해 분사되고, 여기서 여기(분해 혹은 활성화)되어 그 상태로 웨이퍼 보트(12) 상의 웨이퍼(W)에 공급된다.
커버(72)의 외측에는 이를 덮도록 하여, 예를 들어 석영으로 이루어지는 절연 보호 커버(80)가 부착된다. 절연 보호 커버(80)의 내측이며 전극(74)과 대향하는 부분에는 냉매 통로로 이루어지는 냉각 기구(도시하지 않음)가 배치된다. 냉매통로에, 냉매로서 예를 들어 냉각된 질소 가스를 흐르게 함으로써 전극(74)이 냉각 된다. 또한, 절연 보호 커버(80)의 외측에는, 이것을 덮어 고주파의 누설을 방지하기 위해 실드(도시하지 않음)가 배치된다.
가스 여기부(66)의 개구(70)의 외측 근방, 즉 개구(70)의 외측[처리 용기(4) 내]에 혼합 가스 공급계(35)의 가스 분산 노즐(40)이 수직으로 기립시켜 배치된다. 가스 분산 노즐(40)에 형성된 가스 분사 구멍(40A)으로부터 처리 용기(4)의 중심 방향을 향해 혼합 가스(제1 및 제3 처리 가스 혹은 필요에 따라서 이들에 또한 제4 처리 가스를 부가한 혼합 가스)가 분사된다.
한편, 가스 여기부(66)에 대향시켜 마련한 배기구(68)에는, 이것을 덮도록 하여 석영으로 이루어지는 단면 역 ㄷ자형으로 성형된 배기구 커버 부재(82)가 용접에 의해 부착된다. 배기 커버 부재(82)는 처리 용기(4)의 측벽을 따라 상방으로 연장되고, 처리 용기(4)의 상방에 가스 출구(84)가 형성된다. 가스 출구(84)에는 진공 펌프 등을 배치한 진공 배기계(GE)가 접속된다.
처리 용기(4)를 포위하도록 처리 용기(4) 내의 분위기 및 웨이퍼(W)를 가열하는 히터(86)가 배치된다. 처리 용기(4) 내의 배기구(86)의 근방에는 히터(86)를 제어하기 위한 열전대(도시하지 않음)가 배치된다.
또한 성막 장치(2)는 장치 전체의 동작을 제어하는 컴퓨터 등으로 이루어지는 주제어부(60)를 구비한다. 주제어부(60)는 이에 부수되는 기억부(212)에 미리 기억된 성막 처리의 처리 방법, 예를 들어 형성되는 막의 막 두께나 조성에 따라서 후술하는 성막 처리를 행한다. 이 기억부(212)에는, 또한 처리 가스 유량과 막의 막 두께나 조성과의 관계가 미리 제어 데이터로서 기억된다. 따라서, 주제어부(60)는 이들 기억된 처리 방법이나 제어 데이터를 기초로 하여 승강 기구(25), 가스 공급계(28, 30, 32, 34, 35, 36), 배기계(GE), 가스 여기부(66) 및 히터(86) 등을 제어할 수 있다.
다음에, 도1에 도시하는 장치를 이용하여 행해지는 성막 방법[소위 ALD(Atomic Layer Deposition) 성막]에 대해 설명한다. 이 성막 방법에서는, CVD에 의해 반도체 웨이퍼(W) 상에 SiBN으로 이루어지는 절연막을 형성한다. 이로 인해, 웨이퍼(W)를 수납한 처리 영역(5) 내에 실란계 가스인 디클로로실란(DCS) 가스 를 포함하는 제1 처리 가스와, 질화 가스인 암모니아(NH3) 가스를 포함하는 제2 처리 가스와, 붕소 함유 가스인 BCl3 가스를 포함하는 제3 처리 가스를 선택적으로 공급한다. 이때, 제1 처리 가스와 제3 처리 가스를 가스 혼합 탱크(42) 내에서 혼합하고, 혼합 가스로서 처리 영역(5)에 대해 공급한다. 또한, 이 성막 방법에서는 탄화수소 가스로서 C2H4 가스(에틸렌 가스)를 포함하는 제4 처리 가스는 공급하지 않은 경우를 예시한다.
우선, 다수매, 예를 들어 50매 내지 100매의 300 ㎜ 크기의 웨이퍼(W)를 유지한 상온의 웨이퍼 보트(12)를 소정의 온도로 설정된 처리 용기(4) 내에 로드하고, 처리 용기(4)를 밀폐한다. 다음에, 처리 용기(8) 내를 진공화하여 소정의 처리 압력으로 유지하는 동시에, 웨이퍼 온도를 상승시켜 성막용 처리 온도로 안정되기까지 대기한다. 다음에, 웨이퍼 보트(12)를 회전시키면서 제1 내지 제3 처리 가스를 각각 유량 제어하면서 가스 분산 노즐(38, 40)로부터 간헐적으로 공급한다.
구체적으로는, DCS 가스를 포함하는 제1 처리 가스 및 BCl3 가스를 포함하는 제3 처리 가스는 가스 혼합 탱크(42)에 공급되어 혼합 가스가 형성된다. 이 혼합 가스는 가스 분산 노즐(40)의 가스 분사 구멍(40A)으로부터, 웨이퍼 보트(12) 상의 복수의 웨이퍼(W)에 대해 평행한 가스 흐름을 형성하도록 공급된다. 이 동안에, DCS 가스 및 BCl3 가스의 분자 혹은, 그들 분해에 의해 발생한 분해 생성물의 분자 혹은 원자가 웨이퍼 상에 흡착된다.
한편, NH3 가스를 포함하는 제2 처리 가스는 가스 분산 노즐(38)의 가스 분사 구멍(38A)으로부터, 웨이퍼 보트(12) 상의 복수의 웨이퍼(W)에 대해 평행한 가스 흐름을 형성하도록 공급된다. 제2 처리 가스는 한 쌍의 전극(74) 사이의 플라즈마 발생 영역(PS)을 통과할 때에 선택적으로 여기되어 일부가 플라즈마화된다. 이때, 예를 들어 N*, NH*, NH2*, NH3* 등의 래디컬(활성종)이 생성된다(기호「*」는 래디컬인 것을 나타냄). 이들 래디컬은 가스 여기부(66)의 개구(70)로부터 처리 용기(4)의 중심을 향해 유출되어 웨이퍼(W) 상호간에 층류 상태로 공급된다.
상기 래디컬은 웨이퍼(W)의 표면에 부착되어 있는 DCS 가스의 분자 등과 반응하고, 이에 의해 웨이퍼(W) 상에 박막이 형성된다. 또한, 이때 BCl3 가스의 분해에 의해 발생한 B 원자가 박막 속에 도입되어 불순물로서 붕소를 함유하는 SiBN막이 형성된다. 또한, 이와는 반대로, 웨이퍼(W)의 표면에 래디컬이 부착되어 있는 경우에 DCS 가스 및 BCl3 가스가 흘러 온 경우에도, 마찬가지의 반응이 생겨 웨이퍼(W) 상에 SiBN막이 형성된다.
도3은 제1 실시 형태에 관한 성막 방법에 있어서의 가스 공급 및 RF(고주파) 인가의 형태를 나타내는 타이밍도이다. 도3에 도시한 바와 같이, 본 실시 형태에 관한 성막 방법에 있어서는, 제1 내지 제4 공정(T1 내지 T4)을 교대로 반복한다. 즉, 제1 내지 제4 공정(T1 내지 T4)으로 이루어지는 사이클을 다수회 반복하고, 사이클마다 형성되는 SiBN의 박막을 적층함으로써 최종적인 두께의 SiBN막을 얻을 수 있다.
구체적으로는, 제1 공정(T1)에서는 처리 영역(5)에 대한 제1 처리 가스(도3에서는 DCS로 표시) 및 제3 처리 가스(도3에서는 BCl3으로 표시)의 혼합 가스의 공급을 행하는 한편, 처리 영역(5)에 대한 제2 처리 가스(도3에서는 NH3으로 표시)의 공급을 정지한다. 제2 공정(T2)에서는 처리 영역(5)에 대한 제1 및 제3 처리 가스의 혼합 가스 및 제2 처리 가스의 공급을 정지한다. 제3 공정(T3)에서는 처리 영역(5)에 대한 제2 처리 가스의 공급을 행하는 한편, 처리 영역(5)에 대한 제1 및 제3 처리 가스의 혼합 가스의 공급을 정지한다. 또한, 제3 공정(T3)에서는 도중으로부터 RF 전원(76)을 온하여 가스 여기부(66)에서 제2 처리 가스를 플라즈마화함으로써, 서브 공정(T3b) 사이만 제2 처리 가스를 여기한 상태로 처리 영역(5)에 공급한다. 제4 공정(T4)에서는 처리 영역(5)에 대한 제1 및 제3 처리 가스의 혼합 가스 및 제2 처리 가스의 공급을 정지한다.
제3 공정(T3)에서는 소정의 시간(Δt)이 경과한 후에 RF 전원(76)을 온하여 가스 여기부(66)에서 제2 처리 가스를 플라즈마화함으로써, 서브 공정(T3b) 사이만큼 제2 처리 가스를 여기한 상태로 처리 영역(5)에 공급한다. 이 소정의 시간(Δt)이라 함은, NH3 가스의 유량이 안정되기까지의 시간이며, 예를 들어 5초 정도이다. 그러나, 제2 처리 가스의 공급 기간의 전체 기간에 걸쳐 가스 여기부(66)에서 제2 처리 가스를 플라즈마화해도 된다. 이와 같이 제2 처리 가스의 유량이 안정화된 후에 RF 전원을 온하여 플라즈마를 세움으로써 웨이퍼(W)의 면간 방향(높이 방향)에 있어서의 활성종의 농도 균일성을 향상시킬 수 있다.
제2 및 제4 공정(T2, T4)은 처리 용기(4) 내에 잔류하는 가스를 배제하는 퍼지 공정으로서 사용된다. 여기서 퍼지라 함은, N2 가스 등의 불활성을 흐르게 하면서 처리 용기(4) 내를 진공 배기하는 것, 혹은 모든 가스의 공급을 정지하여 처리 용기(4) 내를 진공 배기함으로써 처리 용기(4) 내의 잔류 가스를 제거하는 것을 의미한다. 또한, 제2 및 제4 공정(T2, T4)의 전반은 진공 배기만을 행하고, 후반은 진공 배기와 불활성 공급을 더불어 행하도록 해도 좋다. 또한, 제1 및 제3 공정(T1, T3)에 있어서, 제1 내지 제3 처리 가스를 공급할 때에는 처리 용기(4) 내의 진공 배기를 정지할 수 있다. 그러나, 제1 내지 제3 처리 가스의 공급을, 처리 용기(4) 내를 진공 배기하면서 행하는 경우에는 제1 내지 제4 공정(T1 내지 T4)의 전체에 걸쳐 처리 용기(4) 내의 진공 배기를 단속시킬 수 있다.
도3에 있어서, 제1 공정(T1)은 약 1초 내지 20초, 예를 들어 약 10초, 제2 공정(T2)은 약 5초 내지 15초, 예를 들어 약 10초, 제3 공정(T3)은 약 1초 내지 30초, 예를 들어 약 20초, 서브 공정(T3b)은 약 1초 내지 25초, 예를 들어 약 10초, 제4 공정(T4)은 약 5초 내지 15초, 예를 들어 약 10초로 설정된다. 또한, 통상 제1 내지 제4 공정(T1 내지 T4)의 1 사이클에 의해 형성되는 막 두께는 0.11 내지 0.13 ㎚ 정도이다. 따라서, 목표 막 두께가, 예를 들어 70 ㎚이면, 이 사이클을 600 정도 반복하게 된다. 단, 이들 시간이나 두께는 단순히 일례를 나타낸 데에 지나지 않고, 이 수치로 한정되지 않는다.
상기 성막 처리의 처리 조건은 다음과 같다. DCS 가스의 유량은 50 sccm 내 지 2000 sccm의 범위 내, 예를 들어 1000 sccm(1 slm)이다. NH3 가스의 유량은 500 sccm 내지 5000 sccm의 범위 내, 예를 들어 1000 sccm이다. BCl3 가스의 유량은 1 sccm 내지 15 sccm의 범위 내, 예를 들어 2 sccm이다. 이와 같이, BCl3 가스의 유량은 DCS 가스의 유량과 비교하여 매우 적다.
처리 온도는 통상의 CVD 처리보다도 낮은 온도이며, 구체적으로는 300 ℃ 내지 700 ℃의 범위 내, 바람직하게는 550 ℃ 내지 630 ℃ 범위 내이다. 처리 온도가 300 ℃보다도 낮으면 반응이 생기지 않고 대부분 막이 퇴적되지 않는다. 처리 온도가 700 ℃보다도 높으면 막질이 떨어지는 CVD에 의한 퇴적막이 형성되는 동시에 이미 형성되는 금속막 등에 열적 손상을 부여한다.
처리 압력은 13 Pa(0.1 Torr) 내지 1330 Pa(10 Torr)의 범위 내, 바람직하게는 40 Pa(0.3 Torr) 내지 266 Pa(2 Torr)의 범위 내이다. 예를 들어, 처리 압력은 제1 공정(흡착 공정)(T1)에서는 1 Torr, 제3 공정(플라즈마를 이용하는 질화 공정) (T3)에서는 0.3 Torr이다. 처리 압력이 13 Pa보다도 작은 경우에는 성막 비율이 실용 레벨 이하가 된다. 처리 압력이 1330 Pa보다도 커지면 플라즈마가 충분히 서지 않게 되어 버린다.
이와 같이, 박막의 주원료를 제공하는 DCS 가스를 포함하는 제1 처리 가스와, 박막의 부원료를 제공하는 BCl3 가스를 포함하는 제3 처리 가스를 미리 가스 혼합 탱크(42) 내에서 균일하게 혼합하여 혼합 가스를 형성한다. 그리고 이 혼합 가스를 높이 방향에 간격을 두고 배치된 복수의 가스 분사 구멍(40A)으로부터 처리 영역(5)에 대해 간헐적으로 공급한다. 이에 의해, 캐리어 가스를 이용하지 않아도 공급량이 적은 BCl3 가스를 처리 용기(4) 내의 높이 방향에 있어서 대략 균일하게 분산시킬 수 있다. 따라서, 형성되는 SiBN막의 박막 중의 조성 원소의 비를 웨이퍼의 위치에 따르지 않고 균일화시킬 수 있다.
바꾸어 말하면, 제1 처리 가스의 공급량에 대해 제3 처리 가스의 공급량은 훨씬 작다. 이 경우, 공급량이 적은 제3 처리 가스를 공급량이 많은 제1 처리 가스에 수반시킴으로써 처리 용기(4) 내의 높이 방향에 균일적으로 분산시킬 수 있다. 특히 한 쪽의 원료 가스의 공급량이, 다른 쪽의 원료 가스의 공급량보다도1/100 이하일 때, 상기한 바와 같은 막 중의 조성 원소의 비의 균일화 개선 효과를 한층 발휘할 수 있다.
또한 BCl3 가스를 웨이퍼 표면에 흡착시킬 때에 흡착량은 BCl3 가스의 분압에 의존한다. 본 실시 형태에서는, BCl3 가스는 캐리어 가스를 이용하지 않고 용기 내의 높이 방향에 균일하게 공급한다. 이로 인해, BCl3 가스의 분압을 캐리어 가스를 이용한 경우와 비교하여 높게 유지하여 웨이퍼 표면으로의 흡착을 촉진시킬 수 있다. 이 결과, 1 사이클당 성막 비율을 유지한 상태로 1 사이클에 필요로 하는 시간을 단축화하고, 그 처리량을 향상시킬 수 있다.
예를 들어, 실험에 있어서, 종래의 성막 방법에서는 BCl3 가스를 웨이퍼 표면에 충분하게 흡착시키는 데 필요로 하는 시간은 15초 정도였다. 이에 대해, 본 실시 형태에 따르면, 그 시간은 2초 내지 3초까지 단축할 수 있었다. 이 결과, 종래 방법에서는 1 사이클에 30초 정도를 필요로 하였지만, 본 실시 형태에서는 8초까지 단축할 수 있었다.
혼합 가스의 형성 및 공급의 형태로서는 다음 2개의 형태가 대표적인 것이 된다. 제1 형태에서는 제1 및 제3 처리 가스 공급계(30, 32)로부터 가스 혼합 탱크(42)에 제1 및 제3 처리 가스를 연속적으로 공급하는 한편, 가스 혼합 탱크(42)로부터 처리 영역(5)에 혼합 가스를 펄스형으로 공급한다. 제2 형태에서는 제1 및 제3 처리 가스 공급계(30, 32)로부터 가스 혼합 탱크(42)에 제1 및 제3 처리 가스를 제1 위상에서 펄스형으로 함께 공급하는 한편, 가스 혼합 탱크(42)로부터 처리 영역(5)에 혼합 가스를 제1 위상과 반대인 제2 위상으로 공급한다.
이를 실현하기 위해, 제1 및 제3 처리 가스 공급계(30, 32)의 개폐 밸브(50A, 52A) 및 혼합 가스 공급계(35)의 개폐 밸브(44A)의 개폐는 주제어부(60)로부터의 지시를 기초로 하여, 다음과 같이 조작된다. 상기 제1 형태의 경우, 성막 처리의 개시로부터 완료까지의 복수 사이클에 걸쳐 개폐 밸브(50A, 52A)가 함께 개방 상태로 유지되는 한편, 개폐 밸브(44A)가 펄스형으로 개폐된다. 상기 제2 형태의 경우, 성막 처리의 개시로부터 완료까지의 복수 사이클에 걸쳐 개폐 밸브(50A, 52A)가 펄스형으로 개폐되는 한편, 개폐 밸브(44A)가 반대의 위상에서 펄스형으로 개폐된다.
또한, 상술한 성막 방법에서는 탄화수소 가스로서 C2H4 가스(에틸렌 가스)를 포함하는 제4 처리 가스는 공급하지 않은 경우가 예시되지만, 필요에 따라서 제4 처리 가스도 공급할 수 있다. 이 경우, 제4 처리 가스는 제1 및 제3 처리 가스와 동기하여 유량 제어하면서 가스 혼합 탱크(42)에 공급한다. 이러한 제1, 제3 및 제4 처리 가스의 혼합 가스를 이용하는 경우에는, 형성되는 박막은 탄소를 함유하는 SiBCN(boron doped silicon carbon nitride)으로 이루어지는 절연막이 된다.
<제2 실시 형태>
도4는 본 발명의 제2 실시 형태에 관한 성막 장치(종형 CVD 장치)를 도시하는 단면도이다. 이 성막 장치(2X)는 제1, 제3 및 제4 처리 가스 공급계(30, 32, 34)와 혼합 가스 공급계(35)를 제외하고, 도1에 도시하는 성막 장치(2)와 같은 구조를 갖는다. 따라서, 이하에서는 성막 장치(2)와의 차이점을 중심으로 이 성막 장치(2X)를 설명한다.
성막 장치(2X)에 있어서, 제1, 제3 및 제4 처리 가스 공급계(30, 32, 34)는 공통의 혼합 가스 공급계(35X)에 접속된다. 혼합 가스 공급계(35X)는 제1 및 제3 처리 가스를 혹은 필요에 따라서 이들에 또한 제4 처리 가스를 부가하여 혼합하기 위한 혼합 가스 공급 파이프(40X)를 갖는다. 혼합 가스 공급 파이프(40X)는 석영관으로 이루어져 가스 분산 노즐(40)의 기단부에 의해 구성된다.
제1, 제3 및 제4 처리 가스 공급계(30, 32, 34)는 혼합 가스 공급 파이프(40X)의 바로 앞의 위치에 대응하는 처리 가스를 일시적으로 저장하기 위한 탱크(102, 104, 106)를 갖는다. 탱크(102, 106)는 큰 가스 유량을 취급하기 때문에 탱크(104)보다도 크다. 예를 들어, 탱크(102, 106)는 4 리터 정도의 용량으로 설정 되고, 탱크(104)는 0.05 리터 정도로 설정된다(가스 유량에 따라서 변경됨). 탱크(102, 104, 106)는 개폐 밸브(103A, 105A, 107A)가 각각 배치된 가스 공급 라인(103, 105, 107)을 통하여 혼합 가스 공급 파이프(40X)에 접속된다.
제1, 제3 및 제4 처리 가스 공급계(30, 32, 34)의 탱크(102, 104, 106)는 가스 공급 라인(가스 통로)(50, 52, 54)을 통하여 DCS 가스, BCl3 가스 및 C2H4 가스의 가스원(30S, 32S, 34S)에 각각 접속된다. 가스 공급 라인(50, 52, 54) 상에는 개폐 밸브(50A, 52A, 54A)와 질량 유량 제어기와 같은 유량 제어기(50B, 52B, 54B)가 배치된다. 이에 의해, DCS 가스, BCl3 가스 및 C2H4 가스가 각각 유량 제어하면서 공급 가능해진다.
다음에, 도4에 도시하는 장치를 이용하여 행해지는 성막 방법[소위 ALD(Ato mic Layer Deposition) 성막]에 대해 설명한다. 또한, 여기서도 탄화수소 가스로서 C2H4 가스(에틸렌 가스)를 포함하는 제4 처리 가스는 공급하지 않은 경우를 예시한다. 이 성막 방법에 있어서의 가스 공급 및 RF(고주파) 인가는, 도3에 도시하는 타이밍도에 따라서 행할 수 있다. 이때, 혼합 가스(도3에서는 DCS + BCl3으로 표시)를 형성 및 공급하기 위해 개폐 밸브(103A, 105A)가 동기하여 개폐되고, 탱크(102, 104) 내에서 일시적으로 저장되어 있던 제1 및 제3 처리 가스가 혼합 가스 공급 파이프(40X)에 공급되어 혼합된다. 이 혼합 가스는 가스 분산 노즐(40)의 가스 분사 구멍(40A)으로부터 웨이퍼 보트(12) 상의 복수의 웨이퍼(W)에 대해 평행한 가스 흐름을 형성하도록 공급된다. 이 결과, 도1에 도시하는 장치와 마찬가지의 효과를 얻을 수 있다.
혼합 가스의 형성 및 공급의 형태로서는 다음 2개의 형태가 대표적인 것이 된다(이 설명에서도 제4 처리 가스는 공급되지 않음). 제1 형태에서는 제1 및 제3 처리 가스 공급계(30, 32)의 각 탱크(102, 104)에 제1 및 제3 처리 가스를 연속적으로 공급하는 한편, 탱크(102, 104)로부터 혼합 가스 공급 파이프(40X)에 각 가스를 펄스형으로 공급한다. 제2 형태에서는 제1 및 제3 처리 가스 공급계(30, 32)의 각 탱크(102, 104)에 제1 및 제3 처리 가스를 제1 위상에서 펄스형으로 함께 공급하는 한편, 각 탱크(102, 104)로부터 혼합 가스 공급 파이프(40X)에 각 가스를 제1 위상과 반대인 제2 위상으로 공급한다.
이를 실현하기 위해, 제1 및 제3 처리 가스 공급계(30, 32)의 개폐 밸브(50A, 52A) 및 탱크 하류의 개폐 밸브(103A, 105A)의 개폐는 주제어부(60)로부터의 지시를 기초로 하여, 다음과 같이 조작된다. 상기 제1 형태의 경우, 성막 처리의 개시로부터 완료까지의 복수 사이클에 걸쳐 개폐 밸브(50A, 52A)가 함께 개방 상태로 유지되는 한편, 개폐 밸브(103A, 105A)가 펄스형으로 개폐된다. 상기 제2 형태의 경우, 성막 처리의 개시로부터 완료까지의 복수 사이클에 걸쳐 개폐 밸브(50A, 52A)가 펄스형으로 개폐되는 한편, 개폐 밸브(103A, 105A)가 반대의 위상에서 펄스형으로 개폐된다.
도5는 제2 실시 형태의 변경예에 관한 성막 장치의 가스 공급 시스템의 일부를 도시하는 도면이다(제4 처리 가스 공급계는 도시하지 않음). 이 변경예에서는, BCl3 가스를 포함하는 제3 처리 가스의 유량이 DCS 가스를 포함하는 제1 처리 가스의 유량보다도 훨씬 적기 때문에, 제3 처리 가스 공급계(32)의 탱크(104)가 생략된다. 이 경우에서도, 혼합 가스 공급 파이프(40X) 내에 있어서 소량의 제3 처리 가스를 다량의 제1 처리 가스 중에 균일하게 혼합시켜 공급할 수 있다.
<제1 및 제2 실시 형태에 공통된 사항>
제1 및 제2 실시 형태에 관한 방법은, 상술한 바와 같이 처리 프로그램을 기초로 하여 주제어부(60)의 제어하에서 실행된다. 도6은 주제어부(60)의 구성의 개략을 나타내는 블럭도이다. 주제어부(60)는 CPU(210)를 갖고, 여기에 기억부(212), 입력부(214) 및 출력부(216) 등이 접속된다. 기억부(212)에는 처리 프로그램이나 처리 방법이 기억된다. 입력부(214)는 사용자와 대화하기 위한 입력 장치, 예를 들어 키보드나 위치 지정 기구 및 기억 매체의 드라이브 등을 포함한다. 출력부(216)는 처리 장치의 각 기기를 제어하기 위한 제어 신호를 출력한다. 도6은 또한, 컴퓨터에 착탈 가능한 기억 매체(218)도 더불어 도시한다.
상술한 실시 형태에 관한 방법은, 프로세서 상에서 실행하기 위한 프로그램 지령으로서 컴퓨터로 판독 가능한 기억 매체에 기입하여 각종 반도체 처리 장치에 적용할 수 있다. 혹은, 이러한 종류의 프로그램 지령은 통신 매체에 의해 전송하여 각종 반도체 처리 장치에 적용할 수 있다. 기억 매체는, 예를 들어 자기 디스크{가요성 디스크, 하드 디스크[일례는 기억부(212)에 포함되는 하드 디스크] 등}, 광디스크(CD 및 DVD 등), 마그넷 옵티컬 디스크(MO 등) 및 반도체 메모리 등이다. 반도체 처리 장치의 동작을 제어하는 컴퓨터는 기억 매체에 기억된 프로그램 지령을 판독하고, 이를 프로세서 상에서 실행함으로써 상술한 방법을 실행한다.
상기 실시 형태에서는, 성막 장치(2)로서 플라즈마를 형성하는 여기부(66)를 처리 용기(4)에 일체적으로 조립한 구성이 예시된다. 대신에, 여기부(66)를 처리 용기(4)와는 별개의 부재로 마련하고, NH3 가스를 처리 용기(4) 밖에서 미리 여기(소위 리모트 플라즈마), 그 여기 NH3 가스를 처리 용기(4) 내로 공급하도록 해도 좋다. 또한, NH3 가스를 활성화하지 않고 공급해도 좋고, 이 경우 가스 여기부(66)에 관한 부재는 필요하지 않게 된다. 단, 이 경우 플라즈마를 이용하지 않음으로써 에너지의 저하를 보상하기 위해 프로세서 온도를 조금 올리는 것이 필요해진다.
상기 실시 형태에서는 혼합 가스를 공급하는 노즐로서 다수의 가스 분사 구멍(40A)이 형성된 분산 노즐(40)이 예시된다. 대신에, 가스 노즐(46)과 마찬가지로 일직선 상에 이루어진, 소위 직선관이나 L자형으로 굴곡된, 소위 L자관 등을 이용할 수 있다. 이러한 직선관이나 L자관을 이용한 경우에는, 혼합 가스는 처리 영역(5)의 하방 혹은 상방으로부터 공급되게 된다. 따라서, 배기구(68)는 처리 용기(4)의 상부 혹은 하부에 마련하도록 하여 혼합 가스가 처리 영역(5) 내를 상하 방향을 따라 충분히 흐르도록 한다.
상기 실시 형태에서는 제1 처리 가스 중의 실란계 가스로서 DCS 가스가 예시된다. 이 점에 관한 것으로, 실란계 가스로서는 디클로로실란(DCS), 헥사클로로디실란(HCD), 모노실란[SiH4], 디실란[Si2H6], 헥사메틸디실라잔(HMDS), 테트라클로로 실란(TCS), 디실릴아민(DSA), 트리실릴아민(TSA), 비스 3급 부틸아미노실란(BTBAS)으로 이루어지는 군으로부터 선택되는 1 이상의 가스를 이용할 수 있다.
상기 실시 형태에서는, 제2 처리 가스 중의 질화 가스로서는 NH3 가스, N2 가스를 이용할 수 있다. 또한, 본 발명을 실리콘산 질화물계의 막 형성에 적용하는 경우에는, 질화가스 대신에 일산화이질소[N2O], 일산화질소[NO]와 같은 산질화 가스를 이용할 수 있다. 이 경우, 형성되는 막은 산소[O]를 포함하는 실리콘산 질화물계의 막이 된다.
상기 실시 형태에서는 제3 처리 가스 중의 붕소 함유 가스로서 BCl3 가스가 예시된다. 이 점에 관한 것으로, 붕소 함유 가스로서는 BCl3, B2H6, BF3, B(CH3)3으로 이루어지는 군으로부터 선택되는 1 이상의 가스를 포함할 수 있다.
상기 실시 형태에서는 제4 처리 가스 중의 탄화수소 가스로서 에틸렌 가스가 예시된다. 이 점에 관한 것으로, 탄화수소 가스로서는 아세틸렌, 에틸렌, 메탄, 에탄, 프로판 및 부탄으로 이루어지는 군으로부터 선택되는 1 또는 2 이상의 가스를 이용할 수 있다.
상기 실시예에서는 SiBN막이나 SiBCN막을 형성하는 경우가 예시된다. 대신에, 예를 들어 BCN막(탄소 함유 붕소 질화막)을 형성하는 경우에도 본 발명을 적용할 수 있다. 이 경우에는 붕소 함유 가스와 탄화수소 가스가 원료 가스가 되어 양쪽 가스가 혼합된다. 본 발명은 처리 용기 내로 도입하기 전에 혼합해도 문제도 생기지 않은 복수의 원료 가스를 이용하여 성막 처리를 행하는 경우에 범용적으로 적용할 수 있다. 예를 들어 반응성 가스로서 산화 가스, 예를 들어 O2 가스 등을 이용하여 성막 처리하는 경우에도 본 발명을 적용할 수 있다.
피처리 기판으로서는, 반도체 웨이퍼로 한정되지 않고 LCD기판 및 유리 기판 등의 다른 기판이라도 좋다.
부가의 장점 및 변형이 당 기술 분야의 숙련자들에게 즉시 이루어질 수 있을 것이다. 따라서, 본 발명은 그 광의의 태양에서, 본 명세서에 도시되고 설명된 특정 상세 및 대표적인 실시예에 한정되지 않는다. 따라서, 다양한 변형이 첨부된 청구범위 및 이들의 등가물에 의해 규정된 바와 같은 일반적인 본 발명의 개념의 사상 또는 범주로부터 일탈하지 않고 이루어질 수 있다.
본 발명에 따르면, 처리 용기의 높이 방향에 있어서 첨가 가스와 같은 공급량이 적은 처리 가스를 균일하게 공급함으로써, 퇴적막의 조성의 면간 균일성을 향상시키는 반도체 처리용 성막 장치 및 방법을 제공할 수 있다.

Claims (24)

  1. 반도체 처리용 성막 장치이며,
    간격을 두고 적층된 복수의 피처리 기판을 수납하는 처리 영역을 갖는 처리 용기와,
    상기 처리 영역 내에서 상기 피처리 기판을 지지하는 지지 부재와,
    상기 처리 영역 내의 상기 피처리 기판을 가열하는 히터와,
    상기 처리 영역 내를 배기하는 배기계와,
    상기 피처리 기판 상에 박막을 퇴적하기 위한 처리 가스를 상기 처리 영역 내에 공급하는 처리 가스 공급 시스템과,
    상기 처리 가스 공급 시스템을 포함하는 상기 장치의 동작을 제어하는 제어부를 구비하고,
    상기 처리 가스는 상기 박막의 주원료를 제공하는 제1 처리 가스와, 상기 제1 처리 가스와 반응하는 제2 처리 가스와, 상기 박막의 부원료를 제공하는 제3 처리 가스를 포함하고,
    상기 처리 가스 공급 시스템은,
    상기 제1 및 제3 처리 가스를 혼합하여 혼합 가스를 형성하기 위해 상기 처리 용기 밖에 배치된 가스 혼합 탱크와,
    상기 가스 혼합 탱크로부터 상기 처리 영역에 상기 혼합 가스를 공급하는 혼합 가스 공급 라인과,
    상기 가스 혼합 탱크에 상기 제1 및 제3 처리 가스를 각각 공급하는 제1 및 제3 처리 가스 공급계와,
    상기 가스 혼합 탱크를 경유하지 않고 상기 처리 영역에 상기 제2 처리 가스를 공급하는 제2 처리 가스 공급 라인을 갖는 제2 처리 가스 공급계와,
    상기 혼합 가스 공급 라인 및 상기 제2 처리 가스 공급 라인에 각각 배치된 제1 및 제2 개폐 밸브를 구비하고,
    상기 제어부는 상기 가스 혼합 탱크로부터의 상기 혼합 가스와, 상기 제2 처리 가스 공급계로부터의 상기 제2 처리 가스를 상기 처리 영역에 대해 교대로 펄스형으로 공급하도록 상기 제1 및 제2 개폐 밸브의 개폐를 제어하는 반도체 처리용 성막 장치.
  2. 제1항에 있어서, 상기 제어부는 상기 제1 및 제3 처리 가스 공급계로부터 상기 가스 혼합 탱크에 상기 제1 및 제3 처리 가스를 연속적으로 공급하는 한편, 상기 가스 혼합 탱크로부터 상기 처리 영역에 상기 혼합 가스를 펄스형으로 공급하는 제어를 행하는 반도체 처리용 성막 장치.
  3. 제1항에 있어서, 상기 제어부는 상기 제1 및 제3 처리 가스 공급계로부터 상기 가스 혼합 탱크에 상기 제1 및 제3 처리 가스를 제1 위상에서 펄스형으로 함께 공급하는 한편, 상기 가스 혼합 탱크로부터 상기 처리 영역에 상기 혼합 가스를 상기 제1 위상과 반대인 제2 위상에서 펄스형으로 공급하는 제어를 행하는 반도체 처 리용 성막 장치.
  4. 제1항에 있어서, 상기 혼합 가스 공급 라인 및 상기 제2 처리 가스 공급 라인의 각각은 제1 및 제2 공급구를 구비하고, 상기 제1 및 제2 공급구의 각각은, 상기 복수의 피처리 기판에 대해 평행한 가스 흐름을 형성하도록 상기 복수의 피처리 기판에 걸쳐 상하 방향으로 배열된 복수의 가스 분사 구멍을 구비하는 반도체 처리용 성막 장치.
  5. 제1항에 있어서, 상기 처리 영역에 대한 상기 제3 처리 가스의 공급량은 상기 제1 처리 가스의 공급량의 1/100 이하인 반도체 처리용 성막 장치.
  6. 제1항에 있어서, 상기 제1 처리 가스는 실란계 가스를 포함하고, 상기 제2 처리 가스는 질화 가스 또는 산질화 가스를 포함하고, 상기 제3 처리 가스는 도프 가스를 포함하는 반도체 처리용 성막 장치.
  7. 제6항에 있어서, 상기 처리 가스 공급 시스템은, 상기 가스 혼합 탱크에 탄화수소 가스를 포함하는 제4 처리 가스를 공급하는 제4 처리 가스 공급계를 더 구비하는 반도체 처리용 성막 장치.
  8. 제6항에 있어서, 상기 제1 처리 가스는 디클로로실란, 헥사클로로디실란, 모 노실란, 디실란, 헥사메틸디실라잔, 테트라클로로실란, 디실릴아민, 트리실릴아민, 비스 3급 부틸아미노실란으로 이루어지는 군으로부터 선택되는 1 이상의 가스를 포함하고, 상기 제2 처리 가스는 암모니아, 질소, 일산화이질소 및 일산화질소로 이루어지는 군으로부터 선택되는 1 이상의 가스를 포함하고, 상기 제3 처리 가스는 BCl3, B2H6, BF3, B(CH3)3으로 이루어지는 군으로부터 선택되는 1 이상의 가스를 포함하는 반도체 처리용 성막 장치.
  9. 제1항에 있어서, 상기 제2 처리 가스를 플라즈마화하여 활성하는 여기 기구를 더 구비하는 반도체 처리용 성막 장치.
  10. 제9항에 있어서, 상기 여기 기구는, 상기 처리 영역과 연통하는 공간 내에서 상기 제2 처리 가스의 공급구와 상기 기판 사이에 배치된 플라즈마 발생 영역을 구비하는 반도체 처리용 성막 장치.
  11. 반도체 처리용 성막 장치이며,
    간격을 두고 적층된 복수의 피처리 기판을 수납하는 처리 영역을 갖는 처리 용기와,
    상기 처리 영역 내에서 상기 피처리 기판을 지지하는 지지 부재와,
    상기 처리 영역 내의 상기 피처리 기판을 가열하는 히터와,
    상기 처리 영역 내를 배기하는 배기계와,
    상기 피처리 기판 상에 박막을 퇴적하기 위한 처리 가스를 상기 처리 영역 내에 공급하는 처리 가스 공급 시스템과,
    상기 처리 가스 공급 시스템을 포함하는 상기 장치의 동작을 제어하는 제어부를 구비하고,
    상기 처리 가스는 상기 박막의 주원료를 제공하는 제1 처리 가스와, 상기 제1 처리 가스와 반응하는 제2 처리 가스와, 상기 박막의 부원료를 제공하는 제3 처리 가스를 포함하고, 상기 처리 영역에 대한 상기 제3 처리 가스의 공급량은 상기 제1 처리 가스의 공급량에 비해 작고,
    상기 처리 가스 공급 시스템은,
    상기 제1 및 제3 처리 가스를 혼합하여 혼합 가스를 형성하는 동시에 상기 처리 영역에 공급하는 혼합 가스 공급 라인과,
    상기 혼합 가스 공급 라인에 상기 제1 및 제3 처리 가스를 공급하는 제1 및 제3 처리 가스 공급 라인을 각각 갖는 제1 및 제3 처리 가스 공급계와,
    상기 혼합 가스 공급 라인을 경유하지 않고 상기 처리 영역에 상기 제2 처리 가스를 공급하는 제2 처리 가스 공급 라인을 갖는 제2 처리 가스 공급계와,
    상기 제1 내지 제3 처리 가스 공급 라인에 각각 배치된 제1 내지 제3 개폐 밸브와,
    상기 제1 개폐 밸브의 바로 앞에서 상기 제1 처리 가스를 일시적으로 저장하기 위해 상기 제1 처리 가스 공급 라인에 배치된 제1 탱크를 구비하고,
    상기 제어부는 상기 혼합 가스 공급 라인으로부터의 상기 혼합 가스와, 상기 제2 처리 가스 공급계로부터의 상기 제2 처리 가스를 상기 처리 영역에 대해 교대로 펄스형으로 공급하도록 상기 제1 내지 제3 개폐 밸브의 개폐를 제어하는 반도체 처리용 성막 장치.
  12. 제11항에 있어서, 상기 제어부는 상기 제1 및 제3 개폐 밸브의 개폐를 동기하여 행하는 반도체 처리용 성막 장치.
  13. 제11항에 있어서, 상기 처리 가스 공급 시스템은, 상기 제3 개폐 밸브의 바로 앞에서 상기 제3 처리 가스를 일시적으로 저장하기 위해 상기 제3 처리 가스 공급 라인에 배치된 제2 탱크를 더 구비하는 반도체 처리용 성막 장치.
  14. 제11항에 있어서, 상기 혼합 가스 공급 라인 및 상기 제2 처리 가스 공급 라인의 각각은 제1 및 제2 공급구를 구비하고, 상기 제1 및 제2 공급구의 각각은, 상기 복수의 피처리 기판에 대해 평행한 가스 흐름을 형성하도록 상기 복수의 피처리 기판에 걸쳐 상하 방향으로 배열된 복수의 가스 분사 구멍을 구비하는 반도체 처리용 성막 장치.
  15. 제11항에 있어서, 상기 처리 영역에 대한 상기 제3 처리 가스의 공급량은 상기 제1 처리 가스의 공급량의 1/100 이하인 반도체 처리용 성막 장치.
  16. 제11항에 있어서, 상기 제1 처리 가스는 실란계 가스를 포함하고, 상기 제2 처리 가스는 질화 가스 또는 산질화 가스를 포함하고, 상기 제3 처리 가스는 도프 가스를 포함하는 반도체 처리용 성막 장치.
  17. 제16항에 있어서, 상기 처리 가스 공급 시스템은, 상기 혼합 가스 공급 라인에 탄화수소 가스를 포함하는 제4 처리 가스를 공급하는 제4 처리 가스 공급계를 더 구비하는 반도체 처리용 성막 장치.
  18. 제16항에 있어서, 상기 제1 처리 가스는 디클로로실란, 헥사클로로디실란, 모노실란, 디실란, 헥사메틸디실라잔, 테트라클로로실란, 디실릴아민, 트리실릴아민, 비스 3급 부틸아미노실란으로 이루어지는 군으로부터 선택되는 1 이상의 가스를 포함하고, 상기 제2 처리 가스는 암모니아, 질소, 일산화이질소 및 일산화질소로 이루어지는 군으로부터 선택되는 1 이상의 가스를 포함하고, 상기 제3 처리 가스는 BCl3, B2H6, BF3, B(CH3)3으로 이루어지는 군으로부터 선택되는 1 이상의 가스를 포함하는 반도체 처리용 성막 장치.
  19. 제11항에 있어서, 상기 제2 처리 가스를 플라즈마화하여 활성하는 여기 기구를 더 구비하는 반도체 처리용 성막 장치.
  20. 제19항에 있어서, 상기 여기 기구는, 상기 처리 영역과 연통하는 공간 내에서 상기 제2 처리 가스의 공급구와 상기 기판 사이에 배치된 플라즈마 발생 영역을 구비하는 반도체 처리용 성막 장치.
  21. 반도체 처리용 성막 방법이며,
    처리 용기의 처리 영역 내에 간격을 두고 적층된 복수의 피처리 기판을 가열하는 공정과,
    상기 피처리 기판 상에 박막을 퇴적하기 위한 처리 가스를 상기 처리 영역 내에 공급하는 공정을 구비하고,
    상기 처리 가스는 상기 박막의 주원료를 제공하는 제1 처리 가스와, 상기 제1 처리 가스와 반응하는 제2 처리 가스와, 상기 박막의 부원료를 제공하는 제3 처리 가스를 포함하고,
    상기 처리 가스를 공급하는 공정은,
    상기 처리 용기 밖에 배치된 가스 혼합 탱크에 상기 제1 및 제3 처리 가스를 공급하여 혼합 가스를 형성하는 공정과,
    상기 가스 혼합 탱크로부터 상기 처리 영역에 상기 혼합 가스를 공급하는 공정과,
    상기 가스 혼합 탱크를 경유하지 않고 상기 처리 영역에 상기 제2 처리 가스를 공급하는 공정을 구비하고, 상기 혼합 가스와 상기 제2 처리 가스를 상기 처리 영역에 대해 교대로 펄스형으로 공급하는 반도체 처리용 성막 방법.
  22. 반도체 처리용 성막 방법이며,
    처리 용기의 처리 영역 내에 간격을 두고 적층된 복수의 피처리 기판을 가열하는 공정과,
    상기 피처리 기판 상에 박막을 퇴적하기 위한 처리 가스를 상기 처리 영역 내에 공급하는 공정을 구비하고,
    상기 처리 가스는 상기 박막의 주원료를 제공하는 제1 처리 가스와, 상기 제1 처리 가스와 반응하는 제2 처리 가스와, 상기 박막의 부원료를 제공하는 제3 처리 가스를 포함하는 것과, 상기 처리 영역에 대한 상기 제3 처리 가스의 공급량은 상기 제1 처리 가스의 공급량에 비해 작고,
    상기 처리 가스를 공급하는 공정은,
    혼합 가스 공급 라인에 상기 제1 및 제3 처리 가스를 혼합하여 혼합 가스를 형성하는 동시에 상기 처리 영역에 공급하는 공정과,
    상기 혼합 가스 공급 라인을 경유하지 않고 상기 처리 영역에 상기 제2 처리 가스를 공급하는 공정을 구비하고,
    상기 제1 처리 가스는 상기 혼합 가스 공급 라인의 바로 앞에 배치된 제1 탱크에 일시적으로 저장하면서 공급하고,
    상기 혼합 가스와 상기 제2 처리 가스를 상기 처리 영역에 대해 교대로 펄스형으로 공급하는 반도체 처리용 성막 방법.
  23. 프로세서 상에서 실행하기 위한 프로그램 지령을 포함하는 컴퓨터로 판독 가능한 매체이며,
    상기 프로그램 지령은 프로세서에 의해 실행될 때 반도체 처리용 성막 장치에,
    처리 용기의 처리 영역 내에 간격을 두고 적층된 복수의 피처리 기판을 가열하는 공정과,
    상기 피처리 기판 상에 박막을 퇴적하기 위한 처리 가스를 상기 처리 영역 내에 공급하는 공정을 구비하고,
    상기 처리 가스는 상기 박막의 주원료를 제공하는 제1 처리 가스와, 상기 제1 처리 가스와 반응하는 제2 처리 가스와, 상기 박막의 부원료를 제공하는 제3 처리 가스를 포함하는 것을 실행시키고,
    상기 처리 가스를 공급하는 공정은,
    상기 처리 용기 밖에 배치된 가스 혼합 탱크에 상기 제1 및 제3 처리 가스를 공급하여 혼합 가스를 형성하는 공정과,
    상기 가스 혼합 탱크로부터 상기 처리 영역에 상기 혼합 가스를 공급하는 공정과,
    상기 가스 혼합 탱크를 경유하지 않고 상기 처리 영역에 상기 제2 처리 가스를 공급하는 공정을 구비하고, 상기 혼합 가스와 상기 제2 처리 가스를 상기 처리 영역에 대해 교대로 펄스형으로 공급하는 프로세서 상에서 실행하기 위한 프로그램 지령을 포함하는 컴퓨터로 판독 가능한 매체.
  24. 프로세서 상에서 실행하기 위한 프로그램 지령을 포함하는 컴퓨터로 판독 가능한 매체이며,
    상기 프로그램 지령은 프로세서에 의해 실행될 때 반도체 처리용 성막 장치에,
    처리 용기의 처리 영역 내에 간격을 두고 적층된 복수의 피처리 기판을 가열하는 공정과,
    상기 피처리 기판 상에 박막을 퇴적하기 위한 처리 가스를 상기 처리 영역 내에 공급하는 공정을 구비하고,
    상기 처리 가스는 상기 박막의 주원료를 제공하는 제1 처리 가스와, 상기 제1 처리 가스와 반응하는 제2 처리 가스와, 상기 박막의 부원료를 제공하는 제3 처리 가스를 포함하고, 상기 처리 영역에 대한 상기 제3 처리 가스의 공급량은 상기 제1처리 가스의 공급량에 비해 작은 것을 실행시키고,
    상기 처리 가스를 공급하는 공정은,
    혼합 가스 공급 라인에 상기 제1 및 제3 처리 가스를 혼합하여 혼합 가스를 형성하는 동시에 상기 처리 영역에 공급하는 공정과,
    상기 혼합 가스 공급 라인을 경유하지 않고 상기 처리 영역에 상기 제2 처리 가스를 공급하는 공정을 구비하고,
    상기 제1 처리 가스는 상기 혼합 가스 공급 라인의 바로 앞에 배치된 제1 탱 크에 일시적으로 저장하면서 공급하고,
    상기 혼합 가스와 상기 제2 처리 가스를 상기 처리 영역에 대해 교대로 펄스형으로 공급하는 프로세서 상에서 실행하기 위한 프로그램 지령을 포함하는 컴퓨터로 판독 가능한 매체.
KR1020060022476A 2005-03-11 2006-03-10 반도체 처리용 성막 방법 및 장치와, 컴퓨터로 판독 가능한 매체 KR100967238B1 (ko)

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
JPJP-P-2005-00070034 2005-03-11
JP2005070034 2005-03-11
JP2006004192A JP4506677B2 (ja) 2005-03-11 2006-01-11 成膜方法、成膜装置及び記憶媒体
JPJP-P-2006-00004192 2006-01-11

Publications (2)

Publication Number Publication Date
KR20060097672A true KR20060097672A (ko) 2006-09-14
KR100967238B1 KR100967238B1 (ko) 2010-06-30

Family

ID=37008987

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020060022476A KR100967238B1 (ko) 2005-03-11 2006-03-10 반도체 처리용 성막 방법 및 장치와, 컴퓨터로 판독 가능한 매체

Country Status (5)

Country Link
US (2) US20060207504A1 (ko)
JP (1) JP4506677B2 (ko)
KR (1) KR100967238B1 (ko)
CN (1) CN1831192B (ko)
TW (1) TWI352380B (ko)

Cited By (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR101105130B1 (ko) * 2008-01-19 2012-01-16 도쿄엘렉트론가부시키가이샤 반도체 처리용 성막 방법 및 장치
US8119544B2 (en) 2008-01-12 2012-02-21 Tokyo Electron Limited Film formation method and apparatus for semiconductor process
KR101277966B1 (ko) * 2010-10-27 2013-06-27 현대제철 주식회사 일렉트로 가스 용접의 용접부 이면 냉각 장치
KR101366002B1 (ko) * 2010-04-09 2014-02-21 가부시키가이샤 히다치 고쿠사이 덴키 반도체 장치의 제조 방법, 기판 처리 방법 및 기판 처리 장치

Families Citing this family (224)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP4279176B2 (ja) * 2004-03-02 2009-06-17 株式会社アルバック シリコン窒化膜の形成方法
JP4258518B2 (ja) * 2005-03-09 2009-04-30 東京エレクトロン株式会社 成膜方法、成膜装置及び記憶媒体
JP4464949B2 (ja) * 2006-11-10 2010-05-19 株式会社日立国際電気 基板処理装置及び選択エピタキシャル膜成長方法
JP4924437B2 (ja) * 2007-02-16 2012-04-25 東京エレクトロン株式会社 成膜方法及び成膜装置
US20080213479A1 (en) 2007-02-16 2008-09-04 Tokyo Electron Limited SiCN film formation method and apparatus
US8084105B2 (en) * 2007-05-23 2011-12-27 Applied Materials, Inc. Method of depositing boron nitride and boron nitride-derived materials
JP5151260B2 (ja) * 2007-06-11 2013-02-27 東京エレクトロン株式会社 成膜方法及び成膜装置
US8337950B2 (en) * 2007-06-19 2012-12-25 Applied Materials, Inc. Method for depositing boron-rich films for lithographic mask applications
JP5090097B2 (ja) * 2007-07-26 2012-12-05 株式会社日立国際電気 基板処理装置、半導体装置の製造方法及び基板処理方法
US20090093100A1 (en) * 2007-10-09 2009-04-09 Li-Qun Xia Method for forming an air gap in multilevel interconnect structure
JPWO2009054232A1 (ja) * 2007-10-22 2011-03-03 株式会社ナノマテリアル研究所 半導体製造装置、半導体製造方法及び電子機器
US20090159958A1 (en) * 2007-12-20 2009-06-25 Spansion Llc Electronic device including a silicon nitride layer and a process of forming the same
JP4611414B2 (ja) * 2007-12-26 2011-01-12 株式会社日立国際電気 半導体装置の製造方法、基板処理方法および基板処理装置
US8148269B2 (en) * 2008-04-04 2012-04-03 Applied Materials, Inc. Boron nitride and boron-nitride derived materials deposition method
JP5233562B2 (ja) * 2008-10-04 2013-07-10 東京エレクトロン株式会社 成膜方法及び成膜装置
US8563090B2 (en) * 2008-10-16 2013-10-22 Applied Materials, Inc. Boron film interface engineering
US7910491B2 (en) * 2008-10-16 2011-03-22 Applied Materials, Inc. Gapfill improvement with low etch rate dielectric liners
JP5730496B2 (ja) * 2009-05-01 2015-06-10 株式会社日立国際電気 熱処理装置、半導体デバイスの製造方法および基板処理方法
JP5655429B2 (ja) * 2009-08-28 2015-01-21 三菱マテリアル株式会社 多結晶シリコンの製造方法、製造装置及び多結晶シリコン
US8409352B2 (en) * 2010-03-01 2013-04-02 Hitachi Kokusai Electric Inc. Method of manufacturing semiconductor device, method of manufacturing substrate and substrate processing apparatus
JP5462671B2 (ja) * 2010-03-15 2014-04-02 株式会社豊田中央研究所 気相成長方法
US9611544B2 (en) 2010-04-15 2017-04-04 Novellus Systems, Inc. Plasma activated conformal dielectric film deposition
US8956983B2 (en) 2010-04-15 2015-02-17 Novellus Systems, Inc. Conformal doping via plasma activated atomic layer deposition and conformal film deposition
US8637411B2 (en) 2010-04-15 2014-01-28 Novellus Systems, Inc. Plasma activated conformal dielectric film deposition
US9373500B2 (en) 2014-02-21 2016-06-21 Lam Research Corporation Plasma assisted atomic layer deposition titanium oxide for conformal encapsulation and gapfill applications
US9390909B2 (en) 2013-11-07 2016-07-12 Novellus Systems, Inc. Soft landing nanolaminates for advanced patterning
US20110256734A1 (en) 2010-04-15 2011-10-20 Hausmann Dennis M Silicon nitride films and methods
US9257274B2 (en) 2010-04-15 2016-02-09 Lam Research Corporation Gapfill of variable aspect ratio features with a composite PEALD and PECVD method
US9892917B2 (en) 2010-04-15 2018-02-13 Lam Research Corporation Plasma assisted atomic layer deposition of multi-layer films for patterning applications
US9076646B2 (en) 2010-04-15 2015-07-07 Lam Research Corporation Plasma enhanced atomic layer deposition with pulsed plasma exposure
US9997357B2 (en) 2010-04-15 2018-06-12 Lam Research Corporation Capped ALD films for doping fin-shaped channel regions of 3-D IC transistors
US9324576B2 (en) 2010-05-27 2016-04-26 Applied Materials, Inc. Selective etch for silicon films
US9685320B2 (en) 2010-09-23 2017-06-20 Lam Research Corporation Methods for depositing silicon oxide
US8741778B2 (en) 2010-12-14 2014-06-03 Applied Materials, Inc. Uniform dry etch in two stages
US10283321B2 (en) 2011-01-18 2019-05-07 Applied Materials, Inc. Semiconductor processing system and methods using capacitively coupled plasma
US8771539B2 (en) 2011-02-22 2014-07-08 Applied Materials, Inc. Remotely-excited fluorine and water vapor etch
JP5886531B2 (ja) * 2011-02-24 2016-03-16 東京エレクトロン株式会社 成膜方法および成膜装置
US9064815B2 (en) 2011-03-14 2015-06-23 Applied Materials, Inc. Methods for etch of metal and metal-oxide films
US8999856B2 (en) 2011-03-14 2015-04-07 Applied Materials, Inc. Methods for etch of sin films
US8647993B2 (en) 2011-04-11 2014-02-11 Novellus Systems, Inc. Methods for UV-assisted conformal film deposition
US8771536B2 (en) 2011-08-01 2014-07-08 Applied Materials, Inc. Dry-etch for silicon-and-carbon-containing films
US8679982B2 (en) 2011-08-26 2014-03-25 Applied Materials, Inc. Selective suppression of dry-etch rate of materials containing both silicon and oxygen
US8679983B2 (en) 2011-09-01 2014-03-25 Applied Materials, Inc. Selective suppression of dry-etch rate of materials containing both silicon and nitrogen
US8927390B2 (en) 2011-09-26 2015-01-06 Applied Materials, Inc. Intrench profile
US8808563B2 (en) 2011-10-07 2014-08-19 Applied Materials, Inc. Selective etch of silicon by way of metastable hydrogen termination
WO2013070436A1 (en) 2011-11-08 2013-05-16 Applied Materials, Inc. Methods of reducing substrate dislocation during gapfill processing
US8592328B2 (en) * 2012-01-20 2013-11-26 Novellus Systems, Inc. Method for depositing a chlorine-free conformal sin film
JP5920242B2 (ja) * 2012-06-02 2016-05-18 東京エレクトロン株式会社 成膜方法及び成膜装置
US9267739B2 (en) 2012-07-18 2016-02-23 Applied Materials, Inc. Pedestal with multi-zone temperature control and multiple purge capabilities
US9373517B2 (en) 2012-08-02 2016-06-21 Applied Materials, Inc. Semiconductor processing with DC assisted RF power for improved control
US9034770B2 (en) 2012-09-17 2015-05-19 Applied Materials, Inc. Differential silicon oxide etch
US9023734B2 (en) 2012-09-18 2015-05-05 Applied Materials, Inc. Radical-component oxide etch
US9390937B2 (en) 2012-09-20 2016-07-12 Applied Materials, Inc. Silicon-carbon-nitride selective etch
US9132436B2 (en) 2012-09-21 2015-09-15 Applied Materials, Inc. Chemical control features in wafer process equipment
US9355839B2 (en) 2012-10-23 2016-05-31 Lam Research Corporation Sub-saturated atomic layer deposition and conformal film deposition
SG2013083241A (en) 2012-11-08 2014-06-27 Novellus Systems Inc Conformal film deposition for gapfill
JP6538300B2 (ja) 2012-11-08 2019-07-03 ノベラス・システムズ・インコーポレーテッドNovellus Systems Incorporated 感受性基材上にフィルムを蒸着するための方法
US8765574B2 (en) 2012-11-09 2014-07-01 Applied Materials, Inc. Dry etch process
US8969212B2 (en) 2012-11-20 2015-03-03 Applied Materials, Inc. Dry-etch selectivity
US8980763B2 (en) 2012-11-30 2015-03-17 Applied Materials, Inc. Dry-etch for selective tungsten removal
US9064816B2 (en) 2012-11-30 2015-06-23 Applied Materials, Inc. Dry-etch for selective oxidation removal
US9111877B2 (en) 2012-12-18 2015-08-18 Applied Materials, Inc. Non-local plasma oxide etch
US8921234B2 (en) 2012-12-21 2014-12-30 Applied Materials, Inc. Selective titanium nitride etching
US9018108B2 (en) 2013-01-25 2015-04-28 Applied Materials, Inc. Low shrinkage dielectric films
US10256079B2 (en) 2013-02-08 2019-04-09 Applied Materials, Inc. Semiconductor processing systems having multiple plasma configurations
JP5839606B2 (ja) * 2013-02-26 2016-01-06 東京エレクトロン株式会社 窒化膜を形成する方法
US9362130B2 (en) 2013-03-01 2016-06-07 Applied Materials, Inc. Enhanced etching processes using remote plasma sources
US9040422B2 (en) 2013-03-05 2015-05-26 Applied Materials, Inc. Selective titanium nitride removal
US8801952B1 (en) 2013-03-07 2014-08-12 Applied Materials, Inc. Conformal oxide dry etch
US10170282B2 (en) 2013-03-08 2019-01-01 Applied Materials, Inc. Insulated semiconductor faceplate designs
US20140271097A1 (en) 2013-03-15 2014-09-18 Applied Materials, Inc. Processing systems and methods for halide scavenging
US8895449B1 (en) 2013-05-16 2014-11-25 Applied Materials, Inc. Delicate dry clean
US9114438B2 (en) 2013-05-21 2015-08-25 Applied Materials, Inc. Copper residue chamber clean
US9493879B2 (en) 2013-07-12 2016-11-15 Applied Materials, Inc. Selective sputtering for pattern transfer
US9773648B2 (en) 2013-08-30 2017-09-26 Applied Materials, Inc. Dual discharge modes operation for remote plasma
US8956980B1 (en) 2013-09-16 2015-02-17 Applied Materials, Inc. Selective etch of silicon nitride
JP6267080B2 (ja) * 2013-10-07 2018-01-24 東京エレクトロン株式会社 シリコン窒化物膜の成膜方法および成膜装置
US8951429B1 (en) 2013-10-29 2015-02-10 Applied Materials, Inc. Tungsten oxide processing
US9576809B2 (en) 2013-11-04 2017-02-21 Applied Materials, Inc. Etch suppression with germanium
US9236265B2 (en) 2013-11-04 2016-01-12 Applied Materials, Inc. Silicon germanium processing
US9520303B2 (en) 2013-11-12 2016-12-13 Applied Materials, Inc. Aluminum selective etch
US9245762B2 (en) 2013-12-02 2016-01-26 Applied Materials, Inc. Procedure for etch rate consistency
US9117855B2 (en) 2013-12-04 2015-08-25 Applied Materials, Inc. Polarity control for remote plasma
US9287095B2 (en) 2013-12-17 2016-03-15 Applied Materials, Inc. Semiconductor system assemblies and methods of operation
US9263278B2 (en) 2013-12-17 2016-02-16 Applied Materials, Inc. Dopant etch selectivity control
US9190293B2 (en) 2013-12-18 2015-11-17 Applied Materials, Inc. Even tungsten etch for high aspect ratio trenches
US9287134B2 (en) 2014-01-17 2016-03-15 Applied Materials, Inc. Titanium oxide etch
US9396989B2 (en) 2014-01-27 2016-07-19 Applied Materials, Inc. Air gaps between copper lines
US9293568B2 (en) 2014-01-27 2016-03-22 Applied Materials, Inc. Method of fin patterning
US9385028B2 (en) 2014-02-03 2016-07-05 Applied Materials, Inc. Air gap process
US9214334B2 (en) 2014-02-18 2015-12-15 Lam Research Corporation High growth rate process for conformal aluminum nitride
US9499898B2 (en) 2014-03-03 2016-11-22 Applied Materials, Inc. Layered thin film heater and method of fabrication
US9299575B2 (en) 2014-03-17 2016-03-29 Applied Materials, Inc. Gas-phase tungsten etch
US9299537B2 (en) 2014-03-20 2016-03-29 Applied Materials, Inc. Radial waveguide systems and methods for post-match control of microwaves
US9299538B2 (en) 2014-03-20 2016-03-29 Applied Materials, Inc. Radial waveguide systems and methods for post-match control of microwaves
US9136273B1 (en) 2014-03-21 2015-09-15 Applied Materials, Inc. Flash gate air gap
US9903020B2 (en) 2014-03-31 2018-02-27 Applied Materials, Inc. Generation of compact alumina passivation layers on aluminum plasma equipment components
US9269590B2 (en) 2014-04-07 2016-02-23 Applied Materials, Inc. Spacer formation
US9309598B2 (en) 2014-05-28 2016-04-12 Applied Materials, Inc. Oxide and metal removal
US9847289B2 (en) 2014-05-30 2017-12-19 Applied Materials, Inc. Protective via cap for improved interconnect performance
US9406523B2 (en) 2014-06-19 2016-08-02 Applied Materials, Inc. Highly selective doped oxide removal method
US9378969B2 (en) 2014-06-19 2016-06-28 Applied Materials, Inc. Low temperature gas-phase carbon removal
US9425058B2 (en) 2014-07-24 2016-08-23 Applied Materials, Inc. Simplified litho-etch-litho-etch process
US9496167B2 (en) 2014-07-31 2016-11-15 Applied Materials, Inc. Integrated bit-line airgap formation and gate stack post clean
US9378978B2 (en) 2014-07-31 2016-06-28 Applied Materials, Inc. Integrated oxide recess and floating gate fin trimming
US9159606B1 (en) 2014-07-31 2015-10-13 Applied Materials, Inc. Metal air gap
US9165786B1 (en) 2014-08-05 2015-10-20 Applied Materials, Inc. Integrated oxide and nitride recess for better channel contact in 3D architectures
US9659753B2 (en) 2014-08-07 2017-05-23 Applied Materials, Inc. Grooved insulator to reduce leakage current
US9553102B2 (en) 2014-08-19 2017-01-24 Applied Materials, Inc. Tungsten separation
US9478411B2 (en) 2014-08-20 2016-10-25 Lam Research Corporation Method to tune TiOx stoichiometry using atomic layer deposited Ti film to minimize contact resistance for TiOx/Ti based MIS contact scheme for CMOS
US9478438B2 (en) 2014-08-20 2016-10-25 Lam Research Corporation Method and apparatus to deposit pure titanium thin film at low temperature using titanium tetraiodide precursor
US9355856B2 (en) 2014-09-12 2016-05-31 Applied Materials, Inc. V trench dry etch
US9214333B1 (en) 2014-09-24 2015-12-15 Lam Research Corporation Methods and apparatuses for uniform reduction of the in-feature wet etch rate of a silicon nitride film formed by ALD
US9355862B2 (en) 2014-09-24 2016-05-31 Applied Materials, Inc. Fluorine-based hardmask removal
US9368364B2 (en) 2014-09-24 2016-06-14 Applied Materials, Inc. Silicon etch process with tunable selectivity to SiO2 and other materials
US9613822B2 (en) 2014-09-25 2017-04-04 Applied Materials, Inc. Oxide etch selectivity enhancement
US9966240B2 (en) 2014-10-14 2018-05-08 Applied Materials, Inc. Systems and methods for internal surface conditioning assessment in plasma processing equipment
US9355922B2 (en) 2014-10-14 2016-05-31 Applied Materials, Inc. Systems and methods for internal surface conditioning in plasma processing equipment
US9564312B2 (en) 2014-11-24 2017-02-07 Lam Research Corporation Selective inhibition in atomic layer deposition of silicon-containing films
US9589790B2 (en) 2014-11-24 2017-03-07 Lam Research Corporation Method of depositing ammonia free and chlorine free conformal silicon nitride film
US11637002B2 (en) 2014-11-26 2023-04-25 Applied Materials, Inc. Methods and systems to enhance process uniformity
US9299583B1 (en) 2014-12-05 2016-03-29 Applied Materials, Inc. Aluminum oxide selective etch
US10224210B2 (en) 2014-12-09 2019-03-05 Applied Materials, Inc. Plasma processing system with direct outlet toroidal plasma source
US10573496B2 (en) 2014-12-09 2020-02-25 Applied Materials, Inc. Direct outlet toroidal plasma source
US9502258B2 (en) 2014-12-23 2016-11-22 Applied Materials, Inc. Anisotropic gap etch
US9343272B1 (en) 2015-01-08 2016-05-17 Applied Materials, Inc. Self-aligned process
US11257693B2 (en) 2015-01-09 2022-02-22 Applied Materials, Inc. Methods and systems to improve pedestal temperature control
US9373522B1 (en) 2015-01-22 2016-06-21 Applied Mateials, Inc. Titanium nitride removal
US9449846B2 (en) 2015-01-28 2016-09-20 Applied Materials, Inc. Vertical gate separation
US9728437B2 (en) 2015-02-03 2017-08-08 Applied Materials, Inc. High temperature chuck for plasma processing systems
US20160225652A1 (en) 2015-02-03 2016-08-04 Applied Materials, Inc. Low temperature chuck for plasma processing systems
US9881805B2 (en) 2015-03-02 2018-01-30 Applied Materials, Inc. Silicon selective removal
US10566187B2 (en) 2015-03-20 2020-02-18 Lam Research Corporation Ultrathin atomic layer deposition film accuracy thickness control
US9502238B2 (en) 2015-04-03 2016-11-22 Lam Research Corporation Deposition of conformal films by atomic layer deposition and atomic layer etch
US10577489B2 (en) 2015-05-08 2020-03-03 Dow Global Technologies Llc Process for foaming polyolefin compositions using an azodicarbonamide/citrate mixture as a nucleating agent
US10526701B2 (en) 2015-07-09 2020-01-07 Lam Research Corporation Multi-cycle ALD process for film uniformity and thickness profile modulation
US9691645B2 (en) 2015-08-06 2017-06-27 Applied Materials, Inc. Bolted wafer chuck thermal management systems and methods for wafer processing systems
US9741593B2 (en) 2015-08-06 2017-08-22 Applied Materials, Inc. Thermal management systems and methods for wafer processing systems
US9349605B1 (en) 2015-08-07 2016-05-24 Applied Materials, Inc. Oxide etch selectivity systems and methods
GB201514542D0 (en) 2015-08-14 2015-09-30 Thomas Simon C S A method of producing graphene
US10504700B2 (en) 2015-08-27 2019-12-10 Applied Materials, Inc. Plasma etching systems and methods with secondary plasma injection
US9601693B1 (en) 2015-09-24 2017-03-21 Lam Research Corporation Method for encapsulating a chalcogenide material
US10504754B2 (en) 2016-05-19 2019-12-10 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US10522371B2 (en) 2016-05-19 2019-12-31 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US9865484B1 (en) 2016-06-29 2018-01-09 Applied Materials, Inc. Selective etch using material modification and RF pulsing
US9773643B1 (en) 2016-06-30 2017-09-26 Lam Research Corporation Apparatus and method for deposition and etch in gap fill
US10062563B2 (en) 2016-07-01 2018-08-28 Lam Research Corporation Selective atomic layer deposition with post-dose treatment
US10629435B2 (en) 2016-07-29 2020-04-21 Lam Research Corporation Doped ALD films for semiconductor patterning applications
US10037884B2 (en) 2016-08-31 2018-07-31 Lam Research Corporation Selective atomic layer deposition for gapfill using sacrificial underlayer
US10074543B2 (en) 2016-08-31 2018-09-11 Lam Research Corporation High dry etch rate materials for semiconductor patterning applications
US9865455B1 (en) 2016-09-07 2018-01-09 Lam Research Corporation Nitride film formed by plasma-enhanced and thermal atomic layer deposition process
US10062575B2 (en) 2016-09-09 2018-08-28 Applied Materials, Inc. Poly directional etch by oxidation
US10629473B2 (en) 2016-09-09 2020-04-21 Applied Materials, Inc. Footing removal for nitride spacer
US9721789B1 (en) 2016-10-04 2017-08-01 Applied Materials, Inc. Saving ion-damaged spacers
US9934942B1 (en) 2016-10-04 2018-04-03 Applied Materials, Inc. Chamber with flow-through source
US10062585B2 (en) 2016-10-04 2018-08-28 Applied Materials, Inc. Oxygen compatible plasma source
US10546729B2 (en) 2016-10-04 2020-01-28 Applied Materials, Inc. Dual-channel showerhead with improved profile
US10062579B2 (en) 2016-10-07 2018-08-28 Applied Materials, Inc. Selective SiN lateral recess
US9947549B1 (en) 2016-10-10 2018-04-17 Applied Materials, Inc. Cobalt-containing material removal
US10832908B2 (en) 2016-11-11 2020-11-10 Lam Research Corporation Self-aligned multi-patterning process flow with ALD gapfill spacer mask
US10454029B2 (en) 2016-11-11 2019-10-22 Lam Research Corporation Method for reducing the wet etch rate of a sin film without damaging the underlying substrate
US10163696B2 (en) 2016-11-11 2018-12-25 Applied Materials, Inc. Selective cobalt removal for bottom up gapfill
US9768034B1 (en) 2016-11-11 2017-09-19 Applied Materials, Inc. Removal methods for high aspect ratio structures
US10242908B2 (en) 2016-11-14 2019-03-26 Applied Materials, Inc. Airgap formation with damage-free copper
US10134579B2 (en) 2016-11-14 2018-11-20 Lam Research Corporation Method for high modulus ALD SiO2 spacer
US10026621B2 (en) 2016-11-14 2018-07-17 Applied Materials, Inc. SiN spacer profile patterning
JP6733516B2 (ja) 2016-11-21 2020-08-05 東京エレクトロン株式会社 半導体装置の製造方法
KR102292077B1 (ko) 2016-12-09 2021-08-23 에이에스엠 아이피 홀딩 비.브이. 열적 원자층 식각 공정
US10283319B2 (en) 2016-12-22 2019-05-07 Asm Ip Holding B.V. Atomic layer etching processes
US11011371B2 (en) * 2016-12-22 2021-05-18 Applied Materials, Inc. SiBN film for conformal hermetic dielectric encapsulation without direct RF exposure to underlying structure material
US10566206B2 (en) 2016-12-27 2020-02-18 Applied Materials, Inc. Systems and methods for anisotropic material breakthrough
US10431429B2 (en) 2017-02-03 2019-10-01 Applied Materials, Inc. Systems and methods for radial and azimuthal control of plasma uniformity
US10403507B2 (en) 2017-02-03 2019-09-03 Applied Materials, Inc. Shaped etch profile with oxidation
US10043684B1 (en) 2017-02-06 2018-08-07 Applied Materials, Inc. Self-limiting atomic thermal etching systems and methods
US10319739B2 (en) 2017-02-08 2019-06-11 Applied Materials, Inc. Accommodating imperfectly aligned memory holes
US10943834B2 (en) 2017-03-13 2021-03-09 Applied Materials, Inc. Replacement contact process
US10319649B2 (en) 2017-04-11 2019-06-11 Applied Materials, Inc. Optical emission spectroscopy (OES) for remote plasma monitoring
US11276559B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Semiconductor processing chamber for multiple precursor flow
US11276590B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Multi-zone semiconductor substrate supports
US10049891B1 (en) 2017-05-31 2018-08-14 Applied Materials, Inc. Selective in situ cobalt residue removal
US10497579B2 (en) 2017-05-31 2019-12-03 Applied Materials, Inc. Water-free etching methods
US10920320B2 (en) 2017-06-16 2021-02-16 Applied Materials, Inc. Plasma health determination in semiconductor substrate processing reactors
US10541246B2 (en) 2017-06-26 2020-01-21 Applied Materials, Inc. 3D flash memory cells which discourage cross-cell electrical tunneling
US10727080B2 (en) 2017-07-07 2020-07-28 Applied Materials, Inc. Tantalum-containing material removal
US10541184B2 (en) 2017-07-11 2020-01-21 Applied Materials, Inc. Optical emission spectroscopic techniques for monitoring etching
US10354889B2 (en) 2017-07-17 2019-07-16 Applied Materials, Inc. Non-halogen etching of silicon-containing materials
US10170336B1 (en) 2017-08-04 2019-01-01 Applied Materials, Inc. Methods for anisotropic control of selective silicon removal
US10043674B1 (en) 2017-08-04 2018-08-07 Applied Materials, Inc. Germanium etching systems and methods
US10297458B2 (en) 2017-08-07 2019-05-21 Applied Materials, Inc. Process window widening using coated parts in plasma etch processes
US10269559B2 (en) 2017-09-13 2019-04-23 Lam Research Corporation Dielectric gapfill of high aspect ratio features utilizing a sacrificial etch cap layer
US10283324B1 (en) 2017-10-24 2019-05-07 Applied Materials, Inc. Oxygen treatment for nitride etching
US10128086B1 (en) 2017-10-24 2018-11-13 Applied Materials, Inc. Silicon pretreatment for nitride removal
US10256112B1 (en) 2017-12-08 2019-04-09 Applied Materials, Inc. Selective tungsten removal
US10903054B2 (en) 2017-12-19 2021-01-26 Applied Materials, Inc. Multi-zone gas distribution systems and methods
US11328909B2 (en) 2017-12-22 2022-05-10 Applied Materials, Inc. Chamber conditioning and removal processes
US10854426B2 (en) 2018-01-08 2020-12-01 Applied Materials, Inc. Metal recess for semiconductor structures
US10679870B2 (en) 2018-02-15 2020-06-09 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus
US10964512B2 (en) 2018-02-15 2021-03-30 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus and methods
TWI766433B (zh) 2018-02-28 2022-06-01 美商應用材料股份有限公司 形成氣隙的系統及方法
US10593560B2 (en) 2018-03-01 2020-03-17 Applied Materials, Inc. Magnetic induction plasma source for semiconductor processes and equipment
US11404275B2 (en) 2018-03-02 2022-08-02 Lam Research Corporation Selective deposition using hydrolysis
US10319600B1 (en) 2018-03-12 2019-06-11 Applied Materials, Inc. Thermal silicon etch
US10497573B2 (en) 2018-03-13 2019-12-03 Applied Materials, Inc. Selective atomic layer etching of semiconductor materials
US10573527B2 (en) 2018-04-06 2020-02-25 Applied Materials, Inc. Gas-phase selective etching systems and methods
US10490406B2 (en) 2018-04-10 2019-11-26 Appled Materials, Inc. Systems and methods for material breakthrough
US10699879B2 (en) 2018-04-17 2020-06-30 Applied Materials, Inc. Two piece electrode assembly with gap for plasma control
US10886137B2 (en) 2018-04-30 2021-01-05 Applied Materials, Inc. Selective nitride removal
US10755941B2 (en) 2018-07-06 2020-08-25 Applied Materials, Inc. Self-limiting selective etching systems and methods
US10872778B2 (en) 2018-07-06 2020-12-22 Applied Materials, Inc. Systems and methods utilizing solid-phase etchants
US10672642B2 (en) 2018-07-24 2020-06-02 Applied Materials, Inc. Systems and methods for pedestal configuration
US10892198B2 (en) 2018-09-14 2021-01-12 Applied Materials, Inc. Systems and methods for improved performance in semiconductor processing
US11049755B2 (en) 2018-09-14 2021-06-29 Applied Materials, Inc. Semiconductor substrate supports with embedded RF shield
US11062887B2 (en) 2018-09-17 2021-07-13 Applied Materials, Inc. High temperature RF heater pedestals
US11417534B2 (en) 2018-09-21 2022-08-16 Applied Materials, Inc. Selective material removal
US11682560B2 (en) 2018-10-11 2023-06-20 Applied Materials, Inc. Systems and methods for hafnium-containing film removal
US11121002B2 (en) 2018-10-24 2021-09-14 Applied Materials, Inc. Systems and methods for etching metals and metal derivatives
US11437242B2 (en) 2018-11-27 2022-09-06 Applied Materials, Inc. Selective removal of silicon-containing materials
CN109234703B (zh) * 2018-11-27 2020-10-16 湖南顶立科技有限公司 一种气相沉积系统
US11721527B2 (en) 2019-01-07 2023-08-08 Applied Materials, Inc. Processing chamber mixing systems
US10920319B2 (en) 2019-01-11 2021-02-16 Applied Materials, Inc. Ceramic showerheads with conductive electrodes
KR20210150606A (ko) 2019-05-01 2021-12-10 램 리써치 코포레이션 변조된 원자 층 증착
JP2021019201A (ja) 2019-07-18 2021-02-15 エーエスエム アイピー ホールディング ビー.ブイ. 半導体処理システム用シャワーヘッドデバイス
US11574813B2 (en) 2019-12-10 2023-02-07 Asm Ip Holding B.V. Atomic layer etching
CN118435321A (zh) * 2022-03-15 2024-08-02 株式会社国际电气 基板处理装置、基板处理方法、半导体装置的制造方法、程序及气体供给单元

Family Cites Families (24)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US3721583A (en) * 1970-12-08 1973-03-20 Ibm Vapor phase epitaxial deposition process for forming superlattice structure
JPS6262529A (ja) * 1985-09-12 1987-03-19 Toppan Printing Co Ltd 窒化シリコン膜の作成方法
JPS62156822A (ja) * 1985-12-27 1987-07-11 Nippon Telegr & Teleph Corp <Ntt> 絶縁薄膜とその形成方法及び形成装置
JPH0642474B2 (ja) * 1988-03-31 1994-06-01 株式会社東芝 半導体製造装置
US5040046A (en) * 1990-10-09 1991-08-13 Micron Technology, Inc. Process for forming highly conformal dielectric coatings in the manufacture of integrated circuits and product produced thereby
US5225378A (en) * 1990-11-16 1993-07-06 Tokyo Electron Limited Method of forming a phosphorus doped silicon film
JP2912059B2 (ja) * 1991-08-27 1999-06-28 山形日本電気株式会社 常圧cvd装置
JP2833946B2 (ja) * 1992-12-08 1998-12-09 日本電気株式会社 エッチング方法および装置
JPH06314660A (ja) * 1993-03-04 1994-11-08 Mitsubishi Electric Corp 薄膜形成法及びその装置
JP3590451B2 (ja) 1995-05-30 2004-11-17 アネルバ株式会社 絶縁膜の作成方法
JPH10306377A (ja) * 1997-05-02 1998-11-17 Tokyo Electron Ltd 微量ガス供給方法及びその装置
JP2000058527A (ja) * 1998-08-05 2000-02-25 Toshiba Mach Co Ltd 回転型気相成長装置及び気相成長方法
KR100750420B1 (ko) * 1999-08-17 2007-08-21 동경 엘렉트론 주식회사 플라즈마 보조 처리 실행 방법 및 플라즈마 보조 처리실행 리액터
JP2002134497A (ja) 2000-10-23 2002-05-10 Sony Corp 半導体装置の製造方法
JP3403181B2 (ja) * 2001-03-30 2003-05-06 東京エレクトロン株式会社 熱処理装置及び熱処理方法
JP4763235B2 (ja) * 2001-08-29 2011-08-31 東京エレクトロン株式会社 プラズマ処理のための装置並びに方法
US7125812B2 (en) * 2002-01-15 2006-10-24 Tokyo Electron Limited CVD method and device for forming silicon-containing insulation film
KR100449028B1 (ko) * 2002-03-05 2004-09-16 삼성전자주식회사 원자층 증착법을 이용한 박막 형성방법
US7439191B2 (en) * 2002-04-05 2008-10-21 Applied Materials, Inc. Deposition of silicon layers for active matrix liquid crystal display (AMLCD) applications
KR20030081144A (ko) 2002-04-11 2003-10-17 가부시키가이샤 히다치 고쿠사이 덴키 종형 반도체 제조 장치
JP3947126B2 (ja) * 2002-04-11 2007-07-18 株式会社日立国際電気 半導体製造装置
JP4204840B2 (ja) * 2002-10-08 2009-01-07 株式会社日立国際電気 基板処埋装置
JP4329403B2 (ja) * 2003-05-19 2009-09-09 東京エレクトロン株式会社 プラズマ処理装置
CN1670244B (zh) * 2004-03-20 2010-05-05 鸿富锦精密工业(深圳)有限公司 气体配制系统及其气体配制方法

Cited By (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US8119544B2 (en) 2008-01-12 2012-02-21 Tokyo Electron Limited Film formation method and apparatus for semiconductor process
KR101146397B1 (ko) * 2008-01-12 2012-05-17 도쿄엘렉트론가부시키가이샤 반도체 처리용 성막 방법 및 장치와, 컴퓨터로 판독 가능한매체
KR101105130B1 (ko) * 2008-01-19 2012-01-16 도쿄엘렉트론가부시키가이샤 반도체 처리용 성막 방법 및 장치
KR101366002B1 (ko) * 2010-04-09 2014-02-21 가부시키가이샤 히다치 고쿠사이 덴키 반도체 장치의 제조 방법, 기판 처리 방법 및 기판 처리 장치
KR101277966B1 (ko) * 2010-10-27 2013-06-27 현대제철 주식회사 일렉트로 가스 용접의 용접부 이면 냉각 장치

Also Published As

Publication number Publication date
US20060207504A1 (en) 2006-09-21
TW200710952A (en) 2007-03-16
JP2006287195A (ja) 2006-10-19
CN1831192B (zh) 2011-06-29
CN1831192A (zh) 2006-09-13
US8343594B2 (en) 2013-01-01
KR100967238B1 (ko) 2010-06-30
TWI352380B (en) 2011-11-11
US20080274302A1 (en) 2008-11-06
JP4506677B2 (ja) 2010-07-21

Similar Documents

Publication Publication Date Title
KR100967238B1 (ko) 반도체 처리용 성막 방법 및 장치와, 컴퓨터로 판독 가능한 매체
KR101105130B1 (ko) 반도체 처리용 성막 방법 및 장치
KR100935257B1 (ko) 반도체 처리용 성막 방법 및 장치와, 컴퓨터 판독 가능 매체
KR101086588B1 (ko) 반도체 처리용의 성막 방법 및 장치와, 컴퓨터에서 판독가능한 매체
US7964241B2 (en) Film formation method and apparatus for semiconductor process
KR101146397B1 (ko) 반도체 처리용 성막 방법 및 장치와, 컴퓨터로 판독 가능한매체
KR101122964B1 (ko) 반도체 처리용 종형 플라즈마 처리 장치 및 처리 방법과 반도체 처리용 종형 플라즈마 성막 장치
KR100890684B1 (ko) 반도체 처리용 성막 방법
KR100954243B1 (ko) 반도체 처리용 성막 장치 및 방법과 컴퓨터로 판독 가능한 매체
US7758920B2 (en) Method and apparatus for forming silicon-containing insulating film
KR100983452B1 (ko) 실리콘 질화막의 형성 방법
KR20080029846A (ko) 실리콘 산화막을 형성하기 위한 성막 방법 및 장치
KR20140097034A (ko) 성막 방법 및 성막 장치
KR101077695B1 (ko) 종형 플라즈마 처리 장치 및 그 사용 방법
KR100980126B1 (ko) 성막 방법, 성막 장치 및 기억매체
CN114342047A (zh) 基板处理装置、等离子体生成装置、半导体装置的制造方法以及程序

Legal Events

Date Code Title Description
A201 Request for examination
E902 Notification of reason for refusal
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right
GRNT Written decision to grant
FPAY Annual fee payment

Payment date: 20130531

Year of fee payment: 4

FPAY Annual fee payment

Payment date: 20140603

Year of fee payment: 5

FPAY Annual fee payment

Payment date: 20150515

Year of fee payment: 6

FPAY Annual fee payment

Payment date: 20160517

Year of fee payment: 7

FPAY Annual fee payment

Payment date: 20170522

Year of fee payment: 8

FPAY Annual fee payment

Payment date: 20180618

Year of fee payment: 9

FPAY Annual fee payment

Payment date: 20190618

Year of fee payment: 10