KR20060097672A - 반도체 처리용 성막 방법 및 장치 - Google Patents
반도체 처리용 성막 방법 및 장치 Download PDFInfo
- Publication number
- KR20060097672A KR20060097672A KR1020060022476A KR20060022476A KR20060097672A KR 20060097672 A KR20060097672 A KR 20060097672A KR 1020060022476 A KR1020060022476 A KR 1020060022476A KR 20060022476 A KR20060022476 A KR 20060022476A KR 20060097672 A KR20060097672 A KR 20060097672A
- Authority
- KR
- South Korea
- Prior art keywords
- gas
- processing
- processing gas
- region
- supplying
- Prior art date
Links
Images
Classifications
-
- C—CHEMISTRY; METALLURGY
- C23—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
- C23C—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
- C23C16/00—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
- C23C16/44—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
- C23C16/455—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
- C23C16/45512—Premixing before introduction in the reaction chamber
-
- C—CHEMISTRY; METALLURGY
- C23—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
- C23C—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
- C23C16/00—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
- C23C16/22—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
- C23C16/30—Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
- C23C16/34—Nitrides
- C23C16/345—Silicon nitride
-
- C—CHEMISTRY; METALLURGY
- C23—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
- C23C—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
- C23C16/00—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
- C23C16/44—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
- C23C16/455—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
- C23C16/45523—Pulsed gas flow or change of composition over time
- C23C16/45525—Atomic layer deposition [ALD]
- C23C16/45527—Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations
- C23C16/45531—Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations specially adapted for making ternary or higher compositions
-
- C—CHEMISTRY; METALLURGY
- C23—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
- C23C—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
- C23C16/00—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
- C23C16/44—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
- C23C16/455—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
- C23C16/45523—Pulsed gas flow or change of composition over time
- C23C16/45525—Atomic layer deposition [ALD]
- C23C16/45527—Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations
- C23C16/45536—Use of plasma, radiation or electromagnetic fields
- C23C16/45542—Plasma being used non-continuously during the ALD reactions
-
- C—CHEMISTRY; METALLURGY
- C23—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
- C23C—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
- C23C16/00—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
- C23C16/44—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
- C23C16/455—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
- C23C16/45523—Pulsed gas flow or change of composition over time
- C23C16/45525—Atomic layer deposition [ALD]
- C23C16/45553—Atomic layer deposition [ALD] characterized by the use of precursors specially adapted for ALD
-
- C—CHEMISTRY; METALLURGY
- C23—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
- C23C—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
- C23C16/00—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
- C23C16/44—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
- C23C16/455—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
- C23C16/45563—Gas nozzles
- C23C16/45578—Elongated nozzles, tubes with holes
-
- C—CHEMISTRY; METALLURGY
- C23—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
- C23C—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
- C23C16/00—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
- C23C16/44—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
- C23C16/50—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges
- C23C16/505—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges using radio frequency discharges
- C23C16/509—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges using radio frequency discharges using internal electrodes
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/02—Manufacture or treatment of semiconductor devices or of parts thereof
- H01L21/02104—Forming layers
- H01L21/02107—Forming insulating materials on a substrate
- H01L21/02109—Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
- H01L21/02112—Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
- H01L21/02123—Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
- H01L21/0217—Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon nitride not containing oxygen, e.g. SixNy or SixByNz
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/02—Manufacture or treatment of semiconductor devices or of parts thereof
- H01L21/02104—Forming layers
- H01L21/02107—Forming insulating materials on a substrate
- H01L21/02109—Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
- H01L21/02205—Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
- H01L21/02208—Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si
- H01L21/02211—Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound being a silane, e.g. disilane, methylsilane or chlorosilane
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/02—Manufacture or treatment of semiconductor devices or of parts thereof
- H01L21/02104—Forming layers
- H01L21/02107—Forming insulating materials on a substrate
- H01L21/02109—Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
- H01L21/02205—Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
- H01L21/02208—Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si
- H01L21/02219—Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound comprising silicon and nitrogen
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/02—Manufacture or treatment of semiconductor devices or of parts thereof
- H01L21/02104—Forming layers
- H01L21/02107—Forming insulating materials on a substrate
- H01L21/02225—Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
- H01L21/0226—Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
- H01L21/02263—Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
- H01L21/02271—Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
- H01L21/02274—Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition in the presence of a plasma [PECVD]
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/02—Manufacture or treatment of semiconductor devices or of parts thereof
- H01L21/02104—Forming layers
- H01L21/02107—Forming insulating materials on a substrate
- H01L21/02225—Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
- H01L21/0226—Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
- H01L21/02263—Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
- H01L21/02271—Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
- H01L21/0228—Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition deposition by cyclic CVD, e.g. ALD, ALE, pulsed CVD
Landscapes
- Chemical & Material Sciences (AREA)
- Engineering & Computer Science (AREA)
- Chemical Kinetics & Catalysis (AREA)
- Organic Chemistry (AREA)
- General Chemical & Material Sciences (AREA)
- Materials Engineering (AREA)
- Mechanical Engineering (AREA)
- Metallurgy (AREA)
- Physics & Mathematics (AREA)
- Plasma & Fusion (AREA)
- Condensed Matter Physics & Semiconductors (AREA)
- General Physics & Mathematics (AREA)
- Manufacturing & Machinery (AREA)
- Computer Hardware Design (AREA)
- Microelectronics & Electronic Packaging (AREA)
- Power Engineering (AREA)
- Inorganic Chemistry (AREA)
- Electromagnetism (AREA)
- Chemical Vapour Deposition (AREA)
- Formation Of Insulating Films (AREA)
Abstract
Description
Claims (24)
- 반도체 처리용 성막 장치이며,간격을 두고 적층된 복수의 피처리 기판을 수납하는 처리 영역을 갖는 처리 용기와,상기 처리 영역 내에서 상기 피처리 기판을 지지하는 지지 부재와,상기 처리 영역 내의 상기 피처리 기판을 가열하는 히터와,상기 처리 영역 내를 배기하는 배기계와,상기 피처리 기판 상에 박막을 퇴적하기 위한 처리 가스를 상기 처리 영역 내에 공급하는 처리 가스 공급 시스템과,상기 처리 가스 공급 시스템을 포함하는 상기 장치의 동작을 제어하는 제어부를 구비하고,상기 처리 가스는 상기 박막의 주원료를 제공하는 제1 처리 가스와, 상기 제1 처리 가스와 반응하는 제2 처리 가스와, 상기 박막의 부원료를 제공하는 제3 처리 가스를 포함하고,상기 처리 가스 공급 시스템은,상기 제1 및 제3 처리 가스를 혼합하여 혼합 가스를 형성하기 위해 상기 처리 용기 밖에 배치된 가스 혼합 탱크와,상기 가스 혼합 탱크로부터 상기 처리 영역에 상기 혼합 가스를 공급하는 혼합 가스 공급 라인과,상기 가스 혼합 탱크에 상기 제1 및 제3 처리 가스를 각각 공급하는 제1 및 제3 처리 가스 공급계와,상기 가스 혼합 탱크를 경유하지 않고 상기 처리 영역에 상기 제2 처리 가스를 공급하는 제2 처리 가스 공급 라인을 갖는 제2 처리 가스 공급계와,상기 혼합 가스 공급 라인 및 상기 제2 처리 가스 공급 라인에 각각 배치된 제1 및 제2 개폐 밸브를 구비하고,상기 제어부는 상기 가스 혼합 탱크로부터의 상기 혼합 가스와, 상기 제2 처리 가스 공급계로부터의 상기 제2 처리 가스를 상기 처리 영역에 대해 교대로 펄스형으로 공급하도록 상기 제1 및 제2 개폐 밸브의 개폐를 제어하는 반도체 처리용 성막 장치.
- 제1항에 있어서, 상기 제어부는 상기 제1 및 제3 처리 가스 공급계로부터 상기 가스 혼합 탱크에 상기 제1 및 제3 처리 가스를 연속적으로 공급하는 한편, 상기 가스 혼합 탱크로부터 상기 처리 영역에 상기 혼합 가스를 펄스형으로 공급하는 제어를 행하는 반도체 처리용 성막 장치.
- 제1항에 있어서, 상기 제어부는 상기 제1 및 제3 처리 가스 공급계로부터 상기 가스 혼합 탱크에 상기 제1 및 제3 처리 가스를 제1 위상에서 펄스형으로 함께 공급하는 한편, 상기 가스 혼합 탱크로부터 상기 처리 영역에 상기 혼합 가스를 상기 제1 위상과 반대인 제2 위상에서 펄스형으로 공급하는 제어를 행하는 반도체 처 리용 성막 장치.
- 제1항에 있어서, 상기 혼합 가스 공급 라인 및 상기 제2 처리 가스 공급 라인의 각각은 제1 및 제2 공급구를 구비하고, 상기 제1 및 제2 공급구의 각각은, 상기 복수의 피처리 기판에 대해 평행한 가스 흐름을 형성하도록 상기 복수의 피처리 기판에 걸쳐 상하 방향으로 배열된 복수의 가스 분사 구멍을 구비하는 반도체 처리용 성막 장치.
- 제1항에 있어서, 상기 처리 영역에 대한 상기 제3 처리 가스의 공급량은 상기 제1 처리 가스의 공급량의 1/100 이하인 반도체 처리용 성막 장치.
- 제1항에 있어서, 상기 제1 처리 가스는 실란계 가스를 포함하고, 상기 제2 처리 가스는 질화 가스 또는 산질화 가스를 포함하고, 상기 제3 처리 가스는 도프 가스를 포함하는 반도체 처리용 성막 장치.
- 제6항에 있어서, 상기 처리 가스 공급 시스템은, 상기 가스 혼합 탱크에 탄화수소 가스를 포함하는 제4 처리 가스를 공급하는 제4 처리 가스 공급계를 더 구비하는 반도체 처리용 성막 장치.
- 제6항에 있어서, 상기 제1 처리 가스는 디클로로실란, 헥사클로로디실란, 모 노실란, 디실란, 헥사메틸디실라잔, 테트라클로로실란, 디실릴아민, 트리실릴아민, 비스 3급 부틸아미노실란으로 이루어지는 군으로부터 선택되는 1 이상의 가스를 포함하고, 상기 제2 처리 가스는 암모니아, 질소, 일산화이질소 및 일산화질소로 이루어지는 군으로부터 선택되는 1 이상의 가스를 포함하고, 상기 제3 처리 가스는 BCl3, B2H6, BF3, B(CH3)3으로 이루어지는 군으로부터 선택되는 1 이상의 가스를 포함하는 반도체 처리용 성막 장치.
- 제1항에 있어서, 상기 제2 처리 가스를 플라즈마화하여 활성하는 여기 기구를 더 구비하는 반도체 처리용 성막 장치.
- 제9항에 있어서, 상기 여기 기구는, 상기 처리 영역과 연통하는 공간 내에서 상기 제2 처리 가스의 공급구와 상기 기판 사이에 배치된 플라즈마 발생 영역을 구비하는 반도체 처리용 성막 장치.
- 반도체 처리용 성막 장치이며,간격을 두고 적층된 복수의 피처리 기판을 수납하는 처리 영역을 갖는 처리 용기와,상기 처리 영역 내에서 상기 피처리 기판을 지지하는 지지 부재와,상기 처리 영역 내의 상기 피처리 기판을 가열하는 히터와,상기 처리 영역 내를 배기하는 배기계와,상기 피처리 기판 상에 박막을 퇴적하기 위한 처리 가스를 상기 처리 영역 내에 공급하는 처리 가스 공급 시스템과,상기 처리 가스 공급 시스템을 포함하는 상기 장치의 동작을 제어하는 제어부를 구비하고,상기 처리 가스는 상기 박막의 주원료를 제공하는 제1 처리 가스와, 상기 제1 처리 가스와 반응하는 제2 처리 가스와, 상기 박막의 부원료를 제공하는 제3 처리 가스를 포함하고, 상기 처리 영역에 대한 상기 제3 처리 가스의 공급량은 상기 제1 처리 가스의 공급량에 비해 작고,상기 처리 가스 공급 시스템은,상기 제1 및 제3 처리 가스를 혼합하여 혼합 가스를 형성하는 동시에 상기 처리 영역에 공급하는 혼합 가스 공급 라인과,상기 혼합 가스 공급 라인에 상기 제1 및 제3 처리 가스를 공급하는 제1 및 제3 처리 가스 공급 라인을 각각 갖는 제1 및 제3 처리 가스 공급계와,상기 혼합 가스 공급 라인을 경유하지 않고 상기 처리 영역에 상기 제2 처리 가스를 공급하는 제2 처리 가스 공급 라인을 갖는 제2 처리 가스 공급계와,상기 제1 내지 제3 처리 가스 공급 라인에 각각 배치된 제1 내지 제3 개폐 밸브와,상기 제1 개폐 밸브의 바로 앞에서 상기 제1 처리 가스를 일시적으로 저장하기 위해 상기 제1 처리 가스 공급 라인에 배치된 제1 탱크를 구비하고,상기 제어부는 상기 혼합 가스 공급 라인으로부터의 상기 혼합 가스와, 상기 제2 처리 가스 공급계로부터의 상기 제2 처리 가스를 상기 처리 영역에 대해 교대로 펄스형으로 공급하도록 상기 제1 내지 제3 개폐 밸브의 개폐를 제어하는 반도체 처리용 성막 장치.
- 제11항에 있어서, 상기 제어부는 상기 제1 및 제3 개폐 밸브의 개폐를 동기하여 행하는 반도체 처리용 성막 장치.
- 제11항에 있어서, 상기 처리 가스 공급 시스템은, 상기 제3 개폐 밸브의 바로 앞에서 상기 제3 처리 가스를 일시적으로 저장하기 위해 상기 제3 처리 가스 공급 라인에 배치된 제2 탱크를 더 구비하는 반도체 처리용 성막 장치.
- 제11항에 있어서, 상기 혼합 가스 공급 라인 및 상기 제2 처리 가스 공급 라인의 각각은 제1 및 제2 공급구를 구비하고, 상기 제1 및 제2 공급구의 각각은, 상기 복수의 피처리 기판에 대해 평행한 가스 흐름을 형성하도록 상기 복수의 피처리 기판에 걸쳐 상하 방향으로 배열된 복수의 가스 분사 구멍을 구비하는 반도체 처리용 성막 장치.
- 제11항에 있어서, 상기 처리 영역에 대한 상기 제3 처리 가스의 공급량은 상기 제1 처리 가스의 공급량의 1/100 이하인 반도체 처리용 성막 장치.
- 제11항에 있어서, 상기 제1 처리 가스는 실란계 가스를 포함하고, 상기 제2 처리 가스는 질화 가스 또는 산질화 가스를 포함하고, 상기 제3 처리 가스는 도프 가스를 포함하는 반도체 처리용 성막 장치.
- 제16항에 있어서, 상기 처리 가스 공급 시스템은, 상기 혼합 가스 공급 라인에 탄화수소 가스를 포함하는 제4 처리 가스를 공급하는 제4 처리 가스 공급계를 더 구비하는 반도체 처리용 성막 장치.
- 제16항에 있어서, 상기 제1 처리 가스는 디클로로실란, 헥사클로로디실란, 모노실란, 디실란, 헥사메틸디실라잔, 테트라클로로실란, 디실릴아민, 트리실릴아민, 비스 3급 부틸아미노실란으로 이루어지는 군으로부터 선택되는 1 이상의 가스를 포함하고, 상기 제2 처리 가스는 암모니아, 질소, 일산화이질소 및 일산화질소로 이루어지는 군으로부터 선택되는 1 이상의 가스를 포함하고, 상기 제3 처리 가스는 BCl3, B2H6, BF3, B(CH3)3으로 이루어지는 군으로부터 선택되는 1 이상의 가스를 포함하는 반도체 처리용 성막 장치.
- 제11항에 있어서, 상기 제2 처리 가스를 플라즈마화하여 활성하는 여기 기구를 더 구비하는 반도체 처리용 성막 장치.
- 제19항에 있어서, 상기 여기 기구는, 상기 처리 영역과 연통하는 공간 내에서 상기 제2 처리 가스의 공급구와 상기 기판 사이에 배치된 플라즈마 발생 영역을 구비하는 반도체 처리용 성막 장치.
- 반도체 처리용 성막 방법이며,처리 용기의 처리 영역 내에 간격을 두고 적층된 복수의 피처리 기판을 가열하는 공정과,상기 피처리 기판 상에 박막을 퇴적하기 위한 처리 가스를 상기 처리 영역 내에 공급하는 공정을 구비하고,상기 처리 가스는 상기 박막의 주원료를 제공하는 제1 처리 가스와, 상기 제1 처리 가스와 반응하는 제2 처리 가스와, 상기 박막의 부원료를 제공하는 제3 처리 가스를 포함하고,상기 처리 가스를 공급하는 공정은,상기 처리 용기 밖에 배치된 가스 혼합 탱크에 상기 제1 및 제3 처리 가스를 공급하여 혼합 가스를 형성하는 공정과,상기 가스 혼합 탱크로부터 상기 처리 영역에 상기 혼합 가스를 공급하는 공정과,상기 가스 혼합 탱크를 경유하지 않고 상기 처리 영역에 상기 제2 처리 가스를 공급하는 공정을 구비하고, 상기 혼합 가스와 상기 제2 처리 가스를 상기 처리 영역에 대해 교대로 펄스형으로 공급하는 반도체 처리용 성막 방법.
- 반도체 처리용 성막 방법이며,처리 용기의 처리 영역 내에 간격을 두고 적층된 복수의 피처리 기판을 가열하는 공정과,상기 피처리 기판 상에 박막을 퇴적하기 위한 처리 가스를 상기 처리 영역 내에 공급하는 공정을 구비하고,상기 처리 가스는 상기 박막의 주원료를 제공하는 제1 처리 가스와, 상기 제1 처리 가스와 반응하는 제2 처리 가스와, 상기 박막의 부원료를 제공하는 제3 처리 가스를 포함하는 것과, 상기 처리 영역에 대한 상기 제3 처리 가스의 공급량은 상기 제1 처리 가스의 공급량에 비해 작고,상기 처리 가스를 공급하는 공정은,혼합 가스 공급 라인에 상기 제1 및 제3 처리 가스를 혼합하여 혼합 가스를 형성하는 동시에 상기 처리 영역에 공급하는 공정과,상기 혼합 가스 공급 라인을 경유하지 않고 상기 처리 영역에 상기 제2 처리 가스를 공급하는 공정을 구비하고,상기 제1 처리 가스는 상기 혼합 가스 공급 라인의 바로 앞에 배치된 제1 탱크에 일시적으로 저장하면서 공급하고,상기 혼합 가스와 상기 제2 처리 가스를 상기 처리 영역에 대해 교대로 펄스형으로 공급하는 반도체 처리용 성막 방법.
- 프로세서 상에서 실행하기 위한 프로그램 지령을 포함하는 컴퓨터로 판독 가능한 매체이며,상기 프로그램 지령은 프로세서에 의해 실행될 때 반도체 처리용 성막 장치에,처리 용기의 처리 영역 내에 간격을 두고 적층된 복수의 피처리 기판을 가열하는 공정과,상기 피처리 기판 상에 박막을 퇴적하기 위한 처리 가스를 상기 처리 영역 내에 공급하는 공정을 구비하고,상기 처리 가스는 상기 박막의 주원료를 제공하는 제1 처리 가스와, 상기 제1 처리 가스와 반응하는 제2 처리 가스와, 상기 박막의 부원료를 제공하는 제3 처리 가스를 포함하는 것을 실행시키고,상기 처리 가스를 공급하는 공정은,상기 처리 용기 밖에 배치된 가스 혼합 탱크에 상기 제1 및 제3 처리 가스를 공급하여 혼합 가스를 형성하는 공정과,상기 가스 혼합 탱크로부터 상기 처리 영역에 상기 혼합 가스를 공급하는 공정과,상기 가스 혼합 탱크를 경유하지 않고 상기 처리 영역에 상기 제2 처리 가스를 공급하는 공정을 구비하고, 상기 혼합 가스와 상기 제2 처리 가스를 상기 처리 영역에 대해 교대로 펄스형으로 공급하는 프로세서 상에서 실행하기 위한 프로그램 지령을 포함하는 컴퓨터로 판독 가능한 매체.
- 프로세서 상에서 실행하기 위한 프로그램 지령을 포함하는 컴퓨터로 판독 가능한 매체이며,상기 프로그램 지령은 프로세서에 의해 실행될 때 반도체 처리용 성막 장치에,처리 용기의 처리 영역 내에 간격을 두고 적층된 복수의 피처리 기판을 가열하는 공정과,상기 피처리 기판 상에 박막을 퇴적하기 위한 처리 가스를 상기 처리 영역 내에 공급하는 공정을 구비하고,상기 처리 가스는 상기 박막의 주원료를 제공하는 제1 처리 가스와, 상기 제1 처리 가스와 반응하는 제2 처리 가스와, 상기 박막의 부원료를 제공하는 제3 처리 가스를 포함하고, 상기 처리 영역에 대한 상기 제3 처리 가스의 공급량은 상기 제1처리 가스의 공급량에 비해 작은 것을 실행시키고,상기 처리 가스를 공급하는 공정은,혼합 가스 공급 라인에 상기 제1 및 제3 처리 가스를 혼합하여 혼합 가스를 형성하는 동시에 상기 처리 영역에 공급하는 공정과,상기 혼합 가스 공급 라인을 경유하지 않고 상기 처리 영역에 상기 제2 처리 가스를 공급하는 공정을 구비하고,상기 제1 처리 가스는 상기 혼합 가스 공급 라인의 바로 앞에 배치된 제1 탱 크에 일시적으로 저장하면서 공급하고,상기 혼합 가스와 상기 제2 처리 가스를 상기 처리 영역에 대해 교대로 펄스형으로 공급하는 프로세서 상에서 실행하기 위한 프로그램 지령을 포함하는 컴퓨터로 판독 가능한 매체.
Applications Claiming Priority (4)
Application Number | Priority Date | Filing Date | Title |
---|---|---|---|
JPJP-P-2005-00070034 | 2005-03-11 | ||
JP2005070034 | 2005-03-11 | ||
JP2006004192A JP4506677B2 (ja) | 2005-03-11 | 2006-01-11 | 成膜方法、成膜装置及び記憶媒体 |
JPJP-P-2006-00004192 | 2006-01-11 |
Publications (2)
Publication Number | Publication Date |
---|---|
KR20060097672A true KR20060097672A (ko) | 2006-09-14 |
KR100967238B1 KR100967238B1 (ko) | 2010-06-30 |
Family
ID=37008987
Family Applications (1)
Application Number | Title | Priority Date | Filing Date |
---|---|---|---|
KR1020060022476A KR100967238B1 (ko) | 2005-03-11 | 2006-03-10 | 반도체 처리용 성막 방법 및 장치와, 컴퓨터로 판독 가능한 매체 |
Country Status (5)
Country | Link |
---|---|
US (2) | US20060207504A1 (ko) |
JP (1) | JP4506677B2 (ko) |
KR (1) | KR100967238B1 (ko) |
CN (1) | CN1831192B (ko) |
TW (1) | TWI352380B (ko) |
Cited By (4)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
KR101105130B1 (ko) * | 2008-01-19 | 2012-01-16 | 도쿄엘렉트론가부시키가이샤 | 반도체 처리용 성막 방법 및 장치 |
US8119544B2 (en) | 2008-01-12 | 2012-02-21 | Tokyo Electron Limited | Film formation method and apparatus for semiconductor process |
KR101277966B1 (ko) * | 2010-10-27 | 2013-06-27 | 현대제철 주식회사 | 일렉트로 가스 용접의 용접부 이면 냉각 장치 |
KR101366002B1 (ko) * | 2010-04-09 | 2014-02-21 | 가부시키가이샤 히다치 고쿠사이 덴키 | 반도체 장치의 제조 방법, 기판 처리 방법 및 기판 처리 장치 |
Families Citing this family (224)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
JP4279176B2 (ja) * | 2004-03-02 | 2009-06-17 | 株式会社アルバック | シリコン窒化膜の形成方法 |
JP4258518B2 (ja) * | 2005-03-09 | 2009-04-30 | 東京エレクトロン株式会社 | 成膜方法、成膜装置及び記憶媒体 |
JP4464949B2 (ja) * | 2006-11-10 | 2010-05-19 | 株式会社日立国際電気 | 基板処理装置及び選択エピタキシャル膜成長方法 |
JP4924437B2 (ja) * | 2007-02-16 | 2012-04-25 | 東京エレクトロン株式会社 | 成膜方法及び成膜装置 |
US20080213479A1 (en) | 2007-02-16 | 2008-09-04 | Tokyo Electron Limited | SiCN film formation method and apparatus |
US8084105B2 (en) * | 2007-05-23 | 2011-12-27 | Applied Materials, Inc. | Method of depositing boron nitride and boron nitride-derived materials |
JP5151260B2 (ja) * | 2007-06-11 | 2013-02-27 | 東京エレクトロン株式会社 | 成膜方法及び成膜装置 |
US8337950B2 (en) * | 2007-06-19 | 2012-12-25 | Applied Materials, Inc. | Method for depositing boron-rich films for lithographic mask applications |
JP5090097B2 (ja) * | 2007-07-26 | 2012-12-05 | 株式会社日立国際電気 | 基板処理装置、半導体装置の製造方法及び基板処理方法 |
US20090093100A1 (en) * | 2007-10-09 | 2009-04-09 | Li-Qun Xia | Method for forming an air gap in multilevel interconnect structure |
JPWO2009054232A1 (ja) * | 2007-10-22 | 2011-03-03 | 株式会社ナノマテリアル研究所 | 半導体製造装置、半導体製造方法及び電子機器 |
US20090159958A1 (en) * | 2007-12-20 | 2009-06-25 | Spansion Llc | Electronic device including a silicon nitride layer and a process of forming the same |
JP4611414B2 (ja) * | 2007-12-26 | 2011-01-12 | 株式会社日立国際電気 | 半導体装置の製造方法、基板処理方法および基板処理装置 |
US8148269B2 (en) * | 2008-04-04 | 2012-04-03 | Applied Materials, Inc. | Boron nitride and boron-nitride derived materials deposition method |
JP5233562B2 (ja) * | 2008-10-04 | 2013-07-10 | 東京エレクトロン株式会社 | 成膜方法及び成膜装置 |
US8563090B2 (en) * | 2008-10-16 | 2013-10-22 | Applied Materials, Inc. | Boron film interface engineering |
US7910491B2 (en) * | 2008-10-16 | 2011-03-22 | Applied Materials, Inc. | Gapfill improvement with low etch rate dielectric liners |
JP5730496B2 (ja) * | 2009-05-01 | 2015-06-10 | 株式会社日立国際電気 | 熱処理装置、半導体デバイスの製造方法および基板処理方法 |
JP5655429B2 (ja) * | 2009-08-28 | 2015-01-21 | 三菱マテリアル株式会社 | 多結晶シリコンの製造方法、製造装置及び多結晶シリコン |
US8409352B2 (en) * | 2010-03-01 | 2013-04-02 | Hitachi Kokusai Electric Inc. | Method of manufacturing semiconductor device, method of manufacturing substrate and substrate processing apparatus |
JP5462671B2 (ja) * | 2010-03-15 | 2014-04-02 | 株式会社豊田中央研究所 | 気相成長方法 |
US9611544B2 (en) | 2010-04-15 | 2017-04-04 | Novellus Systems, Inc. | Plasma activated conformal dielectric film deposition |
US8956983B2 (en) | 2010-04-15 | 2015-02-17 | Novellus Systems, Inc. | Conformal doping via plasma activated atomic layer deposition and conformal film deposition |
US8637411B2 (en) | 2010-04-15 | 2014-01-28 | Novellus Systems, Inc. | Plasma activated conformal dielectric film deposition |
US9373500B2 (en) | 2014-02-21 | 2016-06-21 | Lam Research Corporation | Plasma assisted atomic layer deposition titanium oxide for conformal encapsulation and gapfill applications |
US9390909B2 (en) | 2013-11-07 | 2016-07-12 | Novellus Systems, Inc. | Soft landing nanolaminates for advanced patterning |
US20110256734A1 (en) | 2010-04-15 | 2011-10-20 | Hausmann Dennis M | Silicon nitride films and methods |
US9257274B2 (en) | 2010-04-15 | 2016-02-09 | Lam Research Corporation | Gapfill of variable aspect ratio features with a composite PEALD and PECVD method |
US9892917B2 (en) | 2010-04-15 | 2018-02-13 | Lam Research Corporation | Plasma assisted atomic layer deposition of multi-layer films for patterning applications |
US9076646B2 (en) | 2010-04-15 | 2015-07-07 | Lam Research Corporation | Plasma enhanced atomic layer deposition with pulsed plasma exposure |
US9997357B2 (en) | 2010-04-15 | 2018-06-12 | Lam Research Corporation | Capped ALD films for doping fin-shaped channel regions of 3-D IC transistors |
US9324576B2 (en) | 2010-05-27 | 2016-04-26 | Applied Materials, Inc. | Selective etch for silicon films |
US9685320B2 (en) | 2010-09-23 | 2017-06-20 | Lam Research Corporation | Methods for depositing silicon oxide |
US8741778B2 (en) | 2010-12-14 | 2014-06-03 | Applied Materials, Inc. | Uniform dry etch in two stages |
US10283321B2 (en) | 2011-01-18 | 2019-05-07 | Applied Materials, Inc. | Semiconductor processing system and methods using capacitively coupled plasma |
US8771539B2 (en) | 2011-02-22 | 2014-07-08 | Applied Materials, Inc. | Remotely-excited fluorine and water vapor etch |
JP5886531B2 (ja) * | 2011-02-24 | 2016-03-16 | 東京エレクトロン株式会社 | 成膜方法および成膜装置 |
US9064815B2 (en) | 2011-03-14 | 2015-06-23 | Applied Materials, Inc. | Methods for etch of metal and metal-oxide films |
US8999856B2 (en) | 2011-03-14 | 2015-04-07 | Applied Materials, Inc. | Methods for etch of sin films |
US8647993B2 (en) | 2011-04-11 | 2014-02-11 | Novellus Systems, Inc. | Methods for UV-assisted conformal film deposition |
US8771536B2 (en) | 2011-08-01 | 2014-07-08 | Applied Materials, Inc. | Dry-etch for silicon-and-carbon-containing films |
US8679982B2 (en) | 2011-08-26 | 2014-03-25 | Applied Materials, Inc. | Selective suppression of dry-etch rate of materials containing both silicon and oxygen |
US8679983B2 (en) | 2011-09-01 | 2014-03-25 | Applied Materials, Inc. | Selective suppression of dry-etch rate of materials containing both silicon and nitrogen |
US8927390B2 (en) | 2011-09-26 | 2015-01-06 | Applied Materials, Inc. | Intrench profile |
US8808563B2 (en) | 2011-10-07 | 2014-08-19 | Applied Materials, Inc. | Selective etch of silicon by way of metastable hydrogen termination |
WO2013070436A1 (en) | 2011-11-08 | 2013-05-16 | Applied Materials, Inc. | Methods of reducing substrate dislocation during gapfill processing |
US8592328B2 (en) * | 2012-01-20 | 2013-11-26 | Novellus Systems, Inc. | Method for depositing a chlorine-free conformal sin film |
JP5920242B2 (ja) * | 2012-06-02 | 2016-05-18 | 東京エレクトロン株式会社 | 成膜方法及び成膜装置 |
US9267739B2 (en) | 2012-07-18 | 2016-02-23 | Applied Materials, Inc. | Pedestal with multi-zone temperature control and multiple purge capabilities |
US9373517B2 (en) | 2012-08-02 | 2016-06-21 | Applied Materials, Inc. | Semiconductor processing with DC assisted RF power for improved control |
US9034770B2 (en) | 2012-09-17 | 2015-05-19 | Applied Materials, Inc. | Differential silicon oxide etch |
US9023734B2 (en) | 2012-09-18 | 2015-05-05 | Applied Materials, Inc. | Radical-component oxide etch |
US9390937B2 (en) | 2012-09-20 | 2016-07-12 | Applied Materials, Inc. | Silicon-carbon-nitride selective etch |
US9132436B2 (en) | 2012-09-21 | 2015-09-15 | Applied Materials, Inc. | Chemical control features in wafer process equipment |
US9355839B2 (en) | 2012-10-23 | 2016-05-31 | Lam Research Corporation | Sub-saturated atomic layer deposition and conformal film deposition |
SG2013083241A (en) | 2012-11-08 | 2014-06-27 | Novellus Systems Inc | Conformal film deposition for gapfill |
JP6538300B2 (ja) | 2012-11-08 | 2019-07-03 | ノベラス・システムズ・インコーポレーテッドNovellus Systems Incorporated | 感受性基材上にフィルムを蒸着するための方法 |
US8765574B2 (en) | 2012-11-09 | 2014-07-01 | Applied Materials, Inc. | Dry etch process |
US8969212B2 (en) | 2012-11-20 | 2015-03-03 | Applied Materials, Inc. | Dry-etch selectivity |
US8980763B2 (en) | 2012-11-30 | 2015-03-17 | Applied Materials, Inc. | Dry-etch for selective tungsten removal |
US9064816B2 (en) | 2012-11-30 | 2015-06-23 | Applied Materials, Inc. | Dry-etch for selective oxidation removal |
US9111877B2 (en) | 2012-12-18 | 2015-08-18 | Applied Materials, Inc. | Non-local plasma oxide etch |
US8921234B2 (en) | 2012-12-21 | 2014-12-30 | Applied Materials, Inc. | Selective titanium nitride etching |
US9018108B2 (en) | 2013-01-25 | 2015-04-28 | Applied Materials, Inc. | Low shrinkage dielectric films |
US10256079B2 (en) | 2013-02-08 | 2019-04-09 | Applied Materials, Inc. | Semiconductor processing systems having multiple plasma configurations |
JP5839606B2 (ja) * | 2013-02-26 | 2016-01-06 | 東京エレクトロン株式会社 | 窒化膜を形成する方法 |
US9362130B2 (en) | 2013-03-01 | 2016-06-07 | Applied Materials, Inc. | Enhanced etching processes using remote plasma sources |
US9040422B2 (en) | 2013-03-05 | 2015-05-26 | Applied Materials, Inc. | Selective titanium nitride removal |
US8801952B1 (en) | 2013-03-07 | 2014-08-12 | Applied Materials, Inc. | Conformal oxide dry etch |
US10170282B2 (en) | 2013-03-08 | 2019-01-01 | Applied Materials, Inc. | Insulated semiconductor faceplate designs |
US20140271097A1 (en) | 2013-03-15 | 2014-09-18 | Applied Materials, Inc. | Processing systems and methods for halide scavenging |
US8895449B1 (en) | 2013-05-16 | 2014-11-25 | Applied Materials, Inc. | Delicate dry clean |
US9114438B2 (en) | 2013-05-21 | 2015-08-25 | Applied Materials, Inc. | Copper residue chamber clean |
US9493879B2 (en) | 2013-07-12 | 2016-11-15 | Applied Materials, Inc. | Selective sputtering for pattern transfer |
US9773648B2 (en) | 2013-08-30 | 2017-09-26 | Applied Materials, Inc. | Dual discharge modes operation for remote plasma |
US8956980B1 (en) | 2013-09-16 | 2015-02-17 | Applied Materials, Inc. | Selective etch of silicon nitride |
JP6267080B2 (ja) * | 2013-10-07 | 2018-01-24 | 東京エレクトロン株式会社 | シリコン窒化物膜の成膜方法および成膜装置 |
US8951429B1 (en) | 2013-10-29 | 2015-02-10 | Applied Materials, Inc. | Tungsten oxide processing |
US9576809B2 (en) | 2013-11-04 | 2017-02-21 | Applied Materials, Inc. | Etch suppression with germanium |
US9236265B2 (en) | 2013-11-04 | 2016-01-12 | Applied Materials, Inc. | Silicon germanium processing |
US9520303B2 (en) | 2013-11-12 | 2016-12-13 | Applied Materials, Inc. | Aluminum selective etch |
US9245762B2 (en) | 2013-12-02 | 2016-01-26 | Applied Materials, Inc. | Procedure for etch rate consistency |
US9117855B2 (en) | 2013-12-04 | 2015-08-25 | Applied Materials, Inc. | Polarity control for remote plasma |
US9287095B2 (en) | 2013-12-17 | 2016-03-15 | Applied Materials, Inc. | Semiconductor system assemblies and methods of operation |
US9263278B2 (en) | 2013-12-17 | 2016-02-16 | Applied Materials, Inc. | Dopant etch selectivity control |
US9190293B2 (en) | 2013-12-18 | 2015-11-17 | Applied Materials, Inc. | Even tungsten etch for high aspect ratio trenches |
US9287134B2 (en) | 2014-01-17 | 2016-03-15 | Applied Materials, Inc. | Titanium oxide etch |
US9396989B2 (en) | 2014-01-27 | 2016-07-19 | Applied Materials, Inc. | Air gaps between copper lines |
US9293568B2 (en) | 2014-01-27 | 2016-03-22 | Applied Materials, Inc. | Method of fin patterning |
US9385028B2 (en) | 2014-02-03 | 2016-07-05 | Applied Materials, Inc. | Air gap process |
US9214334B2 (en) | 2014-02-18 | 2015-12-15 | Lam Research Corporation | High growth rate process for conformal aluminum nitride |
US9499898B2 (en) | 2014-03-03 | 2016-11-22 | Applied Materials, Inc. | Layered thin film heater and method of fabrication |
US9299575B2 (en) | 2014-03-17 | 2016-03-29 | Applied Materials, Inc. | Gas-phase tungsten etch |
US9299537B2 (en) | 2014-03-20 | 2016-03-29 | Applied Materials, Inc. | Radial waveguide systems and methods for post-match control of microwaves |
US9299538B2 (en) | 2014-03-20 | 2016-03-29 | Applied Materials, Inc. | Radial waveguide systems and methods for post-match control of microwaves |
US9136273B1 (en) | 2014-03-21 | 2015-09-15 | Applied Materials, Inc. | Flash gate air gap |
US9903020B2 (en) | 2014-03-31 | 2018-02-27 | Applied Materials, Inc. | Generation of compact alumina passivation layers on aluminum plasma equipment components |
US9269590B2 (en) | 2014-04-07 | 2016-02-23 | Applied Materials, Inc. | Spacer formation |
US9309598B2 (en) | 2014-05-28 | 2016-04-12 | Applied Materials, Inc. | Oxide and metal removal |
US9847289B2 (en) | 2014-05-30 | 2017-12-19 | Applied Materials, Inc. | Protective via cap for improved interconnect performance |
US9406523B2 (en) | 2014-06-19 | 2016-08-02 | Applied Materials, Inc. | Highly selective doped oxide removal method |
US9378969B2 (en) | 2014-06-19 | 2016-06-28 | Applied Materials, Inc. | Low temperature gas-phase carbon removal |
US9425058B2 (en) | 2014-07-24 | 2016-08-23 | Applied Materials, Inc. | Simplified litho-etch-litho-etch process |
US9496167B2 (en) | 2014-07-31 | 2016-11-15 | Applied Materials, Inc. | Integrated bit-line airgap formation and gate stack post clean |
US9378978B2 (en) | 2014-07-31 | 2016-06-28 | Applied Materials, Inc. | Integrated oxide recess and floating gate fin trimming |
US9159606B1 (en) | 2014-07-31 | 2015-10-13 | Applied Materials, Inc. | Metal air gap |
US9165786B1 (en) | 2014-08-05 | 2015-10-20 | Applied Materials, Inc. | Integrated oxide and nitride recess for better channel contact in 3D architectures |
US9659753B2 (en) | 2014-08-07 | 2017-05-23 | Applied Materials, Inc. | Grooved insulator to reduce leakage current |
US9553102B2 (en) | 2014-08-19 | 2017-01-24 | Applied Materials, Inc. | Tungsten separation |
US9478411B2 (en) | 2014-08-20 | 2016-10-25 | Lam Research Corporation | Method to tune TiOx stoichiometry using atomic layer deposited Ti film to minimize contact resistance for TiOx/Ti based MIS contact scheme for CMOS |
US9478438B2 (en) | 2014-08-20 | 2016-10-25 | Lam Research Corporation | Method and apparatus to deposit pure titanium thin film at low temperature using titanium tetraiodide precursor |
US9355856B2 (en) | 2014-09-12 | 2016-05-31 | Applied Materials, Inc. | V trench dry etch |
US9214333B1 (en) | 2014-09-24 | 2015-12-15 | Lam Research Corporation | Methods and apparatuses for uniform reduction of the in-feature wet etch rate of a silicon nitride film formed by ALD |
US9355862B2 (en) | 2014-09-24 | 2016-05-31 | Applied Materials, Inc. | Fluorine-based hardmask removal |
US9368364B2 (en) | 2014-09-24 | 2016-06-14 | Applied Materials, Inc. | Silicon etch process with tunable selectivity to SiO2 and other materials |
US9613822B2 (en) | 2014-09-25 | 2017-04-04 | Applied Materials, Inc. | Oxide etch selectivity enhancement |
US9966240B2 (en) | 2014-10-14 | 2018-05-08 | Applied Materials, Inc. | Systems and methods for internal surface conditioning assessment in plasma processing equipment |
US9355922B2 (en) | 2014-10-14 | 2016-05-31 | Applied Materials, Inc. | Systems and methods for internal surface conditioning in plasma processing equipment |
US9564312B2 (en) | 2014-11-24 | 2017-02-07 | Lam Research Corporation | Selective inhibition in atomic layer deposition of silicon-containing films |
US9589790B2 (en) | 2014-11-24 | 2017-03-07 | Lam Research Corporation | Method of depositing ammonia free and chlorine free conformal silicon nitride film |
US11637002B2 (en) | 2014-11-26 | 2023-04-25 | Applied Materials, Inc. | Methods and systems to enhance process uniformity |
US9299583B1 (en) | 2014-12-05 | 2016-03-29 | Applied Materials, Inc. | Aluminum oxide selective etch |
US10224210B2 (en) | 2014-12-09 | 2019-03-05 | Applied Materials, Inc. | Plasma processing system with direct outlet toroidal plasma source |
US10573496B2 (en) | 2014-12-09 | 2020-02-25 | Applied Materials, Inc. | Direct outlet toroidal plasma source |
US9502258B2 (en) | 2014-12-23 | 2016-11-22 | Applied Materials, Inc. | Anisotropic gap etch |
US9343272B1 (en) | 2015-01-08 | 2016-05-17 | Applied Materials, Inc. | Self-aligned process |
US11257693B2 (en) | 2015-01-09 | 2022-02-22 | Applied Materials, Inc. | Methods and systems to improve pedestal temperature control |
US9373522B1 (en) | 2015-01-22 | 2016-06-21 | Applied Mateials, Inc. | Titanium nitride removal |
US9449846B2 (en) | 2015-01-28 | 2016-09-20 | Applied Materials, Inc. | Vertical gate separation |
US9728437B2 (en) | 2015-02-03 | 2017-08-08 | Applied Materials, Inc. | High temperature chuck for plasma processing systems |
US20160225652A1 (en) | 2015-02-03 | 2016-08-04 | Applied Materials, Inc. | Low temperature chuck for plasma processing systems |
US9881805B2 (en) | 2015-03-02 | 2018-01-30 | Applied Materials, Inc. | Silicon selective removal |
US10566187B2 (en) | 2015-03-20 | 2020-02-18 | Lam Research Corporation | Ultrathin atomic layer deposition film accuracy thickness control |
US9502238B2 (en) | 2015-04-03 | 2016-11-22 | Lam Research Corporation | Deposition of conformal films by atomic layer deposition and atomic layer etch |
US10577489B2 (en) | 2015-05-08 | 2020-03-03 | Dow Global Technologies Llc | Process for foaming polyolefin compositions using an azodicarbonamide/citrate mixture as a nucleating agent |
US10526701B2 (en) | 2015-07-09 | 2020-01-07 | Lam Research Corporation | Multi-cycle ALD process for film uniformity and thickness profile modulation |
US9691645B2 (en) | 2015-08-06 | 2017-06-27 | Applied Materials, Inc. | Bolted wafer chuck thermal management systems and methods for wafer processing systems |
US9741593B2 (en) | 2015-08-06 | 2017-08-22 | Applied Materials, Inc. | Thermal management systems and methods for wafer processing systems |
US9349605B1 (en) | 2015-08-07 | 2016-05-24 | Applied Materials, Inc. | Oxide etch selectivity systems and methods |
GB201514542D0 (en) | 2015-08-14 | 2015-09-30 | Thomas Simon C S | A method of producing graphene |
US10504700B2 (en) | 2015-08-27 | 2019-12-10 | Applied Materials, Inc. | Plasma etching systems and methods with secondary plasma injection |
US9601693B1 (en) | 2015-09-24 | 2017-03-21 | Lam Research Corporation | Method for encapsulating a chalcogenide material |
US10504754B2 (en) | 2016-05-19 | 2019-12-10 | Applied Materials, Inc. | Systems and methods for improved semiconductor etching and component protection |
US10522371B2 (en) | 2016-05-19 | 2019-12-31 | Applied Materials, Inc. | Systems and methods for improved semiconductor etching and component protection |
US9865484B1 (en) | 2016-06-29 | 2018-01-09 | Applied Materials, Inc. | Selective etch using material modification and RF pulsing |
US9773643B1 (en) | 2016-06-30 | 2017-09-26 | Lam Research Corporation | Apparatus and method for deposition and etch in gap fill |
US10062563B2 (en) | 2016-07-01 | 2018-08-28 | Lam Research Corporation | Selective atomic layer deposition with post-dose treatment |
US10629435B2 (en) | 2016-07-29 | 2020-04-21 | Lam Research Corporation | Doped ALD films for semiconductor patterning applications |
US10037884B2 (en) | 2016-08-31 | 2018-07-31 | Lam Research Corporation | Selective atomic layer deposition for gapfill using sacrificial underlayer |
US10074543B2 (en) | 2016-08-31 | 2018-09-11 | Lam Research Corporation | High dry etch rate materials for semiconductor patterning applications |
US9865455B1 (en) | 2016-09-07 | 2018-01-09 | Lam Research Corporation | Nitride film formed by plasma-enhanced and thermal atomic layer deposition process |
US10062575B2 (en) | 2016-09-09 | 2018-08-28 | Applied Materials, Inc. | Poly directional etch by oxidation |
US10629473B2 (en) | 2016-09-09 | 2020-04-21 | Applied Materials, Inc. | Footing removal for nitride spacer |
US9721789B1 (en) | 2016-10-04 | 2017-08-01 | Applied Materials, Inc. | Saving ion-damaged spacers |
US9934942B1 (en) | 2016-10-04 | 2018-04-03 | Applied Materials, Inc. | Chamber with flow-through source |
US10062585B2 (en) | 2016-10-04 | 2018-08-28 | Applied Materials, Inc. | Oxygen compatible plasma source |
US10546729B2 (en) | 2016-10-04 | 2020-01-28 | Applied Materials, Inc. | Dual-channel showerhead with improved profile |
US10062579B2 (en) | 2016-10-07 | 2018-08-28 | Applied Materials, Inc. | Selective SiN lateral recess |
US9947549B1 (en) | 2016-10-10 | 2018-04-17 | Applied Materials, Inc. | Cobalt-containing material removal |
US10832908B2 (en) | 2016-11-11 | 2020-11-10 | Lam Research Corporation | Self-aligned multi-patterning process flow with ALD gapfill spacer mask |
US10454029B2 (en) | 2016-11-11 | 2019-10-22 | Lam Research Corporation | Method for reducing the wet etch rate of a sin film without damaging the underlying substrate |
US10163696B2 (en) | 2016-11-11 | 2018-12-25 | Applied Materials, Inc. | Selective cobalt removal for bottom up gapfill |
US9768034B1 (en) | 2016-11-11 | 2017-09-19 | Applied Materials, Inc. | Removal methods for high aspect ratio structures |
US10242908B2 (en) | 2016-11-14 | 2019-03-26 | Applied Materials, Inc. | Airgap formation with damage-free copper |
US10134579B2 (en) | 2016-11-14 | 2018-11-20 | Lam Research Corporation | Method for high modulus ALD SiO2 spacer |
US10026621B2 (en) | 2016-11-14 | 2018-07-17 | Applied Materials, Inc. | SiN spacer profile patterning |
JP6733516B2 (ja) | 2016-11-21 | 2020-08-05 | 東京エレクトロン株式会社 | 半導体装置の製造方法 |
KR102292077B1 (ko) | 2016-12-09 | 2021-08-23 | 에이에스엠 아이피 홀딩 비.브이. | 열적 원자층 식각 공정 |
US10283319B2 (en) | 2016-12-22 | 2019-05-07 | Asm Ip Holding B.V. | Atomic layer etching processes |
US11011371B2 (en) * | 2016-12-22 | 2021-05-18 | Applied Materials, Inc. | SiBN film for conformal hermetic dielectric encapsulation without direct RF exposure to underlying structure material |
US10566206B2 (en) | 2016-12-27 | 2020-02-18 | Applied Materials, Inc. | Systems and methods for anisotropic material breakthrough |
US10431429B2 (en) | 2017-02-03 | 2019-10-01 | Applied Materials, Inc. | Systems and methods for radial and azimuthal control of plasma uniformity |
US10403507B2 (en) | 2017-02-03 | 2019-09-03 | Applied Materials, Inc. | Shaped etch profile with oxidation |
US10043684B1 (en) | 2017-02-06 | 2018-08-07 | Applied Materials, Inc. | Self-limiting atomic thermal etching systems and methods |
US10319739B2 (en) | 2017-02-08 | 2019-06-11 | Applied Materials, Inc. | Accommodating imperfectly aligned memory holes |
US10943834B2 (en) | 2017-03-13 | 2021-03-09 | Applied Materials, Inc. | Replacement contact process |
US10319649B2 (en) | 2017-04-11 | 2019-06-11 | Applied Materials, Inc. | Optical emission spectroscopy (OES) for remote plasma monitoring |
US11276559B2 (en) | 2017-05-17 | 2022-03-15 | Applied Materials, Inc. | Semiconductor processing chamber for multiple precursor flow |
US11276590B2 (en) | 2017-05-17 | 2022-03-15 | Applied Materials, Inc. | Multi-zone semiconductor substrate supports |
US10049891B1 (en) | 2017-05-31 | 2018-08-14 | Applied Materials, Inc. | Selective in situ cobalt residue removal |
US10497579B2 (en) | 2017-05-31 | 2019-12-03 | Applied Materials, Inc. | Water-free etching methods |
US10920320B2 (en) | 2017-06-16 | 2021-02-16 | Applied Materials, Inc. | Plasma health determination in semiconductor substrate processing reactors |
US10541246B2 (en) | 2017-06-26 | 2020-01-21 | Applied Materials, Inc. | 3D flash memory cells which discourage cross-cell electrical tunneling |
US10727080B2 (en) | 2017-07-07 | 2020-07-28 | Applied Materials, Inc. | Tantalum-containing material removal |
US10541184B2 (en) | 2017-07-11 | 2020-01-21 | Applied Materials, Inc. | Optical emission spectroscopic techniques for monitoring etching |
US10354889B2 (en) | 2017-07-17 | 2019-07-16 | Applied Materials, Inc. | Non-halogen etching of silicon-containing materials |
US10170336B1 (en) | 2017-08-04 | 2019-01-01 | Applied Materials, Inc. | Methods for anisotropic control of selective silicon removal |
US10043674B1 (en) | 2017-08-04 | 2018-08-07 | Applied Materials, Inc. | Germanium etching systems and methods |
US10297458B2 (en) | 2017-08-07 | 2019-05-21 | Applied Materials, Inc. | Process window widening using coated parts in plasma etch processes |
US10269559B2 (en) | 2017-09-13 | 2019-04-23 | Lam Research Corporation | Dielectric gapfill of high aspect ratio features utilizing a sacrificial etch cap layer |
US10283324B1 (en) | 2017-10-24 | 2019-05-07 | Applied Materials, Inc. | Oxygen treatment for nitride etching |
US10128086B1 (en) | 2017-10-24 | 2018-11-13 | Applied Materials, Inc. | Silicon pretreatment for nitride removal |
US10256112B1 (en) | 2017-12-08 | 2019-04-09 | Applied Materials, Inc. | Selective tungsten removal |
US10903054B2 (en) | 2017-12-19 | 2021-01-26 | Applied Materials, Inc. | Multi-zone gas distribution systems and methods |
US11328909B2 (en) | 2017-12-22 | 2022-05-10 | Applied Materials, Inc. | Chamber conditioning and removal processes |
US10854426B2 (en) | 2018-01-08 | 2020-12-01 | Applied Materials, Inc. | Metal recess for semiconductor structures |
US10679870B2 (en) | 2018-02-15 | 2020-06-09 | Applied Materials, Inc. | Semiconductor processing chamber multistage mixing apparatus |
US10964512B2 (en) | 2018-02-15 | 2021-03-30 | Applied Materials, Inc. | Semiconductor processing chamber multistage mixing apparatus and methods |
TWI766433B (zh) | 2018-02-28 | 2022-06-01 | 美商應用材料股份有限公司 | 形成氣隙的系統及方法 |
US10593560B2 (en) | 2018-03-01 | 2020-03-17 | Applied Materials, Inc. | Magnetic induction plasma source for semiconductor processes and equipment |
US11404275B2 (en) | 2018-03-02 | 2022-08-02 | Lam Research Corporation | Selective deposition using hydrolysis |
US10319600B1 (en) | 2018-03-12 | 2019-06-11 | Applied Materials, Inc. | Thermal silicon etch |
US10497573B2 (en) | 2018-03-13 | 2019-12-03 | Applied Materials, Inc. | Selective atomic layer etching of semiconductor materials |
US10573527B2 (en) | 2018-04-06 | 2020-02-25 | Applied Materials, Inc. | Gas-phase selective etching systems and methods |
US10490406B2 (en) | 2018-04-10 | 2019-11-26 | Appled Materials, Inc. | Systems and methods for material breakthrough |
US10699879B2 (en) | 2018-04-17 | 2020-06-30 | Applied Materials, Inc. | Two piece electrode assembly with gap for plasma control |
US10886137B2 (en) | 2018-04-30 | 2021-01-05 | Applied Materials, Inc. | Selective nitride removal |
US10755941B2 (en) | 2018-07-06 | 2020-08-25 | Applied Materials, Inc. | Self-limiting selective etching systems and methods |
US10872778B2 (en) | 2018-07-06 | 2020-12-22 | Applied Materials, Inc. | Systems and methods utilizing solid-phase etchants |
US10672642B2 (en) | 2018-07-24 | 2020-06-02 | Applied Materials, Inc. | Systems and methods for pedestal configuration |
US10892198B2 (en) | 2018-09-14 | 2021-01-12 | Applied Materials, Inc. | Systems and methods for improved performance in semiconductor processing |
US11049755B2 (en) | 2018-09-14 | 2021-06-29 | Applied Materials, Inc. | Semiconductor substrate supports with embedded RF shield |
US11062887B2 (en) | 2018-09-17 | 2021-07-13 | Applied Materials, Inc. | High temperature RF heater pedestals |
US11417534B2 (en) | 2018-09-21 | 2022-08-16 | Applied Materials, Inc. | Selective material removal |
US11682560B2 (en) | 2018-10-11 | 2023-06-20 | Applied Materials, Inc. | Systems and methods for hafnium-containing film removal |
US11121002B2 (en) | 2018-10-24 | 2021-09-14 | Applied Materials, Inc. | Systems and methods for etching metals and metal derivatives |
US11437242B2 (en) | 2018-11-27 | 2022-09-06 | Applied Materials, Inc. | Selective removal of silicon-containing materials |
CN109234703B (zh) * | 2018-11-27 | 2020-10-16 | 湖南顶立科技有限公司 | 一种气相沉积系统 |
US11721527B2 (en) | 2019-01-07 | 2023-08-08 | Applied Materials, Inc. | Processing chamber mixing systems |
US10920319B2 (en) | 2019-01-11 | 2021-02-16 | Applied Materials, Inc. | Ceramic showerheads with conductive electrodes |
KR20210150606A (ko) | 2019-05-01 | 2021-12-10 | 램 리써치 코포레이션 | 변조된 원자 층 증착 |
JP2021019201A (ja) | 2019-07-18 | 2021-02-15 | エーエスエム アイピー ホールディング ビー.ブイ. | 半導体処理システム用シャワーヘッドデバイス |
US11574813B2 (en) | 2019-12-10 | 2023-02-07 | Asm Ip Holding B.V. | Atomic layer etching |
CN118435321A (zh) * | 2022-03-15 | 2024-08-02 | 株式会社国际电气 | 基板处理装置、基板处理方法、半导体装置的制造方法、程序及气体供给单元 |
Family Cites Families (24)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
US3721583A (en) * | 1970-12-08 | 1973-03-20 | Ibm | Vapor phase epitaxial deposition process for forming superlattice structure |
JPS6262529A (ja) * | 1985-09-12 | 1987-03-19 | Toppan Printing Co Ltd | 窒化シリコン膜の作成方法 |
JPS62156822A (ja) * | 1985-12-27 | 1987-07-11 | Nippon Telegr & Teleph Corp <Ntt> | 絶縁薄膜とその形成方法及び形成装置 |
JPH0642474B2 (ja) * | 1988-03-31 | 1994-06-01 | 株式会社東芝 | 半導体製造装置 |
US5040046A (en) * | 1990-10-09 | 1991-08-13 | Micron Technology, Inc. | Process for forming highly conformal dielectric coatings in the manufacture of integrated circuits and product produced thereby |
US5225378A (en) * | 1990-11-16 | 1993-07-06 | Tokyo Electron Limited | Method of forming a phosphorus doped silicon film |
JP2912059B2 (ja) * | 1991-08-27 | 1999-06-28 | 山形日本電気株式会社 | 常圧cvd装置 |
JP2833946B2 (ja) * | 1992-12-08 | 1998-12-09 | 日本電気株式会社 | エッチング方法および装置 |
JPH06314660A (ja) * | 1993-03-04 | 1994-11-08 | Mitsubishi Electric Corp | 薄膜形成法及びその装置 |
JP3590451B2 (ja) | 1995-05-30 | 2004-11-17 | アネルバ株式会社 | 絶縁膜の作成方法 |
JPH10306377A (ja) * | 1997-05-02 | 1998-11-17 | Tokyo Electron Ltd | 微量ガス供給方法及びその装置 |
JP2000058527A (ja) * | 1998-08-05 | 2000-02-25 | Toshiba Mach Co Ltd | 回転型気相成長装置及び気相成長方法 |
KR100750420B1 (ko) * | 1999-08-17 | 2007-08-21 | 동경 엘렉트론 주식회사 | 플라즈마 보조 처리 실행 방법 및 플라즈마 보조 처리실행 리액터 |
JP2002134497A (ja) | 2000-10-23 | 2002-05-10 | Sony Corp | 半導体装置の製造方法 |
JP3403181B2 (ja) * | 2001-03-30 | 2003-05-06 | 東京エレクトロン株式会社 | 熱処理装置及び熱処理方法 |
JP4763235B2 (ja) * | 2001-08-29 | 2011-08-31 | 東京エレクトロン株式会社 | プラズマ処理のための装置並びに方法 |
US7125812B2 (en) * | 2002-01-15 | 2006-10-24 | Tokyo Electron Limited | CVD method and device for forming silicon-containing insulation film |
KR100449028B1 (ko) * | 2002-03-05 | 2004-09-16 | 삼성전자주식회사 | 원자층 증착법을 이용한 박막 형성방법 |
US7439191B2 (en) * | 2002-04-05 | 2008-10-21 | Applied Materials, Inc. | Deposition of silicon layers for active matrix liquid crystal display (AMLCD) applications |
KR20030081144A (ko) | 2002-04-11 | 2003-10-17 | 가부시키가이샤 히다치 고쿠사이 덴키 | 종형 반도체 제조 장치 |
JP3947126B2 (ja) * | 2002-04-11 | 2007-07-18 | 株式会社日立国際電気 | 半導体製造装置 |
JP4204840B2 (ja) * | 2002-10-08 | 2009-01-07 | 株式会社日立国際電気 | 基板処埋装置 |
JP4329403B2 (ja) * | 2003-05-19 | 2009-09-09 | 東京エレクトロン株式会社 | プラズマ処理装置 |
CN1670244B (zh) * | 2004-03-20 | 2010-05-05 | 鸿富锦精密工业(深圳)有限公司 | 气体配制系统及其气体配制方法 |
-
2006
- 2006-01-11 JP JP2006004192A patent/JP4506677B2/ja active Active
- 2006-03-06 US US11/367,339 patent/US20060207504A1/en not_active Abandoned
- 2006-03-08 TW TW095107830A patent/TWI352380B/zh not_active IP Right Cessation
- 2006-03-10 CN CN2006100581791A patent/CN1831192B/zh not_active Expired - Fee Related
- 2006-03-10 KR KR1020060022476A patent/KR100967238B1/ko active IP Right Grant
-
2008
- 2008-07-03 US US12/167,270 patent/US8343594B2/en active Active
Cited By (5)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
US8119544B2 (en) | 2008-01-12 | 2012-02-21 | Tokyo Electron Limited | Film formation method and apparatus for semiconductor process |
KR101146397B1 (ko) * | 2008-01-12 | 2012-05-17 | 도쿄엘렉트론가부시키가이샤 | 반도체 처리용 성막 방법 및 장치와, 컴퓨터로 판독 가능한매체 |
KR101105130B1 (ko) * | 2008-01-19 | 2012-01-16 | 도쿄엘렉트론가부시키가이샤 | 반도체 처리용 성막 방법 및 장치 |
KR101366002B1 (ko) * | 2010-04-09 | 2014-02-21 | 가부시키가이샤 히다치 고쿠사이 덴키 | 반도체 장치의 제조 방법, 기판 처리 방법 및 기판 처리 장치 |
KR101277966B1 (ko) * | 2010-10-27 | 2013-06-27 | 현대제철 주식회사 | 일렉트로 가스 용접의 용접부 이면 냉각 장치 |
Also Published As
Publication number | Publication date |
---|---|
US20060207504A1 (en) | 2006-09-21 |
TW200710952A (en) | 2007-03-16 |
JP2006287195A (ja) | 2006-10-19 |
CN1831192B (zh) | 2011-06-29 |
CN1831192A (zh) | 2006-09-13 |
US8343594B2 (en) | 2013-01-01 |
KR100967238B1 (ko) | 2010-06-30 |
TWI352380B (en) | 2011-11-11 |
US20080274302A1 (en) | 2008-11-06 |
JP4506677B2 (ja) | 2010-07-21 |
Similar Documents
Publication | Publication Date | Title |
---|---|---|
KR100967238B1 (ko) | 반도체 처리용 성막 방법 및 장치와, 컴퓨터로 판독 가능한 매체 | |
KR101105130B1 (ko) | 반도체 처리용 성막 방법 및 장치 | |
KR100935257B1 (ko) | 반도체 처리용 성막 방법 및 장치와, 컴퓨터 판독 가능 매체 | |
KR101086588B1 (ko) | 반도체 처리용의 성막 방법 및 장치와, 컴퓨터에서 판독가능한 매체 | |
US7964241B2 (en) | Film formation method and apparatus for semiconductor process | |
KR101146397B1 (ko) | 반도체 처리용 성막 방법 및 장치와, 컴퓨터로 판독 가능한매체 | |
KR101122964B1 (ko) | 반도체 처리용 종형 플라즈마 처리 장치 및 처리 방법과 반도체 처리용 종형 플라즈마 성막 장치 | |
KR100890684B1 (ko) | 반도체 처리용 성막 방법 | |
KR100954243B1 (ko) | 반도체 처리용 성막 장치 및 방법과 컴퓨터로 판독 가능한 매체 | |
US7758920B2 (en) | Method and apparatus for forming silicon-containing insulating film | |
KR100983452B1 (ko) | 실리콘 질화막의 형성 방법 | |
KR20080029846A (ko) | 실리콘 산화막을 형성하기 위한 성막 방법 및 장치 | |
KR20140097034A (ko) | 성막 방법 및 성막 장치 | |
KR101077695B1 (ko) | 종형 플라즈마 처리 장치 및 그 사용 방법 | |
KR100980126B1 (ko) | 성막 방법, 성막 장치 및 기억매체 | |
CN114342047A (zh) | 基板处理装置、等离子体生成装置、半导体装置的制造方法以及程序 |
Legal Events
Date | Code | Title | Description |
---|---|---|---|
A201 | Request for examination | ||
E902 | Notification of reason for refusal | ||
E902 | Notification of reason for refusal | ||
E701 | Decision to grant or registration of patent right | ||
GRNT | Written decision to grant | ||
FPAY | Annual fee payment |
Payment date: 20130531 Year of fee payment: 4 |
|
FPAY | Annual fee payment |
Payment date: 20140603 Year of fee payment: 5 |
|
FPAY | Annual fee payment |
Payment date: 20150515 Year of fee payment: 6 |
|
FPAY | Annual fee payment |
Payment date: 20160517 Year of fee payment: 7 |
|
FPAY | Annual fee payment |
Payment date: 20170522 Year of fee payment: 8 |
|
FPAY | Annual fee payment |
Payment date: 20180618 Year of fee payment: 9 |
|
FPAY | Annual fee payment |
Payment date: 20190618 Year of fee payment: 10 |