KR100890684B1 - 반도체 처리용 성막 방법 - Google Patents

반도체 처리용 성막 방법 Download PDF

Info

Publication number
KR100890684B1
KR100890684B1 KR1020050068174A KR20050068174A KR100890684B1 KR 100890684 B1 KR100890684 B1 KR 100890684B1 KR 1020050068174 A KR1020050068174 A KR 1020050068174A KR 20050068174 A KR20050068174 A KR 20050068174A KR 100890684 B1 KR100890684 B1 KR 100890684B1
Authority
KR
South Korea
Prior art keywords
gas
processing
film
region
supply
Prior art date
Application number
KR1020050068174A
Other languages
English (en)
Other versions
KR20060048790A (ko
Inventor
가즈히데 하세베
미쯔히로 오까다
파오화 초우
김채호
쥰 오가와
Original Assignee
도쿄엘렉트론가부시키가이샤
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 도쿄엘렉트론가부시키가이샤 filed Critical 도쿄엘렉트론가부시키가이샤
Publication of KR20060048790A publication Critical patent/KR20060048790A/ko
Application granted granted Critical
Publication of KR100890684B1 publication Critical patent/KR100890684B1/ko

Links

Images

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45527Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations
    • C23C16/45531Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations specially adapted for making ternary or higher compositions
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/34Nitrides
    • C23C16/345Silicon nitride
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45527Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations
    • C23C16/45536Use of plasma, radiation or electromagnetic fields
    • C23C16/45542Plasma being used non-continuously during the ALD reactions
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45544Atomic layer deposition [ALD] characterized by the apparatus
    • C23C16/45546Atomic layer deposition [ALD] characterized by the apparatus specially adapted for a substrate stack in the ALD reactor
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45553Atomic layer deposition [ALD] characterized by the use of precursors specially adapted for ALD
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45563Gas nozzles
    • C23C16/45576Coaxial inlets for each gas
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45563Gas nozzles
    • C23C16/45578Elongated nozzles, tubes with holes
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/50Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges
    • C23C16/505Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges using radio frequency discharges
    • C23C16/507Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges using radio frequency discharges using external electrodes, e.g. in tunnel type reactors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02126Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing Si, O, and at least one of H, N, C, F, or other non-metal elements, e.g. SiOC, SiOC:H or SiONC
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02167Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon carbide not containing oxygen, e.g. SiC, SiC:H or silicon carbonitrides
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02205Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
    • H01L21/02208Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/02274Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition in the presence of a plasma [PECVD]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/0228Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition deposition by cyclic CVD, e.g. ALD, ALE, pulsed CVD

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Organic Chemistry (AREA)
  • Metallurgy (AREA)
  • Mechanical Engineering (AREA)
  • Materials Engineering (AREA)
  • Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Power Engineering (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Manufacturing & Machinery (AREA)
  • General Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Plasma & Fusion (AREA)
  • Inorganic Chemistry (AREA)
  • Electromagnetism (AREA)
  • Formation Of Insulating Films (AREA)
  • Chemical Vapour Deposition (AREA)
  • Insulated Gate Type Field-Effect Transistor (AREA)

Abstract

실란계 가스를 포함하는 제1 처리 가스와 질화 가스 또는 산질화 가스를 포함하는 제2 처리 가스와 도핑 가스를 포함하는 제3 처리 가스를 선택적으로 공급 가능한 처리 영역 내에서, 피처리 기판 상에 CVD에 의해 불순물을 함유하는 실리콘 질화물 또는 실리콘 산질화물의 막을 형성한다. 이 성막 방법은 제1 내지 제4 공정을 교대로 구비한다. 제1 공정에서는 처리 영역에 대한 제1 및 제3 처리 가스의 공급을 행한다. 제2 공정에서는 처리 영역에 대한 제1, 제2 및 제3 처리 가스의 공급을 정지한다. 제3 공정에서는 처리 영역에 대한 제2 처리 가스의 공급을 행하는 한편, 처리 영역에 대한 제1 및 제3 처리 가스의 공급을 정지한다. 제3 공정은 제2 처리 가스를 여기 기구에 의해 여기한 상태에서 처리 영역에 공급하는 여기 기간을 구비한다. 제4 공정에서는 처리 영역에 대한 제1, 제2 및 제3 처리 가스의 공급을 정지한다.
처리 용기, 웨이퍼 보트, 매니폴드, 가스 노즐, 승강 기구

Description

반도체 처리용 성막 방법 {FILM FORMATION METHOD FOR SEMICONDUCTOR PROCESS}
도1은 본 발명의 실시 형태에 관한 성막 장치(종형 CVD 장치)를 도시하는 단면도.
도2는 도1에 도시한 장치의 일부를 도시한 횡단 평면도.
도3은 본 발명의 제1 실시 형태에 관한 성막 방법에 있어서의 가스 공급 및 RF(고주파) 인가의 형태를 나타내는 흐름도.
도4는 BCl3의 가스의 유량과 유전율과의 관계를 나타내는 그래프.
도5는 SiBN막의 굴절률과 유전율과의 관계를 나타내는 그래프.
도6은 굴절률과 에칭 레이트와의 관계를 나타내는 그래프.
도7은 BCl3의 유량과 에칭 레이트와의 관계를 나타내는 그래프.
도8은 본 발명의 제2 실시 형태에 관한 성막 방법에 있어서의 가스 공급 및 RF(고주파)의 인가 형태를 나타내는 흐름도.
도9는 제1 및 제2 실시 형태에 관한 성막 방법에 있어서의 성막율과 그 개선율을 나타내는 그래프.
도10a, 도10b는 NMOS 트랜지스터 및 PMOS 트랜지스터의 단면을 각각 도시하 는 개략도.
도11a, 도11b는 BCl3의 가스의 유량과 막의 응력와의 관계를 나타내는 그래프.
도12는 주 제어부의 구성의 개략을 도시하는 블럭도.
<도면의 주요 부분에 대한 부호의 설명>
2 : 성막 장치
4 : 처리 용기
5 : 처리 영역
6 : 천정판
8 : 매니폴드
10 : 밀봉 부재
12 : 웨이퍼 보트
12A : 지지 기둥
14 : 보온통
16 : 테이블
18 : 덮개
20 : 회전축
22 : 자성 유체 밀봉
25 : 승강 기구
28, 30, 32 : 가스 공급계
34, 35, 36, 38 : 가스 노즐
42, 43, 44, 46 : 가스 공급 라인
48 : 주 제어부
50 : 가스 여기부
52 : 배기구
56 : 커버
58 : 전극
60 : 고주파 전원
62 : 급전 라인
70 : 히터
본 발명은, 반도체 웨이퍼 등의 피처리 기판 상에 불순물을 함유하는 실리콘 질화물 또는 실리콘 산질화물의 막을 형성하는 반도체 처리용의 성막 방법 및 장치에 관한 것이다. 반도체 처리라 함은, 웨이퍼나 LCD(Liquid Crystal Display)나 FPD(Flat Panel Display)용의 유리 기판 등의 피처리 기판 상에 반도체층, 절연층, 도전층 등을 소정의 패턴으로 형성함으로써, 상기 피처리 기판 상에 반도체 디바이스나 반도체 디바이스에 접속되는 배선 및 전극 등을 포함하는 구조물을 제조하기 위해 실시되는 다양한 처리를 의미한다.
반도체 집적 회로를 구성하는 반도체 디바이스의 제조에 있어서는 피처리 기판, 예를 들어 반도체 웨이퍼에 성막, 에칭, 산화, 확산, 개질, 어닐, 자연 산화막의 제거 등 각종의 처리가 실시된다. 일본 특허 공개 제2004-6801호 공보는 종형(이른바 배치식)의 열처리 장치에 있어서 이러한 종류의 반도체 처리 방법을 개시한다. 이 방법에서는, 우선 반도체 웨이퍼가 웨이퍼 카세트로부터 종형의 웨이퍼 보트 상에 이동 적재되어 다단에 지지된다. 웨이퍼 카세트에는, 예를 들어 25매의 웨이퍼를 수용할 수 있고, 웨이퍼 보트에는 30 내지 150매의 웨이퍼를 적재할 수 있다. 다음에, 웨이퍼 보트가 처리 용기의 하방으로부터 그 내부에 로드되는 동시에, 처리 용기가 기밀하게 폐쇄된다. 다음에, 처리 가스의 유량, 처리 압력, 처리 온도 등 각종의 처리 조건이 제어된 상태에서 소정의 열 처리가 행해진다.
종래, 반도체 디바이스의 절연막으로서 실리콘 산화막(SiO2막)이 주로 사용되고 있었다. 그러나, 최근 반도체 집적 회로의 또 다른 고집적화 및 고미세화의 요구에 수반하여 용도에 따라서, 실리콘 산화막에 대신하여 실리콘 질화막(Si3N4막)이 사용되고 있다. 예를 들어, 실리콘 질화막은 내산화막, 불순물의 확산 방지막, 게이트 전극 구조의 측벽막으로서 배치된다. 실리콘 질화막은 불순물의 확산계수가 낮고, 또한 산화 배리어성이 높으므로, 상술한 바와 같은 절연막으로서 매우 적합하다.
한편, 최근 반도체 디바이스의 동작 속도의 고속화도 중요한 요소로 되어 있 다. 이 점에 관해, 실리콘 질화막은 유도율이 비교적 높고, 기생 용량을 증대시키기 때문에 문제가 생긴다. 즉, 기생 용량이 커지면 전자의 이동도가 억제되어 디바이스의 동작 속도가 저하된다. 또, 실리콘 질화막을 전하 축전형의 센서에 이용한 경우에는 기생 용량에 따라 백그라운드 레벨이 증가된다는 문제도 있다.
이러한 관점으로부터, 실리콘 질화막에 불순물을 도핑함으로써, 불순물의 확산 계수나 산화 배리어성을 유지하면서 유전율을 저하시키는 것이 제안되어 있다. 일본 특허 공개 평6-34974호 공보는 CVD(Chemical Vapor Deposition)에 의해 불순물로서 붕소(B)를 도핑한 실리콘 질화막을 형성하는 방법을 개시한다. 이 붕소 함유 실리콘 질화막(SiBN)은 불순물의 확산 계수가 낮고, 산화 배리어성이 높고, 게다가 유전율도 매우 작기 때문에 절연막으로서 매우 우수하다.
그러나, CVD에 의해 형성된 붕소 함유 실리콘 질소막은 비교적 취약하고 에칭 내성이 낮다. 이로 인해, 후공정에 있어서, 예를 들어 드라이 에칭을 행한 경우, 붕소 함유 실리콘 질화막이 지나치게 에칭된다는 문제가 있다.
본 발명의 목적은 유전율이 낮고 또한 에칭 내성이 높고, 불순물을 함유하는 실리콘 질화물 또는 실리콘 산질화물의 막을 형성하는 반도체 처리용의 성막 방법 및 장치를 제공하는 것이다.
본 발명의 제1 시점은 실란계 가스를 포함하는 제1 처리 가스와 질화 가스 또는 산질화 가스를 포함하는 제2 처리 가스와 도핑 가스를 포함하는 제3 처리 가스를 선택적으로 공급 가능한 처리 영역 내이고, 피처리 기판 상에 CVD에 의해 불 순물을 함유하는 실리콘 질화물 또는 실리콘 산질화물의 막을 형성하는 반도체 처리용의 성막 방법이며,
상기 처리 영역에 대한 상기 제1 및 제3 처리 가스의 공급을 행하는 제1 공정과,
상기 처리 영역에 대한 상기 제1, 제2 및 제3 처리 가스의 공급을 정지하는 제2 공정과,
상기 처리 영역에 대한 상기 제2 처리 가스의 공급을 행하는 한편, 상기 처리 영역에 대한 상기 제1 및 제3 처리 가스의 공급을 정지하는 제3 공정으로서, 상기 제3 공정은 상기 제2 처리 가스를 여기 구조에 의해 여기한 상태에서 상기 처리 영역에 공급하는 여기 기간을 구비하는 제3 공정과,
상기 처리 영역에 대한 상기 제1, 제2 및 제3 처리 가스의 공급을 정지하는 제4 공정을 교대로 구비한다.
본 발명의 제2 시점은 반도체 처리용의 성막 장치이며,
피처리 기판을 수납하는 처리 영역을 갖는 처리 용기와,
상기 처리 영역 내에서 상기 피처리 기판을 지지하는 지지 부재와,
상기 처리 영역 내의 상기 피처리 기판을 가열하는 히터와,
상기 처리 영역 내를 배기하는 배기계와,
상기 처리 영역에 실란계 가스를 포함하는 제1 처리 가스를 공급하는 제1 처리 가스 공급계와,
상기 처리 영역에 질화 가스 또는 산질화 가스를 포함하는 제2 처리 가스 공 급계와,
상기 처리 영역에 도핑 가스를 포함하는 제3 처리 가스를 공급하는 제3 처리 가스 공급계와,
상기 처리 영역에 공급되는 상기 제2 처리 가스를 선택적으로 여기하는 여기 기구와,
상기 장치의 동작을 제어하는 제어부를 구비하고, 상기 제어부는 상기 피처리 기판 상에 CVD에 의해 불순물을 함유하는 실리콘 질화물 또는 실리콘 산질화물의 막을 형성하기 위해,
상기 처리 영역에 대한 상기 제1 및 제3 처리 가스의 공급을 행하는 제1 공정과,
상기 처리 영역에 대한 상기 제1, 제2 및 제3 처리 가스의 공급을 정지하는 제2 공정과,
상기 처리 영역에 대한 상기 제2 처리 가스의 공급을 행하는 한편, 상기 처리 영역에 대한 상기 제1 및 제3 처리 가스의 공급을 정지하는 제3 공정으로서, 상기 제3 공정은 상기 제2 처리 가스를 여기 기구에 의해 여기한 상태에서 상기 처리 영역에 공급하는 여기 기간을 구비하는 제3 공정과,
상기 처리 영역에 대한 상기 제1, 제2 및 제3 처리 가스의 공급을 정지하는 제4 공정을 교대로 실행한다.
본 발명의 제3 시점은 프로세서 상에서 실행하기 위한 프로그램 지령을 포함하는 컴퓨터로 판독 가능한 매체이며,
상기 프로그램 지령은 프로세서에 의해 실행될 때, 실란계 가스를 포함하는 제1 처리 가스와 질화 가스 또는 산질화 가스를 포함하는 제2 처리 가스와 도핑 가스를 포함하는 제3 처리 가스를 선택적으로 공급 가능한 처리 영역 내에서 피처리 기판 상에 CVD에 의해 불순물을 함유하는 실리콘 질화물 또는 실리콘 산질화물의 막을 형성하는 반도체 처리용의 성막 장치에,
상기 처리 영역에 대한 상기 제1 및 제3 처리 가스의 공급을 행하는 제1 공정과,
상기 처리 영역에 대한 상기 제1, 제2 및 제3 처리 가스의 공급을 정지하는 제2 공정과,
상기 처리 영역에 대한 상기 제2 처리 가스의 공급을 행하는 한편, 상기 처리 영역에 대한 상기 제1 및 제3 처리 가스의 공급을 정지하는 제3 공정으로서, 상기 제3 공정은 상기 제2 처리 가스를 여기 기구에 의해 여기한 상태에서 상기 처리 영역에 공급하는 여기 기간을 구비하는 제3 공정과,
상기 처리 영역에 대한 상기 제1, 제2 및 제3 처리 가스의 공급을 정지하는 제4 공정을 교대로 실행시킨다.
제1 내지 제3 시점에 있어서, 상기 제1 처리 가스는 디클로로실란(DCS), 헥사클로로디실란(HCD), 모노실란[SiH4], 디실란[Si2H6], 헥사메틸디실라잔(HMDS), 테트라클로로실란(TCS), 디실릴아민(DSA), 트리실릴아민(TSA), 비스터셜부틸아미노실란(BTBAS)으로 이루어지는 군으로부터 선택되는 1 이상의 가스를 포함할 수 있다. 상기 제2 처리 가스는 암모니아[NH3], 질소[N2], 일산화이질소[N2O], 일산화질소[NO]로 이루어지는 군으로부터 선택되는 1 이상의 가스를 포함할 수 있다. 상기 도핑 가스는 BCl3, B2H6, BF3, B(CH3)3으로 이루어지는 군으로부터 선택되는 1 이상의 가스를 포함할 수 있다.
본 발명의 부가의 목적 및 장점은 후술하는 상세한 설명에 설명되고, 이 설명으로부터 부분적으로 명백해지거나 본 발명의 실시에 의해 습득될 수 있을 거싱다. 본 발명의 목적 및 장점은 이하에 특히 설명되는 도구 및 조합에 의해 실현되고 얻어질 수 있을 것이다.
본 명세서에 합체되어 그 부분을 구성하는 첨부 도면은 상술한 일반적인 설명 및 바람직한 실시예의 상세한 설명과 함께 본 발명의 원리를 설명하는 기능을 한다.
이하에, 본 발명의 실시 형태에 대해 도면을 참조하여 설명한다. 또, 이하의 설명에 있어서 대략 동일한 기능 및 구성을 갖는 구성 요소에 대해서는 동일 부호를 부여하고, 중복 설명은 필요한 경우에만 행한다.
도1은 본 발명의 실시 형태에 관한 성막 장치(종형 CVD 장치)를 도시하는 단면도이다. 도2는 도1에 도시한 장치의 일부를 도시하는 횡단 평면도이다. 이 성막 장치(2)는 실란계 가스인 디클로로실란(DCS) 가스를 포함하는 제1 처리 가스(원료 가스)와, 질화 가스인 암모니아(NH3) 가스를 포함하는 제2 처리 가스(지원 가스) 와, 도핑 가스인 BCl3 가스를 포함하는 제3 처리 가스(보조 가스)를 사용하여 불순물로서 붕소를 함유하는 실리콘 질화막(SiBN)을 형성하도록 구성된다.
성막 장치(2)는 간격을 두고 적층된 복수의 반도체 웨이퍼(피처리 기판)를 수납하여 처리하는 처리 영역(5)을 내부에 규정하는 하단부가 개구된 천정이 있는 원통체 형상의 처리 용기(4)를 갖는다. 처리 용기(4)의 전체는, 예를 들어 석영에 의해 형성된다. 처리 용기(4) 내의 천정에는 석영으로 된 천정판(6)이 배치되어 밀봉된다. 처리 용기(4)의 하단부 개구에는 원통체 형상으로 성형된 매니폴드(8)가 O링 등의 밀봉 부재(10)를 통해 연결된다.
매니폴드(8)는 예를 들어 스테인레스 스틸로 이루어지고, 처리 용기(4)의 하단부를 지지한다. 매니폴드(8)의 하단부 개구를 통해 석영으로 된 웨이퍼 보트(12)가 승강되고, 이에 의해 처리 용기(4)에 대해 웨이퍼 보트(12)가 로드/언로드된다. 웨이퍼 보트(12)에는 피처리 기판으로서 다수매의 반도체 웨이퍼(W)가 다단으로 적재된다. 예를 들어 본 실시 형태의 경우에 있어서, 웨이퍼 보트(12)의 지지 기둥(12A)에는, 예를 들어 50 내지 100매 정도의 직경이 300 ㎜의 웨이퍼(W)가 대략 등피치로 다단으로 지지 가능하게 된다.
웨이퍼 보트(12)는 석영으로 된 보온통(14)을 통해 테이블(16) 상에 적재된다. 테이블(16)은 매니폴드(8)의 하단부 개구를 개폐하는 예를 들어 스테인레스 스틸로 된 덮개 부재(18)를 관통하는 회전축(20) 상에 지지된다.
회전축(20)의 관통부에는, 예를 들어 자성 유체 밀봉(22)이 개재 설치되고, 회전축(20)을 기밀하게 밀봉하면서 회전 가능하게 지지한다. 덮개 부재(18)의 주변부와 매니폴드(8)의 하단부에는, 예를 들어 O링 등으로 이루어지는 밀봉 부재(24)가 개재 설치되어 용기 내의 밀봉성을 보유 지지한다.
회전축(20)은, 예를 들어 보트 엘리베이터 등의 승강 기구(25)에 지지된 아암(26)의 선단부에 부착된다. 승강 기구(25)에 의해, 웨이퍼 보트(12) 및 덮개 부재(18) 등이 일체적으로 승강된다. 또, 테이블(16)을 덮개 부재(18)측으로 고정하여 설치하고, 웨이퍼 보트(12)를 회전시키는 일 없이 웨이퍼(W)의 처리를 행하도록 해도 좋다.
매니폴드(8)의 측부에는 처리 용기(4) 내의 처리 영역(5)에 소정의 처리 가스를 공급하기 위한 가스 공급부가 접속된다. 가스 공급부는 지원 가스 공급계(제2 처리 가스 공급계)(28), 원료 가스 공급계(제1 처리 가스 공급계)(30), 보조 가스 공급계(제3 처리 가스 공급계)(31) 및 퍼지 가스 공급계(32)를 포함한다. 원료 가스 공급계(30)는 실란계 가스로서 DCS(디클로로실란) 가스를 포함하는 원료 가스를 공급한다. 지원 가스 공급계(28)는 질화 가스로서 암모니아(NH3) 가스를 포함하는 지원 가스를 공급한다. 보조 가스 공급계(31)는 도핑 가스로서 BCl3 가스를 포함하는 보조 가스를 공급한다. 퍼지 가스 공급계(32)는 퍼지 가스로서 불활성 가스, 예를 들어 N2 가스를 공급한다. 원료 가스, 지원 가스 및 보조 가스(제1, 제2 및 제3 처리 가스)에는 필요에 따라서 적당한 양의 캐리어 가스가 혼합되지만, 이하에는 설명을 용이하게 하기 위해 캐리어 가스에 대해서는 언급하지 않는다.
구체적으로는 지원 가스 공급계(28), 원료 가스 공급계(30) 및 보조 가스 공급계(31)는 매니폴드(8)의 측벽을 내측으로 관통하여 상방향으로 굴곡되어 연장되는 석영관으로 이루어지는 가스 분산 노즐(34, 36, 37)을 각각 갖는다(도2 참조). 각 가스 분산 노즐(34, 36, 37)에는 그 길이 방향(상하 방향)에 따라서 또한 웨이퍼 보트(12) 상의 웨이퍼(W)의 전체에 걸치도록 복수의 가스 분사 구멍(34A, 36A, 37A)이 소정의 간격을 두고 형성된다. 가스 분사 구멍(34A, 36A, 37A)은 웨이퍼 보트(12) 상의 복수의 웨이퍼(W)에 대해 평행한 가스의 흐름을 형성하도록, 수평 방향으로 대략 균일하게, 지원 가스(NH3 가스를 포함함), 원료 가스(DCS를 포함함) 및 보조 가스(BCl3 가스를 포함함)를 각각 공급한다. 한편, 퍼지 가스 공급계(32)는 매니폴드(8)의 측벽을 관통하여 설치한 짧은 가스 노즐(38)을 갖는다.
노즐(34, 36, 37, 38)은 가스 공급 라인(가스 통로)(42, 44, 45, 46)을 통해, NH3 가스, DCS 가스, BCl3 가스 및 N2 가스의 가스원(28S, 30S, 31S, 32S)에 각각 접속된다. 가스 공급 라인(42, 44, 45, 46) 상에는 개폐 밸브(42A, 44A, 45A, 46A)와 질량 유량 제어기와 같은 유량 제어기(42B, 44B, 45B, 46B)가 배치된다. 이에 의해, NH3 가스, DCS 가스, BCl3 가스 및 N2 가스가 각각 유량 제어하면서 공급 가능해진다.
처리 용기(4)의 측벽의 일부에는 그 높이 방향에 따라서 가스 여기부(50)가 배치된다. 가스 여기부(50)에 대향하는 처리 용기(4)의 반대측에는 이 내부 분위기를 진공 배기하기 위해, 처리 용기(4)의 측벽을, 예를 들어 상하 방향으로 삭감 함으로써 형성한 가늘고 긴 배기구(52)가 배치된다.
구체적으로는, 가스 여기부(50)는 처리 용기(4)의 측벽을 상하 방향에 따라서 소정의 폭으로 삭감함으로써 형성한 상하로 가늘고 긴 개구(54)를 갖는다. 개구(54)는 처리 용기(4)의 외벽에 기밀하게 용접 접합된 석영으로 된 커버(56)에 의해 덮여진다. 커버(56)는 처리 용기(4)의 외측으로 돌출하도록 단면 오목부 형상을 이루고, 또한 상하로 가늘고 긴 형상을 갖는다.
이 구성에 의해, 처리 용기(4)의 측벽으로부터 돌출하고 또한 일측이 처리 용기(4) 내로 개구하는 가스 여기부(50)가 형성된다. 즉, 가스 여기부(50)의 내부 공간은 처리 용기(4) 내의 처리 영역(5)에 연통한다. 개구(54)는 웨이퍼 보트(12)로 보유 지지되는 모든 웨이퍼(W)를 높이 방향에 있어서 커버할 수 있게 상하 방향으로 충분히 길게 형성된다.
커버(56)의 양측벽의 외측면에는 그 길이 방향(상하 방향)에 따라서 서로 대향하도록 하여 가늘고 긴 한 쌍의 전극(58)이 배치된다. 전극(58)에는 플라즈마 발생용의 고주파 전원(60)이 급전 라인(62)을 통해 접속된다. 전극(58)에 예를 들어 13.56 ㎒의 고주파 전압을 인가함으로써, 한 쌍의 전극(58) 사이에 플라즈마를 여기하기 위한 고주파 전계가 형성된다. 또, 고주파 전압의 주파수는 13.56 ㎒에 한정되지 않고, 다른 주파수, 예를 들어 400 ㎑ 등을 이용해도 좋다.
지원 가스(제2 처리 가스)의 가스 분산 노즐(34)은 웨이퍼 보트(12) 상의 최하 레벨의 웨이퍼(W)보다도 아래의 위치에서, 처리 용기(4)의 반경 방향 외측으로 굴곡된다. 그 후, 가스 분산 노즐(34)은 가스 여기부(50) 내의 가장 안 쪽[처리 용기(4)의 중심으로부터 가장 떨어진 부분]의 위치에서 수직으로 기립한다. 가스 분산 노즐(34)은, 도2에도 도시한 바와 같이 한 쌍에 대향하는 전극(58)에 끼워진 영역(고주파 전계가 가장 강한 위치), 즉 주된 플라즈마가 실제로 발생하는 플라즈마 발생 영역(PS)보다도 외측으로 떨어진 위치에 배치된다. 가스 분산 노즐(34)의 가스 분사 구멍(34A)으로부터 분사된 NH3 가스를 포함하는 제2 처리 가스는 플라즈마 발생 영역(PS)을 향해 분사되고, 여기서 여기(분해 혹은 활성화)되고, 그 상태에서 웨이퍼 보트(12) 상의 웨이퍼(W)에 공급된다.
커버(56)의 외측에는, 이를 덮도록 하여 예를 들어 석영으로 이루어지는 절연 보호 커버(64)가 부착된다. 절연 보호 커버(64)의 내측이며 전극(58)과 대향하는 부분에는 냉매 통로로 이루어지는 냉매 기구(도시하지 않음)가 배치된다. 냉매 통로에 냉매로서 예를 들어 냉각된 질소 가스를 흐르게 함으로써 전극(58)이 냉각된다. 또, 절연 보호 커버(64)의 외측에는 이를 덮어 고주파의 누설을 방지하기 위해 실드(도시하지 않음)가 배치된다.
가스 여기부(50)의 개구(54)의 외측 근방, 즉 개구(54)의 외측[처리 용기(4) 내]의 양측에 원료 가스(제1 처리 가스) 및 보조 가스(제3 처리 가스)의 가스 분산 노즐(36, 37)이 서로 대향하도록 수직으로 기립시켜 배치된다. 가스 분산 노즐(36, 37)로 형성된 가스 분사 구멍(36A, 37A)으로부터 처리 용기(4)의 중심 방향을 향해 DCS 가스를 포함하는 원료 가스 및 BCl3 가스를 포함하는 보조 가스가 각각 분사된다.
한편, 가스 여기부(50)에 대향시켜 설치한 배기구(52)에는, 이를 덮도록 하여 석영으로 이루어지는 단면 역ㄷ자형으로 성형된 배기구 커버 부재(66)가 용접에 의해 부착된다. 배기 커버 부재(66)는 처리 용기(4)의 측벽에 따라서 상방으로 연장되고, 처리 용기(4)의 상방에 가스 출구(680가 형성된다. 가스 출구(68)에는 진공 펌프 등을 배치한 진공 배기계(GE)가 접속된다.
처리 용기(4)를 포위하도록, 처리 용기(4) 내의 분위기 및 웨이퍼(W)를 가열하는 히터(70)가 배치된다. 처리 용기(4) 내의 배기구(70)의 근방에는 히터(70)를 제어하기 위한 열전대(도시하지 않음)가 배치된다.
또한 성막 장치(2)를 장치 전체의 동작을 제어하는 컴퓨터 등으로 이루어지는 주 제어부(48)를 구비한다. 주 제어부(48)는, 이에 부수하는 기억부에 미리 기억된 성막 처리의 처리 레시피, 예를 들어 형성되는 막의 막 두께나 조성에 따라서 후술하는 성막 처리를 행한다. 이 기억부에는 또한, 처리 가스 유량과 막의 막 두께나 조성과의 관계가 미리 제어 데이터로서 기억된다. 따라서, 주 제어부(48)는 이러한 기억된 처리 레시피나 제어 데이터를 기초로 하여, 승강 기구(25), 가스 공급계(28, 30, 31, 32), 배기계(GE), 가스 여기부(50), 히터(70) 등을 제어할 수 있다.
다음에 도1에 도시한 장치를 이용하여 행할 수 있는 성막 방법[이른바 ALD(Atomic Layer Deposition) 성막]에 대해 설명한다. 개략적으로는, 이 성막 방법에서는 웨이퍼(W)를 수납한 처리 영역(5) 내에 실란계 가스인 디클로로실란(DCS) 가스를 포함하는 제1 처리 가스(원료 가스)와, 질화 가스인 암모니아(NH3) 가스를 포함하는 제2 처리 가스(지원 가스)와, 도핑 가스인 BCl3 가스를 포함하는 제3 처리 가스(보조 가스)를 공급하고, CVD에 의해 웨이퍼(W) 상에 불순물로서 붕소를 함유하는 실리콘 질화막(SiBN)을 형성한다.
우선, 다수매, 예를 들어 50 내지 100매의 300 ㎜ 사이즈의 웨이퍼(W)를 보유 지지한 상온의 웨이퍼 보트(12)를 소정의 온도로 설정된 처리 용기(4) 내에 로드한다. 다음에, 처리 용기(8) 내를 진공화하여 소정의 처리 압력으로 유지하는 동시에, 웨이퍼 온도를 상승시켜 성막용의 처리 온도로 안정되기까지 대기한다. 다음에, DCS 가스를 포함하는 원료 가스와 NH3 가스를 포함하는 지원 가스와 BCl3 가스를 포함하는 보조 가스를, 각각 유량 제어하면서 가스 분산 노즐(34, 36, 37)로부터 간헐적으로 공급한다.
구체적으로는, DCS 가스를 포함하는 원료 가스 및 BCl3 가스를 포함하는 보조 가스는 가스 분산 노즐(36, 37)의 가스 분사 구멍(36A, 37A)으로부터, 웨이퍼 보트(12) 상의 복수의 웨이퍼(W)에 대해 평행한 가스의 흐름을 형성하도록 공급된다. 이 동안에, DCS 가스 및 BCl3 가스의 분자 혹은, 그러한 분해에 의해 발생한 분해 생성물의 분자 혹은 원자가 웨이퍼 상에 흡착된다.
한편, NH3 가스를 포함하는 지원 가스는 가스 분산 노즐(34)의 가스 분사 구멍(34A)으로부터, 웨이퍼 보트(12) 상의 복수의 웨이퍼(W)에 대해 평행한 각각 가 스의 흐름을 형성하도록 공급된다. 지원 가스는 한 쌍의 전극(58) 사이의 플라즈마 발생 영역(PS)을 통과할 때에 선택적으로 여기되어 일부가 플라즈마화된다. 이때, 예를 들어 N*, NH*, NH2*, NH3* 등의 래디컬(활성종)이 생성된다(기호「*」는 래디컬인 것을 나타냄). 이러한 래디컬은 가스 여기부(50)의 개구(54)로부터 처리 용기(4)의 중심을 향해 유출되고 웨이퍼(W) 상호간에 층의 흐름 상태로 공급된다.
상기 래디컬은 웨이퍼(W)의 표면에 부착되어 있는 DCS 가스의 분자 등과 반응하고, 이에 의해 웨이퍼(W) 상에 실리콘 질화막이 형성된다. 또한, 이때 BCl3 가스의 분해에 의해 발생한 B 원자가 실리콘 질화막 중으로 도입되고, 불순물로서 붕소를 함유하는 실리콘 질화막(SiBN)이 형성된다. 또, 이와는 반대로 웨이퍼(W)의 표면에 래디컬이 부착되어 있는 장소에 DCS 가스 및 BCl3 가스가 흘러 온 경우라도, 마찬가지인 반응이 생겨 웨이퍼(W) 상에 붕소 함유 실리콘 질화막이 형성된다.
<제1 실시 형태>
도3은 본 발명의 제1 실시 형태에 관한 성막 방법에 있어서의 가스 공급 및 RF(고주파) 인가의 형태를 나타내는 흐름도이다. 도3에 나타낸 바와 같이, 본 실시 형태에 관한 성막 방법에 있어서는 제1 내지 제4 공정(T1 내지 T4)을 교대로 반복한다. 즉, 제1 내지 제4 공정(T1 내지 T4)으로 이루어지는 사이클을 다수회 반복하고, 사이클마다 형성되는 붕소 함유 실리콘 질화막의 박막을 적층함으로써, 최종적인 두께의 실리콘 질화막을 얻을 수 있다.
구체적으로는, 제1 공정(T1)에서는 처리 영역(5)에 대한 원료 가스(도3에서 DCS라 표시) 및 보조 가스(도3에서는 BCl3이라 표시)의 공급을 행하는 한편, 처리 영역(5)에 대한 지원 가스(도3에서는 NH3이라 표시)의 공급을 정지한다. 제2 공정(T2)에서는 처리 영역(5)에 대한 원료 가스, 지원 가스 및 보조 가스의 공급을 정지한다. 제3 공정(T3)에서는 처리 영역(5)에 대한 지원 가스의 공급을 행하는 한편, 처리 영역(5)에 대한 원료 가스 및 보조 가스의 공급을 정지한다. 또, 제3 공정(T3)에서는 도중으로부터 RF 전원(60)을 온하여 가스 여기부(50)에서 지원 가스를 플라즈마화함으로써, 서브 공정(T3b) 사이만큼 지원 가스를 여기한 상태에서 처리 영역(5)에 공급한다. 제4 공정(T4)에서는 처리 영역(5)에 대한 원료 가스, 지원 가스 및 보조 가스의 공급을 정지한다.
제2 및 제4 공정(T2, T4)은 처리 용기(4) 내에 잔류하는 가스를 제거하는 퍼지 공정으로서 사용된다. 여기서 퍼지라 함은, N2 가스 등의 불활성을 흐르게 하면서 처리 용기(4) 내를 진공 배기하는 것 혹은 모든 가스의 공급을 정지하여 처리 용기(4) 내를 진공 배기함으로써, 처리 용기(4) 내의 잔류 가스를 배제하는 것을 의미한다. 또, 제2 및 제4 공정(T2, T4)의 전반은 진공 배기만을 행하고, 후반은 진공 배기와 불활성 공급을 더불어 행하도록 해도 좋다. 또, 제1 및 제3 공정(T1, T3)에 있어서 원료 가스, 지원 가스 및 보조 가스를 공급할 때는 처리 용기(4) 내의 진공 배기를 정지할 수 있다. 그러나, 원료 가스, 지원 가스 및 보조 가스의 공급을 처리 용기(4) 내를 진공 배기하면서 행하는 경우는, 제1 내지 제4 공정(T1 내지 T4)의 모두 걸쳐 처리 용기(4) 내의 진공 배기를 계속시킬 수 있다.
도3에 있어서, 제1 공정(T1)은 약 1 내지 20초, 예를 들어 10초, 제2 공정(T2)은 약 5 내지 15초, 예를 들어 10초, 제3 공정(T3)은 약 1 내지 30초, 예를 들어 약 20초, 서브 공정(T3b)은 약 1 내지 25초, 예를 들어 약 15초, 제4 공정(T4)은 약 5 내지 15초, 예를 들어 10초로 설정된다. 또, 통상 제1 내지 제4 공정(T1 내지 T4)의 1 사이클에 의해 형성되는 막 두께는 0.11 내지 0.13 ㎚ 정도이다. 따라서, 목표 막 두께가 예를 들어 70 ㎚이면 이 사이클을 600 정도 반복하게 된다. 단, 이러한 시간이나 두께는 간단히 일례를 나타낸 것에 지나지 않고, 이 수치에 한정되지 않는다.
상술한 바와 같이, DCS 가스를 포함하는 원료 가스와 BCl3 가스를 포함하는 보조 가스를 함께 공급하는 공정(T1)과, NH3 가스를 포함하는 지원 가스를 단독으로 공급하는 동시에 그것을 플라즈마로 여기하는 기간을 포함하는 공정(T3)이 퍼지 공정(T2, T4)을 사이에 두고 교대로 실시된다. 이에 의해, 형성되는 붕소 함유 실리콘 질화막의 유전율을 매우 낮게 할 수 있고, 또한 그 드라이 에칭시의 에칭 내성을 대폭 향상시킬 수 있다. 그 이유는, 다음과 같이 생각할 수 있다. 즉, 일반적으로는 실리콘 질화막에 붕소를 첨가하면 에칭 내성은 열화한다. 그러나, 제1 실시 형태와 같이 지원 가스의 공급시에 플라즈마로 이를 여기하면, N을 포함하는 래디컬(활성종)의 발생에 의해, 실리콘 질화막의 질화가 촉진된다. 그 결과, 실리콘 질화막 중 Si-N 결합이 감소하여 에칭 내성이 강한 Si-N 결합이 증가한다. 이에 의해, 막의 에칭 내성을 대폭 향상시킬 수 있다.
또, 제3 공정(T3)에서는 소정의 시간(Δt)이 경과된 후에 RF 전원(60)을 온하여 가스 여기부(50)로 지원 가스를 플라즈마화함으로써, 서브 공정(T3b) 사이만큼 지원 가스를 여기한 상태로 처리 영역(5)에 공급한다. 이 소정의 시간(Δt)이라 함은 NH3 가스의 유량이 안정되기까지의 시간이고, 예를 들어 5초 정도이다. 그러나, 지원 가스의 공급 기간의 전체 기간에 걸쳐 가스 여기부(50)로 지원 가스를 플라즈마화해도 좋다. 이와 같이 지원 가스의 유량이 안정화된 후에 RF 전원을 온하여 플라즈마를 세움으로써, 웨이퍼(W)의 면간 방향(높이 방향)에 있어서의 활성종의 농도 균일성을 향상시킬 수 있다.
상기 성막 처리의 처리 조건은 다음과 같다. DCS 가스의 유량은 50 내지 3000 sccm의 범위 내, 예를 들어 1000 sccm(1 slm)이다. NH3 가스의 유량은 500 내지 5O0O sccm의 범위 내, 예를 들어 1O0O sccm이다. BCl3 가스의 유량은 1 내지 100 sccm의 범위 내, 바람직하게는 1 내지 15 sccm의 범위 내, 예를 들어 4 sccm 이다. 처리 온도는 통상의 CVD 처리보다도 낮은 온도이고, 구체적으로는 300 내지 700 ℃의 범위 내, 바람직하게는 550 내지 630 ℃의 범위 내이다. 처리 온도가 300 ℃보다도 낮으면, 반응이 생기지 않고 거의 막이 퇴적되지 않는다. 처리 온도가 700 ℃보다도 높으면, 막질이 떨어지는 CVD에 의한 퇴적막이 형성되는 동시에, 이미 형성되어 있는 금속막 등에 열적 손상을 부여해 버린다.
처리 압력은 13 Pa(0.1 Torr) 내지 1330 Pa(10 Torr)의 범위 내, 바람직하게는 40 Pa(0.3 Torr) 내지 266 Pa(2 Torr)의 범위 내이다. 예를 들어, 처리 압력은 제1 공정(흡착 공정)(T1)에서는 1 Torr, 제3 공정(플라즈마를 이용하는 질화 공정)(T3)에서는 0.3 Torr이다. 처리 압력이 13 Pa보다도 작은 경우에는 성막율이 실용 레벨 이하가 된다. 처리 압력이 1330 Pa보다도 큰 경우에는 플라즈마가 충분히 서지 않게 된다.
<제1 실험>
도1에 도시한 장치를 사용하여, 도3에 나타낸 흐름도에 따르는 제1 실시 형태에 관한 성막 방법에 의해 붕소 함유 실리콘 질화막(SiBN)을 형성하고, 그 평가를 행하였다. 제1 실험에 있어서의 성막 처리의 처리 조건의 기준은 상술한 바와 같아, 이하에서는 변수로서 사용한 조건에 대해서만 언급한다.
[BCl3 가스의 유량과 유전율과의 관계]
이를 조사하기 위해, 처리 온도는 550 ℃, 600 ℃ 및 630 ℃의 3 종류로 설정하였다. BCl3 가스의 유량은 O 내지 8 sccm의 범위 내에서 다른 값으로 설정하였다.
도4는, BCl3 가스의 유량과 유전율과의 관계를 나타내는 그래프이다. 도4에 도시한 바와 같이 처리 온도에 관계없이 BCl3 가스의 유량이 증가하는 정도 SiBN막의 유전율이 저하되었다. 따라서, 제1 실시 형태에 관한 성막 방법에 의해 형성된 SiBN막은 붕소 농도가 높을수록, 유전율이 작아지는(즉, 반도체 디바이스의 기생 용량을 저하할 수 있음) 것이 확인되었다. 또, 유전율의 바람직한 값은 5 이하이다.
[SiBN막의 굴절률과 유전율과의 관계]
도5는, SiBN막의 굴절률과 유전율과의 관계를 나타내는 그래프이다. 도5에 나타낸 바와 같이 굴절률이 2로부터 약 1.7로 변화하는 데 따라, 유전율은 7로부터 약 4로 변화하였다.
[굴절률과 에칭 레이트와의 관계]
이를 조사하기 위해, 3 종류의 에칭액, 즉 순수[DIW], 희류산 용액[SPM](H2SO4 : H2O2 = 4 : 1) 및 희불산 용액[DHF](HF : H2O = 1 : 99)을 이용하여 SiBN막의 에칭을 행하였다. 이 에칭은, DIW에 대해서는 60 ℃로 20분, SPM에 대해서는 100 ℃에서 2분, DHF에 대해서는 23 ℃에서 5분 행하였다. 각각 에칭액에 대해, 1분당의 에칭 레이트를 구하였다.
도6은, SiBN막의 굴절률과 에칭 레이트와의 관계를 나타내는 그래프이다. 도6은 또한, 각 에칭액에 대한 내성의 허용 상한치(AUL)를 나타낸다. 즉, DHF에 대한 허용 상한치(AUL - DHF)는 2 ㎚/min, SPM에 대한 허용 상한치(AUL - SPM)는 1 ㎚/min, DIW에 대한 허용 상한치(AUL - DIW)는 0.5 ㎚/min이다.
도6에 도시한 바와 같이 굴절률이 1.85 정도의 부분에서 에칭 레이트의 큰 변동은 있지만, 굴절률이 작아질수록 에칭 레이트가 점차로 상승하였다. 즉, 붕소 함유량이 많아져 유전율이 작아질수록(도4 및 도5 참조), 에칭 레이트가 점차로 상승하였다. 여기서, 굴절률이 대략 1.75에 있어서 SPM 에칭액을 사용한 경우를 제외하고, 상기 에칭액에 대한 에칭 레이트는 허용 상한치보다도 낮은 값이 되었다. 따라서, 제1 실시 형태에 관한 성막 방법에 의해 형성된 SiBN막은, 상기의 에칭액에 대해 충분한 에칭 내성을 갖도록 형성할 수 있는 것이 확인되었다.
[BCl3의 유량과 에칭 레이트와의 관계]
이를 조사하기 위해, 상기 3 종류의 에칭액, 순수[DIW], 희류산 용액[SPM], 희불산 용액[DHF]을 이용하여 SiBN막의 에칭을 행하였다. 에칭 조건은, 상기와 동일한 바와 같다. 에칭 대상으로 한 SiBN막은 처리 온도 630 ℃로 형성하였다. BCl3 가스의 유량은 O 내지 8 sccm의 범위 내에서 다른 값으로 설정하였다.
도7은, BCl3의 유량과 에칭 레이트와의 관계를 나타내는 그래프이다. 도7에 있어서, BCl3 가스의 유량의 각 값에 대해, 3 종류의 에칭액의 에칭 레이트가 대응하여 기재된다. 각각 좌측으로부터 우측을 향해 DIW에 대한 에칭 레이트, SPM에 대한 에칭 레이트, DHF에 대한 에칭 레이트의 순서이다. 도7의 좌측의 BCl3이 "O" sccm인 경우는 ALD법에 의해 성막된 붕소비 도핑의 SiN막(ALD - SiN)의 데이터가 된다. 또한, 참고로서 도7의 우측에는 CVD에 의해 형성된 붕소비 도핑의 SiN막(CVD - SiN)의 에칭 레이트를 나타낸다.
각 에칭액에 대한 허용 상한치는, 도6에 있어서 설명한 경우와 동일하다. 즉, DHF는 2 ㎚/min, SPM은 1 ㎚/min, DIW는 0.5 ㎚/min이다.
도7에 나타낸 바와 같이 BCl3의 유량을 1로부터 8 sccm으로 증가함에 따라서, DHF, SPM, DIW에 대한 각 에칭 레이트는 조금씩 커졌다. 예를 들어, DHF인 경 우에는 0.55 ㎚/min으로부터 0.65 ㎚/min 정도까지 증가하였다. SPM인 경우에는 0.02 ㎚/min으로부터 0.14 ㎚/min 정도까지 증가하였다. DIW인 경우에는 0.01 ㎚/min으로부터 0.02 ㎚/min 정도까지 증가하였다. 그러나, 각 에칭 레이트는 DHF, SPM, DIW의 상기한 각 허용 상한치보다도 매우 작은 것이었다. 따라서, 제1 실시 형태에 관한 성막 방법에 의해 형성된 SiBN막은, 상기의 에칭액에 대해 충분한 에칭 내성을 갖도록 형성할 수 있는 것이 확인되었다.
[SiBN막의 스텝 커버리지]
제1 실시 형태에 관한 성막 방법에 의해 형성된 SiBN막의 스텝 커버리지에 대해서도 평가하였다. 그 결과, SiBN막의 스텝 커버리지는 97 내지 105 %라는 충분히 높은 것이 확인되었다.
<제2 실시 형태>
도8은, 본 발명의 제2 실시 형태에 관한 성막 방법에 있어서의 가스 공급 및 RF(고주파)의 인가 형태를 나타내는 흐름도이다. 도8에 나타낸 바와 같이 본 실시 형태에 관한 성막 방법에 있어서도, 제1 내지 제4 공정(T11 내지 T14)을 교대로 반복한다. 즉, 제1 내지 제4 공정(T11 내지 T14)으로 이루어지는 사이클을 다수회 반복하고, 사이클마다 형성되는 붕소 함유 실리콘 질화막의 박막을 적층함으로써, 최종적인 두께의 실리콘 질화막을 얻을 수 있다.
구체적으로는, 제1 공정(T11)에서는 처리 영역(5)에 대한 원료 가스(도8에서는 DCS라 표시), 지원 가스(도8에서는 NH3이라 표시) 및 보조 가스(도8에서는 BCl3 이라 표시)의 공급을 행한다. 제2 공정(T12)에서는 처리 영역(5)에 대한 원료 가스, 지원 가스 및 보조 가스의 공급을 정지한다. 제3 공정(T13)에서는 처리 영역(5)에 대한 지원 가스의 공급을 행하는 한편, 처리 영역(5)에 대한 원료 가스 및 보조 가스의 공급을 정지한다. 또한, 제3 공정(T13)에서는 도중에서 RF 전원(60)을 온하여 가스 여기부(50)로 지원 가스를 플라즈마화함으로써, 서브 공정(T13b) 사이만큼 지원 가스를 여기한 상태로 처리 영역(5)에 공급한다. 제4 공정(T14)에서는 처리 영역(5)에 대한 원료 가스, 지원 가스 및 보조 가스의 공급을 정지한다.
제2 및 제4 공정(T12, T14)은 처리 용기(4) 내에 잔류하는 가스를 배제하는 퍼지 공정으로서 사용된다. 제1 및 제3 공정(T11, T13)에 있어서, 원료 가스, 지원 가스 및 보조 가스를 공급할 때는 처리 용기(4) 내의 진공 배기를 정지할 수 있다. 그러나, 원료 가스, 지원 가스 및 보조 가스의 공급을 처리 용기(4) 내를 진공 배기하면서 행하는 경우에는, 제1 내지 제4 공정(T11 내지 T14)의 모두에 걸쳐, 처리 용기(4) 내의 진공 배기를 계속시킬 수 있다. 제1 내지 제4 공정(T11 내지 T14)의 각각의 길이는 제1 실시 형태와 동일하다
상술한 바와 같이, 제1 공정(T11)에 있어서 NH3 가스를 포함하는 지원 가스를 원료 가스 및 보조 가스와 함께 공급하면, 이렇게 형성되는 실리콘 질화막의 막질을 높게 유지하면서 그 성막율을 대폭 향상시킬 수 있다. 그 이유는, 다음과 같이 생각할 수 있다. 즉, 제1 기간(T11)에서 지원 가스와 원료 가스를 함께 공급하면, 웨이퍼 표면에 흡착하는 DCS 가스 분자가 동시에 공급되는 NH3 가스에 의해 일 부가 불완전하게 질화된다. 이로 인해, 제1 기간(T11)에 있어서 흡착량이 포화되지 않고 DCS 가스 및 BCl3 가스 분자의 흡착이 진행되고, 그 결과 DCS 가스 및 BCl3 가스의 흡착량이 원료 가스를 단독으로 흐르게 하는 경우보다도 다량이 된다. 다음으로, 제3 기간(T13)에서 플라즈마에 의해 여기된 NH3 가스에 의해 불완전한 반응 부분이 완전하게 반응되어 성막율이 높은 상태에서 실리콘 질화막이 형성된다.
제1 기간(T11)에 있어서의 NH3 가스의 공급량은 과도하게 많이 하지 않도록 하여, 예를 들어 DCS 가스의 공급량 이하, 바람직하게는 1/100 내지 1/1로 한다. NH3 가스의 공급량이 지나치게 작으면 염화암모늄이 다량으로 발생하고, 배기계의 배관이 막히거나 혹은 염화암모늄의 파티클이 발생하는 등의 문제가 생긴다. 또한, 발생하는 염화암모늄과 BCl3이 간단하게 반응하기 때문에, Si를 포함하지 않거나 혹은 Si 성분이 매우 적은 BN막이 형성된다. 반대로, NH3 가스의 공급량이 지나치게 작으면 NH3 가스를 동시 공급하는 것의 효과가 매우 작아진다.
또한, 제1 기간(T11)에 있어서도 RF 전원(60)을 온하여 가스 여기부(50)로 NH3 가스를 포함하는 지원 가스를 플라즈마화해도 좋다[도8의 (d) 중에 파선으로 나타냄]. 이 경우, DCS 가스 및 BCl3 가스의 웨이퍼 표면으로의 흡착을 보다 촉진시킬 수 있으므로, 그 만큼 성막율을 더 향상시킬 수 있다.
<제2 실험>
도1에 도시한 장치를 사용하고, 도3 및 도8에 도시한 흐름도에 따르는 제1 및 제2 실시 형태에 관한 성막 방법에 의해 붕소 함유 실리콘 질화막(SiBN)을 형성하고, 이러한 성막율의 비교를 행하였다. 제2 실험에 있어서의 성막 처리의 처리 조건의 기준은 제1 실험과 동일하다. 또, 제2 실시 형태에 관한 성막 방법에서, 제1 공정(T11)의 NH3 가스의 공급량은 DCS 가스의 공급량의 1/10인 100 sccm으로 설정하였다.
도9는, 제1 및 제2 실시 형태에 관한 성막 방법에 있어서의 성막율[Rth(㎚/cycle)]과 그 개선율[IRth(%)]을 나타내는 그래프이다. 도9에 있어서, 횡축의 TOP, CTR 및 BTM은 웨이퍼 보트 중의 반도체 웨이퍼의 정상부, 중앙 및 바닥부의 위치를 각각 나타낸다. 해칭이 부착된 바아가 제1 실시 형태의 성막율을 나타내고, 하얀색 블랭크의 바아가 제2 실시 형태의 성막율을 나타낸다. 개선율[IRth(%)]은 [제2 실시 형태의 성막율]/[제1 실시 형태의 성막율]이라 정의된다.
도9에 도시한 바와 같이, 제1 실시 형태의 방법에 비해 제2 실시 형태의 방법에서는, 각 위치 TOP, CTR 및 BTM에 있어서 성막율(Rth)이 높아졌다. 성막율의 개선율[IRth(%)]은 TOP에서 161 %, CTR에서 161 %, BTM에서 152 %였다. 따라서, 성막율에 관해서는 제2 실시 형태에 관한 성막 방법이 제1 실시 형태에 관한 성막 방법보다도 우수한 것이 확인되었다.
<전자의 이동도>
불순물 함유 실리콘 질화막의 특성으로서는 유전율이나 에칭 레이트 외, 반 도체 디바이스의 동작에 큰 영향을 주는 전자의 이동도(모빌리티)에 대해서도 고찰할 필요가 있다. 전자의 이동도를 높이기 위해서는, 불순물 함유 실리콘 질화막에 응력을 부여하는 것이 바람직하다. 이 경우, 응력에는 인장적인 응력와 압축적인 응력이 있다.
도10a, 도10b는 NMOS 트랜지스터 및 PMOS 트랜지스터의 단면을 각각 도시한 개략도이다. 도10a, 도10b 중, 화살표는 압력의 방향을 나타낸다. 도10a에 도시한 NMOS 트랜지스터인 경우, 채널 영역에 압축적 응력이 인가되는 것이 바람직하다. 이 경우, 트랜지스터의 커버막은 고인장적 응력의 막에 의해 형성된다. 한편, 도10b에 도시한 PMOS 디바이스인 경우, 트랜지스터의 채널 영역에 인장적 응력이 인가되는 것이 바람직하다. 이 경우, 트랜지스터의 커버막은 고압축적 응력의 막에 의해 형성된다. 그러나, 종래 기술에 따르면, 압축적 응력의 불순물 함유 실리콘 질화막을 형성하는 것이 곤란하고, 또한 응력 자체의 크기도 제어하는 것이 곤란하다.
이 점에 관한 것으로, 본 발명자 등의 연구에 따라 성막시에 공급하는 도핑 가스의 공급량을 제어함으로써, 불순물 함유 실리콘 질화막의 응력의 크기 및 응력의 종류를 제어할 수 있는 것이 판명되었다. 도핑 가스의 공급량을 변화시키면, 불순물 함유 실리콘 질화막에 부여하는 응력이 압축적 응력로부터 인장적 응력에 걸쳐 변화한다. 따라서, 제1 및 제2 실시 형태에 관한 성막 방법에 있어서, 제1 공정(T1, T11)에 있어서의 도핑 가스 즉 BCl3 가스의 공급량을 변수로서, 불순물 함 유 실리콘 질화막에 발생하는 응력을 제어할 수 있다.
<제3 실험>
도1에 도시한 장치를 사용하고, 도3에 나타낸 흐름도에 따르는 제1 실시 형태에 관한 성막 방법에 의해 붕소 함유 실리콘 질화막(SiBN)을 형성하고, BCl3 가스의 유량과 막의 응력와의 관계를 조사하였다. 제3 실험에 있어서의 성막 처리의 처리 조건의 기준은 제1 실험과 동일하고, 즉 DCS 가스의 유량은 1000 sccm, NH3 가스의 유량은 1000 sccm으로 하였다.
도11a, 도11b는 BCl3 가스의 유량과 막의 응력와의 관계를 나타내는 그래프이다. 도11a, 도11b에 있어서, 종축의 "+"측은 인장적 응력을 나타내고, "-"측은 압축적 응력을 나타낸다. 도11a에 결과를 나타낸 실험에서는 처리 온도를 550 ℃로 하고, BCl3 가스의 유량을 3개의 다른 값 0, 8, 15 sccm으로 설정하였다. 도11b에 결과를 나타낸 실험에서는 처리 온도를 630 ℃로 하고, BCl3 가스의 유량을 4개의 다른 값 1, 2, 4, 8 sccm으로 설정하였다.
도11a에 나타낸 경우, BCl3 가스의 유량이 "O"일 때에는(불순물의 도핑없음), 응력이 1143 ㎫로 매우 높았다. 이에 대해, BCl3 가스의 유량을 8, 15 sccm으로 증가한 바, 응력(인장적)는 135, 111 ㎫로 차례로 저하하였다. 또한, 도11b에 나타낸 경우 BCl3 가스의 유량을 증가해가면, 응력이 220, 113, 76 ㎫로 차례로 감소하였다. 그리고, 8 sccm일 때에는 응력은 -78 ㎫가 되고, 응력의 종류는 인장적으 로부터 압축적으로 전환하였다. 따라서, 처리 온도나 BCl3 가스의 유량을 적절하게 선택함으로써, 불순물 함유 실리콘 질화막의 응력의 크기나 종류(방향)를 제어할 수 있는 것을 확인할 수 있었다. 또, 전술한 처리 온도 및 가스 유량은 단순한 일례이다.
<제1 및 제2 실시 형태에 공통의 사항 및 변경예>
제1 및 제2 실시 형태에 관한 방법은, 상술한 바와 같이 처리 프로그램을 기초로 하여, 주 제어부(48)의 제어 하에서 실행된다. 도12는 주 제어부(48)의 구성의 개략을 도시하는 블록도이다. 주 제어부(48)는 CPU(210)를 갖고, 여기에 기억부(212), 입력부(214), 출력부(216) 등이 접속된다. 기억부(212)에는 처리 프로그램이나 처리 레시피가 기억된다. 입력부(214)는 사용자와 대화하기 위한 입력 장치, 예를 들어 키보드나 포인팅 디바이스 및 기억 매체의 드라이브 등을 포함한다. 출력부(216)는 처리 장치의 각 기기를 제어하기 위한 제어 신호를 출력한다. 도12는 또한, 컴퓨터에 착탈 가능한 기억 매체(218)도 더불어 나타낸다.
상술의 실시 형태에 관한 방법은 프로세서 상에서 실행하기 위한 프로그램 명령으로서, 컴퓨터로 판독 가능한 기억 매체에 기입하여 각종 반도체 처리 장치에 적용할 수 있다. 혹은, 이러한 종류의 프로그램 명령은 통신 매체에 의해 전송하여 각종 반도체 처리 장치에 적용할 수 있다. 기억 매체는, 예를 들어 자기 디스크{가요성 디스크, 하드 디스크[일례는 기억부(212)에 포함되는 하드 디스크] 등}, 광디스크(CD, DVD 등), 마그넷 옵티컬 디스크(MO 등), 반도체 메모리 등이다. 반도체 처리 장치의 동작을 제어하는 컴퓨터는 기억 매체에 기억된 프로그램 명령을 판독하고, 이를 프로세서 상에서 실행함으로써, 상술한 방법을 실행한다.
제1 및 제2 실시 형태에서는, 원료 가스 중의 실란계 가스로서 DCS 가스가 예시된다. 이에 관한 것으로, 실란계 가스로서는 디클로로실란(DCS), 헥사클로로디실란(HCD), 모노실란[SiH4], 디실란[Si2H6], 헥사메틸디실라잔(HMDS), 테트라클로로실란TCS), 디실릴아민(DSA), 트리실릴아민(TSA), 비스터셜부틸아미노실란(BTBAS)으로 이루어지는 군으로부터 선택되는 1 이상의 가스를 이용할 수 있다.
제1 및 제2 실시 형태에 있어서, 지원 가스 중 질화 가스로서는 NH3 가스, N2 가스를 이용할 수 있다. 또한, 본 발명을 실리콘산 질화막의 형성에 적용하는 경우에는, 질화 가스 대신에 일산화이질소[N2O], 일산화질소[NO]와 같은 산질화 가스를 이용할 수 있다. 이 경우, 형성되는 막은 불순물 함유 실리콘 질화막이 아니라, 불순물 함유 실리콘산 질화막이 된다.
또한 제1 및 제2 실시 형태에 있어서, 보조 가스 중 도핑 가스로서 BCl3 가스가 예시된다. 이 점에 관한 것으로, 도핑 가스로서는 BCl3, B2H6, BF3, B(CH3)3으로 이루어지는 군으로부터 선택되는 1 이상의 가스를 포함할 수 있다.
상기 제1 및 제2 실시 형태에서는, 성막 장치(2)로서 플라즈마를 형성하는 여기부(50)를 처리 용기(4)에 일체적으로 조립한 구성을 갖는다. 대신해서, 여기부(50)를 처리 용기(4)라 함은 별도의 부재로 설치하고, NH3 가스를 처리 용기(4) 외로 미리 여기(소위 리모트 플라즈마), 그 여기 NH3 가스를 처리 용기(4) 내에 공급하도록 해도 좋다. 피처리 기판으로서는 반도체 웨이퍼에 한정되지 않고, LCD 기판 및 유리 기판 등의 다른 기판이라도 좋다.
본 발명에 따르면 유전율이 낮고 또한 에칭 내성이 높고, 불순물을 함유하는 실리콘 질화물 또는 실리콘 산질화물의 막을 형성하는 반도체 처리용의 성막 방법 및 장치를 제공할 수 있다.

Claims (25)

  1. 실란계 가스를 포함하는 제1 처리 가스와 질화 가스를 포함하는 제2 처리 가스와 도핑 가스를 포함하는 제3 처리 가스가 선택적으로 공급되도록 구성되고, 처리 영역에 공급되는 상기 제2 처리 가스를 선택적으로 여기하도록 구성된 여기 기구와 연통하는 처리 영역 내에서, 매회 형성되는 박막을 적층하여서 최종 두께를 가지는 막을 얻도록 사이클을 복수회 반복함으로써, 피처리 기판 상에 CVD에 의해 불순물을 함유하는 실리콘 질화물 또는 실리콘 산질화물의 실리콘 질화물 막을 형성하는 반도체 처리용의 성막 방법이며,
    상기 사이클은,
    상기 여기 기구에 의해 상기 제2 처리 가스를 여기하지 않으면서, 상기 처리 영역에 대한 상기 제1, 제2 및 제3 처리 가스의 공급을 동시에 행하는 제1 공정과,
    상기 처리 영역에 대한 상기 제1, 제2 및 제3 처리 가스의 공급을 차단하는 제2 공정과,
    상기 처리 영역에 대한 상기 제2 처리 가스의 공급을 행하는 한편, 상기 처리 영역에 대한 상기 제1 및 제3 처리 가스의 공급을 차단하는 제3 공정으로서, 상기 제3 공정은 상기 제2 처리 가스를 상기 여기 기구에 의해 여기한 상태에서 상기 처리 영역에 공급하는 여기 기간을 구비하는 제3 공정과,
    상기 처리 영역에 대한 상기 제1, 제2 및 제3 처리 가스의 공급을 차단하는 제4 공정을 구비하는 반도체 처리용의 성막 방법.
  2. 삭제
  3. 삭제
  4. 삭제
  5. 삭제
  6. 제1항에 있어서, 상기 제3 공정은 상기 여기 기간 전에, 상기 제2 처리 가스를 상기 여기 기구에 의해 여기하지 않는 상태에서 상기 처리 영역에 공급하는 기간도 구비하는 반도체 처리용의 성막 방법.
  7. 제1항에 있어서, 상기 제2 및 제4 공정의 각각은, 상기 처리 영역에 대한 퍼지 가스의 공급을 행하는 기간을 구비하는 반도체 처리용의 성막 방법.
  8. 제1항에 있어서, 상기 제1 공정으로부터 상기 제4 공정에 걸쳐, 상기 처리 영역 내의 배기를 계속하는 반도체 처리용의 성막 방법.
  9. 제1항에 있어서, 상기 여기 기구는 상기 처리 영역과 연통하는 공간 내에서 상기 제2 처리 가스의 공급구와 상기 기판 사이에 배치된 플라즈마 발생 영역을 구비하고, 상기 제2 처리 가스는 상기 플라즈마 발생 영역을 통과할 때에 여기되는 반도체 처리용의 성막 방법.
  10. 제9항에 있어서, 상기 제1 및 제3 처리 가스는 상기 플라즈마 발생 영역과 상기 기판 사이에서 상기 처리 영역에 공급되는 반도체 처리용의 성막 방법.
  11. 제9항에 있어서, 상기 처리 영역 내에 복수의 피처리 기판이 상하로 간격을 두고 적층한 상태에서 수납되고, 상기 복수의 피처리 기판은 상기 처리 영역의 주위에 배치된 히터에 의해 가열되는 반도체 처리용의 성막 방법.
  12. 제11항에 있어서, 상기 제1, 제2 및 제3 처리 가스의 각각은, 상기 복수의 피처리 기판에 대해 평행한 가스의 흐름을 형성하도록 상기 복수의 피처리 기판에 걸쳐 상하 방향으로 배열된 복수의 가스 분사 구멍으로부터 공급되는 반도체 처리용의 성막 방법.
  13. 제1항에 있어서, 상기 막에 발생하는 응력을 제어하기 위해서, 상기 제1 공정에 있어서의 상기 도핑 가스의 공급량을 변수로서 이용하는 예비 단계를 더 포함하는 반도체 처리용의 성막 방법.
  14. 제1항에 있어서, 상기 실란계 가스는 디크로로실란, 헥사클로로디실란, 모노실란, 디실란, 헥사메틸디실라잔, 테트라클로로실란, 디실릴아민, 트리실릴아민, 비스터셜부틸아미노실란으로 이루어지는 군으로부터 선택되는 1 이상의 가스를 포함하고, 상기 제2 처리 가스는 암모니아, 질소, 일산화이질소로 이루어지는 군으로부터 선택되는 1 이상의 가스를 포함하고, 상기 질화 가스는 암모니아를 포함하는 반도체 처리용의 성막 방법.
  15. 제14항에 있어서, 상기 도핑 가스는 BCl3, B2H6, BF3, B(CH3)3으로 이루어지는 군으로부터 선택되는 1 이상의 가스를 포함하는 반도체 처리용의 성막 방법.
  16. 삭제
  17. 삭제
  18. 삭제
  19. 삭제
  20. 삭제
  21. 삭제
  22. 제14항에 있어서, 상기 제1 단계는 상기 실란계 가스 보다 작은 공급량으로 상기 질화 가스를 공급하도록 이루어지는 반도체 처리용의 성막 방법.
  23. 제22항에 있어서, 상기 제1 단계는 상기 제3 단계에서의 질화 가스 보다 작은 공급량으로 상기 질화 가스를 공급하도록 이루어지는 반도체 처리용의 성막 방법.
  24. 제13항에 있어서, 상기 예비 단계는 질화물 막에서 원하는 레벨 및 원하는 종류의 응력을 발생시키도록 상기 제1 단계에서 도핑 가스에 대해 사용되는 공급량을 미리 설정하도록 이루어지는 반도체 처리용의 성막 방법.
  25. 제13항에 있어서, 상기 질화물 막은 PMOS 트랜지스터를 커버하기 위한 막이고, 상기 예비 단계는 질화물 막에 압축적인 응력을 발생시키기 위해, 소정 값보다 커지도록 상기 제1 단계에서 도핑 가스에 사용되는 공급량을 미리 설정하고 소정 값보다 높아지도록 사이클에 사용되는 처리 온도를 미리 설정하도록 이루어지는 반도체 처리용의 성막 방법.
KR1020050068174A 2004-07-28 2005-07-27 반도체 처리용 성막 방법 KR100890684B1 (ko)

Applications Claiming Priority (6)

Application Number Priority Date Filing Date Title
JP2004220555 2004-07-28
JPJP-P-2004-00220555 2004-07-28
JPJP-P-2005-00048060 2005-02-23
JP2005048060 2005-02-23
JP2005177344A JP4179311B2 (ja) 2004-07-28 2005-06-17 成膜方法、成膜装置及び記憶媒体
JPJP-P-2005-00177344 2005-06-17

Publications (2)

Publication Number Publication Date
KR20060048790A KR20060048790A (ko) 2006-05-18
KR100890684B1 true KR100890684B1 (ko) 2009-03-26

Family

ID=35798796

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020050068174A KR100890684B1 (ko) 2004-07-28 2005-07-27 반도체 처리용 성막 방법

Country Status (5)

Country Link
US (1) US7462571B2 (ko)
JP (1) JP4179311B2 (ko)
KR (1) KR100890684B1 (ko)
CN (1) CN100426474C (ko)
TW (1) TWI349302B (ko)

Families Citing this family (319)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP4279176B2 (ja) * 2004-03-02 2009-06-17 株式会社アルバック シリコン窒化膜の形成方法
JP4396547B2 (ja) * 2004-06-28 2010-01-13 東京エレクトロン株式会社 成膜方法、成膜装置及び記憶媒体
JP4997698B2 (ja) * 2004-12-09 2012-08-08 富士通セミコンダクター株式会社 応力蓄積絶縁膜の製造方法及び半導体装置
JP4258518B2 (ja) * 2005-03-09 2009-04-30 東京エレクトロン株式会社 成膜方法、成膜装置及び記憶媒体
US8129290B2 (en) * 2005-05-26 2012-03-06 Applied Materials, Inc. Method to increase tensile stress of silicon nitride films using a post PECVD deposition UV cure
US8138104B2 (en) * 2005-05-26 2012-03-20 Applied Materials, Inc. Method to increase silicon nitride tensile stress using nitrogen plasma in-situ treatment and ex-situ UV cure
JP2009500857A (ja) * 2005-07-08 2009-01-08 アヴィザ テクノロジー インコーポレイテッド シリコン含有膜の堆積方法
JPWO2007111348A1 (ja) * 2006-03-28 2009-08-13 株式会社日立国際電気 基板処理装置
JP4929932B2 (ja) * 2006-09-01 2012-05-09 東京エレクトロン株式会社 成膜方法、成膜装置及び記憶媒体
JP4916257B2 (ja) * 2006-09-06 2012-04-11 東京エレクトロン株式会社 酸化膜の形成方法、酸化膜の形成装置及びプログラム
US20080145536A1 (en) * 2006-12-13 2008-06-19 Applied Materials, Inc. METHOD AND APPARATUS FOR LOW TEMPERATURE AND LOW K SiBN DEPOSITION
KR100843231B1 (ko) * 2007-01-23 2008-07-02 삼성전자주식회사 플라즈마 도핑방법
JP4924437B2 (ja) * 2007-02-16 2012-04-25 東京エレクトロン株式会社 成膜方法及び成膜装置
US20080213479A1 (en) 2007-02-16 2008-09-04 Tokyo Electron Limited SiCN film formation method and apparatus
JP2008294260A (ja) * 2007-05-25 2008-12-04 Sony Corp 半導体装置とその製造方法並びに積層絶縁膜とその形成方法
JP5151260B2 (ja) * 2007-06-11 2013-02-27 東京エレクトロン株式会社 成膜方法及び成膜装置
US20090056877A1 (en) * 2007-08-31 2009-03-05 Tokyo Electron Limited Plasma processing apparatus
JP4935684B2 (ja) * 2008-01-12 2012-05-23 東京エレクトロン株式会社 成膜方法及び成膜装置
US20090197424A1 (en) * 2008-01-31 2009-08-06 Hitachi Kokusai Electric Inc. Substrate processing apparatus and method for manufacturing semiconductor device
JP2009260151A (ja) * 2008-04-18 2009-11-05 Tokyo Electron Ltd 金属ドープ層の形成方法、成膜装置及び記憶媒体
JP5284182B2 (ja) * 2008-07-23 2013-09-11 株式会社日立国際電気 基板処理装置および半導体装置の製造方法
JP5155070B2 (ja) 2008-09-02 2013-02-27 株式会社日立国際電気 半導体装置の製造方法、基板処理方法及び基板処理装置
JP4638550B2 (ja) * 2008-09-29 2011-02-23 東京エレクトロン株式会社 マスクパターンの形成方法、微細パターンの形成方法及び成膜装置
JP5384291B2 (ja) 2008-11-26 2014-01-08 株式会社日立国際電気 半導体装置の製造方法、基板処理方法及び基板処理装置
JP5099101B2 (ja) 2009-01-23 2012-12-12 東京エレクトロン株式会社 プラズマ処理装置
JP5136574B2 (ja) 2009-05-01 2013-02-06 東京エレクトロン株式会社 プラズマ処理装置及びプラズマ処理方法
GB2471128A (en) 2009-06-18 2010-12-22 Rec Solar As Surface passivation of silicon wafers
JP2011023576A (ja) * 2009-07-16 2011-02-03 Hitachi Kokusai Electric Inc 半導体装置の製造方法及び基板処理装置
US8956983B2 (en) 2010-04-15 2015-02-17 Novellus Systems, Inc. Conformal doping via plasma activated atomic layer deposition and conformal film deposition
US9257274B2 (en) 2010-04-15 2016-02-09 Lam Research Corporation Gapfill of variable aspect ratio features with a composite PEALD and PECVD method
US8637411B2 (en) 2010-04-15 2014-01-28 Novellus Systems, Inc. Plasma activated conformal dielectric film deposition
US9076646B2 (en) 2010-04-15 2015-07-07 Lam Research Corporation Plasma enhanced atomic layer deposition with pulsed plasma exposure
US9373500B2 (en) 2014-02-21 2016-06-21 Lam Research Corporation Plasma assisted atomic layer deposition titanium oxide for conformal encapsulation and gapfill applications
US9390909B2 (en) 2013-11-07 2016-07-12 Novellus Systems, Inc. Soft landing nanolaminates for advanced patterning
US9611544B2 (en) 2010-04-15 2017-04-04 Novellus Systems, Inc. Plasma activated conformal dielectric film deposition
US9892917B2 (en) 2010-04-15 2018-02-13 Lam Research Corporation Plasma assisted atomic layer deposition of multi-layer films for patterning applications
US20110256734A1 (en) 2010-04-15 2011-10-20 Hausmann Dennis M Silicon nitride films and methods
US9997357B2 (en) 2010-04-15 2018-06-12 Lam Research Corporation Capped ALD films for doping fin-shaped channel regions of 3-D IC transistors
JP5696530B2 (ja) * 2010-05-01 2015-04-08 東京エレクトロン株式会社 薄膜の形成方法及び成膜装置
US9685320B2 (en) 2010-09-23 2017-06-20 Lam Research Corporation Methods for depositing silicon oxide
JP5665627B2 (ja) * 2011-03-30 2015-02-04 東京エレクトロン株式会社 シリコン酸化物膜及びシリコン窒化物膜の積層方法、並びに成膜装置及び半導体装置の製造方法
US8647993B2 (en) 2011-04-11 2014-02-11 Novellus Systems, Inc. Methods for UV-assisted conformal film deposition
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
JP5723243B2 (ja) * 2011-08-11 2015-05-27 東京エレクトロン株式会社 成膜方法、これを含む半導体装置の製造方法、成膜装置、及び半導体装置
KR101879175B1 (ko) * 2011-10-20 2018-08-20 삼성전자주식회사 화학 기상 증착 장치
US8592328B2 (en) * 2012-01-20 2013-11-26 Novellus Systems, Inc. Method for depositing a chlorine-free conformal sin film
US9388491B2 (en) * 2012-07-23 2016-07-12 Novellus Systems, Inc. Method for deposition of conformal films with catalysis assisted low temperature CVD
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US9355839B2 (en) 2012-10-23 2016-05-31 Lam Research Corporation Sub-saturated atomic layer deposition and conformal film deposition
SG2013083241A (en) 2012-11-08 2014-06-27 Novellus Systems Inc Conformal film deposition for gapfill
JP6538300B2 (ja) 2012-11-08 2019-07-03 ノベラス・システムズ・インコーポレーテッドNovellus Systems Incorporated 感受性基材上にフィルムを蒸着するための方法
JP5956972B2 (ja) * 2012-12-21 2016-07-27 東京エレクトロン株式会社 成膜方法
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
TWI502096B (zh) 2013-06-17 2015-10-01 Ind Tech Res Inst 用於化學氣相沉積的反應裝置及反應製程
JP6267080B2 (ja) 2013-10-07 2018-01-24 東京エレクトロン株式会社 シリコン窒化物膜の成膜方法および成膜装置
US9556516B2 (en) * 2013-10-09 2017-01-31 ASM IP Holding B.V Method for forming Ti-containing film by PEALD using TDMAT or TDEAT
US20150125628A1 (en) * 2013-11-06 2015-05-07 Asm Ip Holding B.V. Method of depositing thin film
US9214334B2 (en) 2014-02-18 2015-12-15 Lam Research Corporation High growth rate process for conformal aluminum nitride
JP6291297B2 (ja) 2014-03-17 2018-03-14 東京エレクトロン株式会社 成膜方法、成膜装置及び記憶媒体
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
JP6307984B2 (ja) * 2014-03-31 2018-04-11 東京エレクトロン株式会社 基板処理装置
JP6504770B2 (ja) * 2014-06-30 2019-04-24 東京エレクトロン株式会社 プラズマ処理装置及びプラズマ処理方法
US9478438B2 (en) 2014-08-20 2016-10-25 Lam Research Corporation Method and apparatus to deposit pure titanium thin film at low temperature using titanium tetraiodide precursor
US9478411B2 (en) 2014-08-20 2016-10-25 Lam Research Corporation Method to tune TiOx stoichiometry using atomic layer deposited Ti film to minimize contact resistance for TiOx/Ti based MIS contact scheme for CMOS
US9214333B1 (en) 2014-09-24 2015-12-15 Lam Research Corporation Methods and apparatuses for uniform reduction of the in-feature wet etch rate of a silicon nitride film formed by ALD
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US9589790B2 (en) 2014-11-24 2017-03-07 Lam Research Corporation Method of depositing ammonia free and chlorine free conformal silicon nitride film
US9564312B2 (en) 2014-11-24 2017-02-07 Lam Research Corporation Selective inhibition in atomic layer deposition of silicon-containing films
JP6378070B2 (ja) * 2014-12-15 2018-08-22 東京エレクトロン株式会社 成膜方法
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US10566187B2 (en) 2015-03-20 2020-02-18 Lam Research Corporation Ultrathin atomic layer deposition film accuracy thickness control
US9502238B2 (en) 2015-04-03 2016-11-22 Lam Research Corporation Deposition of conformal films by atomic layer deposition and atomic layer etch
JP6959147B2 (ja) * 2015-06-16 2021-11-02 バーサム マテリアルズ ユーエス,リミティド ライアビリティ カンパニー ハライドシラン化合物及び組成物並びにそれを使用してケイ素含有膜を堆積するためのプロセス
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10526701B2 (en) 2015-07-09 2020-01-07 Lam Research Corporation Multi-cycle ALD process for film uniformity and thickness profile modulation
US9601693B1 (en) 2015-09-24 2017-03-21 Lam Research Corporation Method for encapsulating a chalcogenide material
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US10121655B2 (en) 2015-11-20 2018-11-06 Applied Materials, Inc. Lateral plasma/radical source
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
KR102130459B1 (ko) * 2016-02-29 2020-07-07 가부시키가이샤 코쿠사이 엘렉트릭 반도체 장치의 제조 방법, 기판 처리 장치 및 프로그램
JP6529927B2 (ja) * 2016-04-15 2019-06-12 株式会社Kokusai Electric 半導体装置の製造方法、基板処理装置、およびプログラム
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US9773643B1 (en) 2016-06-30 2017-09-26 Lam Research Corporation Apparatus and method for deposition and etch in gap fill
US10062563B2 (en) 2016-07-01 2018-08-28 Lam Research Corporation Selective atomic layer deposition with post-dose treatment
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10629435B2 (en) 2016-07-29 2020-04-21 Lam Research Corporation Doped ALD films for semiconductor patterning applications
KR102146600B1 (ko) * 2016-08-01 2020-08-20 도쿄엘렉트론가부시키가이샤 기판 처리 장치 및 기판 처리 방법
US10074543B2 (en) 2016-08-31 2018-09-11 Lam Research Corporation High dry etch rate materials for semiconductor patterning applications
US10037884B2 (en) 2016-08-31 2018-07-31 Lam Research Corporation Selective atomic layer deposition for gapfill using sacrificial underlayer
US9865455B1 (en) 2016-09-07 2018-01-09 Lam Research Corporation Nitride film formed by plasma-enhanced and thermal atomic layer deposition process
KR102698026B1 (ko) * 2016-09-28 2024-08-21 삼성전자주식회사 유전막 형성 방법 및 반도체 장치의 제조 방법
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10832908B2 (en) 2016-11-11 2020-11-10 Lam Research Corporation Self-aligned multi-patterning process flow with ALD gapfill spacer mask
US10454029B2 (en) 2016-11-11 2019-10-22 Lam Research Corporation Method for reducing the wet etch rate of a sin film without damaging the underlying substrate
US10134579B2 (en) 2016-11-14 2018-11-20 Lam Research Corporation Method for high modulus ALD SiO2 spacer
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
KR102700194B1 (ko) 2016-12-19 2024-08-28 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US12040200B2 (en) 2017-06-20 2024-07-16 Asm Ip Holding B.V. Semiconductor processing apparatus and methods for calibrating a semiconductor processing apparatus
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
US10269559B2 (en) 2017-09-13 2019-04-23 Lam Research Corporation Dielectric gapfill of high aspect ratio features utilizing a sacrificial etch cap layer
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
WO2019103613A1 (en) 2017-11-27 2019-05-31 Asm Ip Holding B.V. A storage device for storing wafer cassettes for use with a batch furnace
JP7206265B2 (ja) 2017-11-27 2023-01-17 エーエスエム アイピー ホールディング ビー.ブイ. クリーン・ミニエンバイロメントを備える装置
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
TWI799494B (zh) 2018-01-19 2023-04-21 荷蘭商Asm 智慧財產控股公司 沈積方法
KR102695659B1 (ko) 2018-01-19 2024-08-14 에이에스엠 아이피 홀딩 비.브이. 플라즈마 보조 증착에 의해 갭 충진 층을 증착하는 방법
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
WO2019158960A1 (en) 2018-02-14 2019-08-22 Asm Ip Holding B.V. A method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11404275B2 (en) 2018-03-02 2022-08-02 Lam Research Corporation Selective deposition using hydrolysis
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
US12025484B2 (en) 2018-05-08 2024-07-02 Asm Ip Holding B.V. Thin film forming method
TWI843623B (zh) 2018-05-08 2024-05-21 荷蘭商Asm Ip私人控股有限公司 藉由循環沉積製程於基板上沉積氧化物膜之方法及相關裝置結構
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
TWI840362B (zh) 2018-06-04 2024-05-01 荷蘭商Asm Ip私人控股有限公司 水氣降低的晶圓處置腔室
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
TW202409324A (zh) 2018-06-27 2024-03-01 荷蘭商Asm Ip私人控股有限公司 用於形成含金屬材料之循環沉積製程
US11499222B2 (en) 2018-06-27 2022-11-15 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
TWI728456B (zh) 2018-09-11 2021-05-21 荷蘭商Asm Ip私人控股有限公司 相對於基板的薄膜沉積方法
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US12040199B2 (en) 2018-11-28 2024-07-16 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
JP7504584B2 (ja) 2018-12-14 2024-06-24 エーエスエム・アイピー・ホールディング・ベー・フェー 窒化ガリウムの選択的堆積を用いてデバイス構造体を形成する方法及びそのためのシステム
TWI819180B (zh) 2019-01-17 2023-10-21 荷蘭商Asm 智慧財產控股公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
TWI845607B (zh) 2019-02-20 2024-06-21 荷蘭商Asm Ip私人控股有限公司 用來填充形成於基材表面內之凹部的循環沉積方法及設備
TW202044325A (zh) 2019-02-20 2020-12-01 荷蘭商Asm Ip私人控股有限公司 填充一基板之一表面內所形成的一凹槽的方法、根據其所形成之半導體結構、及半導體處理設備
TWI838458B (zh) 2019-02-20 2024-04-11 荷蘭商Asm Ip私人控股有限公司 用於3d nand應用中之插塞填充沉積之設備及方法
TWI842826B (zh) 2019-02-22 2024-05-21 荷蘭商Asm Ip私人控股有限公司 基材處理設備及處理基材之方法
US11742198B2 (en) 2019-03-08 2023-08-29 Asm Ip Holding B.V. Structure including SiOCN layer and method of forming same
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
KR20200116033A (ko) 2019-03-28 2020-10-08 에이에스엠 아이피 홀딩 비.브이. 도어 개방기 및 이를 구비한 기판 처리 장치
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
KR20200123380A (ko) 2019-04-19 2020-10-29 에이에스엠 아이피 홀딩 비.브이. 층 형성 방법 및 장치
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20210150606A (ko) 2019-05-01 2021-12-10 램 리써치 코포레이션 변조된 원자 층 증착
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188254A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141002A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 배기 가스 분석을 포함한 기상 반응기 시스템을 사용하는 방법
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP7499079B2 (ja) 2019-07-09 2024-06-13 エーエスエム・アイピー・ホールディング・ベー・フェー 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
TWI839544B (zh) 2019-07-19 2024-04-21 荷蘭商Asm Ip私人控股有限公司 形成形貌受控的非晶碳聚合物膜之方法
CN112309843A (zh) 2019-07-29 2021-02-02 Asm Ip私人控股有限公司 实现高掺杂剂掺入的选择性沉积方法
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
CN118422165A (zh) 2019-08-05 2024-08-02 Asm Ip私人控股有限公司 用于化学源容器的液位传感器
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
KR20210042810A (ko) 2019-10-08 2021-04-20 에이에스엠 아이피 홀딩 비.브이. 활성 종을 이용하기 위한 가스 분배 어셈블리를 포함한 반응기 시스템 및 이를 사용하는 방법
CN112635282A (zh) 2019-10-08 2021-04-09 Asm Ip私人控股有限公司 具有连接板的基板处理装置、基板处理方法
KR20210043460A (ko) 2019-10-10 2021-04-21 에이에스엠 아이피 홀딩 비.브이. 포토레지스트 하부층을 형성하기 위한 방법 및 이를 포함한 구조체
US12009241B2 (en) 2019-10-14 2024-06-11 Asm Ip Holding B.V. Vertical batch furnace assembly with detector to detect cassette
TWI834919B (zh) 2019-10-16 2024-03-11 荷蘭商Asm Ip私人控股有限公司 氧化矽之拓撲選擇性膜形成之方法
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
KR20210050453A (ko) 2019-10-25 2021-05-07 에이에스엠 아이피 홀딩 비.브이. 기판 표면 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
KR20210065848A (ko) 2019-11-26 2021-06-04 에이에스엠 아이피 홀딩 비.브이. 제1 유전체 표면과 제2 금속성 표면을 포함한 기판 상에 타겟 막을 선택적으로 형성하기 위한 방법
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP7527928B2 (ja) 2019-12-02 2024-08-05 エーエスエム・アイピー・ホールディング・ベー・フェー 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
TW202125596A (zh) 2019-12-17 2021-07-01 荷蘭商Asm Ip私人控股有限公司 形成氮化釩層之方法以及包括該氮化釩層之結構
KR20210080214A (ko) 2019-12-19 2021-06-30 에이에스엠 아이피 홀딩 비.브이. 기판 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
TW202140135A (zh) 2020-01-06 2021-11-01 荷蘭商Asm Ip私人控股有限公司 氣體供應總成以及閥板總成
JP2021111783A (ja) 2020-01-06 2021-08-02 エーエスエム・アイピー・ホールディング・ベー・フェー チャネル付きリフトピン
US11993847B2 (en) 2020-01-08 2024-05-28 Asm Ip Holding B.V. Injector
KR102675856B1 (ko) 2020-01-20 2024-06-17 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법 및 박막 표면 개질 방법
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
TW202146882A (zh) 2020-02-04 2021-12-16 荷蘭商Asm Ip私人控股有限公司 驗證一物品之方法、用於驗證一物品之設備、及用於驗證一反應室之系統
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
US11781243B2 (en) 2020-02-17 2023-10-10 Asm Ip Holding B.V. Method for depositing low temperature phosphorous-doped silicon
TW202203344A (zh) 2020-02-28 2022-01-16 荷蘭商Asm Ip控股公司 專用於零件清潔的系統
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
KR20210116249A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 록아웃 태그아웃 어셈블리 및 시스템 그리고 이의 사용 방법
CN113394086A (zh) 2020-03-12 2021-09-14 Asm Ip私人控股有限公司 用于制造具有目标拓扑轮廓的层结构的方法
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
KR20210128343A (ko) 2020-04-15 2021-10-26 에이에스엠 아이피 홀딩 비.브이. 크롬 나이트라이드 층을 형성하는 방법 및 크롬 나이트라이드 층을 포함하는 구조
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
US11996289B2 (en) 2020-04-16 2024-05-28 Asm Ip Holding B.V. Methods of forming structures including silicon germanium and silicon layers, devices formed using the methods, and systems for performing the methods
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
TW202146831A (zh) 2020-04-24 2021-12-16 荷蘭商Asm Ip私人控股有限公司 垂直批式熔爐總成、及用於冷卻垂直批式熔爐之方法
KR20210132576A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐 나이트라이드 함유 층을 형성하는 방법 및 이를 포함하는 구조
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
JP2021177545A (ja) 2020-05-04 2021-11-11 エーエスエム・アイピー・ホールディング・ベー・フェー 基板を処理するための基板処理システム
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
TW202146699A (zh) 2020-05-15 2021-12-16 荷蘭商Asm Ip私人控股有限公司 形成矽鍺層之方法、半導體結構、半導體裝置、形成沉積層之方法、及沉積系統
TW202147383A (zh) 2020-05-19 2021-12-16 荷蘭商Asm Ip私人控股有限公司 基材處理設備
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
TW202200837A (zh) 2020-05-22 2022-01-01 荷蘭商Asm Ip私人控股有限公司 用於在基材上形成薄膜之反應系統
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202202649A (zh) 2020-07-08 2022-01-16 荷蘭商Asm Ip私人控股有限公司 基板處理方法
KR20220010438A (ko) 2020-07-17 2022-01-25 에이에스엠 아이피 홀딩 비.브이. 포토리소그래피에 사용하기 위한 구조체 및 방법
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
US12040177B2 (en) 2020-08-18 2024-07-16 Asm Ip Holding B.V. Methods for forming a laminate film by cyclical plasma-enhanced deposition processes
KR20220027026A (ko) 2020-08-26 2022-03-07 에이에스엠 아이피 홀딩 비.브이. 금속 실리콘 산화물 및 금속 실리콘 산질화물 층을 형성하기 위한 방법 및 시스템
TW202229601A (zh) 2020-08-27 2022-08-01 荷蘭商Asm Ip私人控股有限公司 形成圖案化結構的方法、操控機械特性的方法、裝置結構、及基板處理系統
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
US12009224B2 (en) 2020-09-29 2024-06-11 Asm Ip Holding B.V. Apparatus and method for etching metal nitrides
CN114293174A (zh) 2020-10-07 2022-04-08 Asm Ip私人控股有限公司 气体供应单元和包括气体供应单元的衬底处理设备
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
TW202217037A (zh) 2020-10-22 2022-05-01 荷蘭商Asm Ip私人控股有限公司 沉積釩金屬的方法、結構、裝置及沉積總成
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
TW202235649A (zh) 2020-11-24 2022-09-16 荷蘭商Asm Ip私人控股有限公司 填充間隙之方法與相關之系統及裝置
KR20220076343A (ko) 2020-11-30 2022-06-08 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치의 반응 챔버 내에 배열되도록 구성된 인젝터
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
JP7515419B2 (ja) 2021-01-12 2024-07-12 東京エレクトロン株式会社 成膜方法及びプラズマ処理装置
JP2022120422A (ja) 2021-02-05 2022-08-18 東京エレクトロン株式会社 成膜方法
JP7194216B2 (ja) * 2021-03-17 2022-12-21 株式会社Kokusai Electric 半導体装置の製造方法、基板処理方法、プログラム及び基板処理装置
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate

Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH0831454B2 (ja) * 1989-04-21 1996-03-27 日本電気株式会社 半導体装置の製造方法
JP2000100812A (ja) * 1998-09-17 2000-04-07 Tokyo Electron Ltd シリコンナイトライド膜の成膜方法
KR20030072104A (ko) * 2002-03-05 2003-09-13 삼성전자주식회사 원자층 증착법을 이용한 박막 형성방법

Family Cites Families (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH0634974A (ja) 1992-07-20 1994-02-10 Toshiba Lighting & Technol Corp 照明装置および液晶表示装置
JPH0831454A (ja) 1994-07-13 1996-02-02 Toshiba Battery Co Ltd コイン形非水電解液二次電池
TW371796B (en) * 1995-09-08 1999-10-11 Semiconductor Energy Lab Co Ltd Method and apparatus for manufacturing a semiconductor device
CN1331199C (zh) * 2000-04-17 2007-08-08 马特森技术公司 用于生成四氮化三硅薄膜的超薄氧氮化物的uv预处理方法
JP3644880B2 (ja) * 2000-06-20 2005-05-11 東京エレクトロン株式会社 縦型熱処理装置
JP3947126B2 (ja) 2002-04-11 2007-07-18 株式会社日立国際電気 半導体製造装置
US7129187B2 (en) * 2004-07-14 2006-10-31 Tokyo Electron Limited Low-temperature plasma-enhanced chemical vapor deposition of silicon-nitrogen-containing films

Patent Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH0831454B2 (ja) * 1989-04-21 1996-03-27 日本電気株式会社 半導体装置の製造方法
JP2000100812A (ja) * 1998-09-17 2000-04-07 Tokyo Electron Ltd シリコンナイトライド膜の成膜方法
KR20030072104A (ko) * 2002-03-05 2003-09-13 삼성전자주식회사 원자층 증착법을 이용한 박막 형성방법

Also Published As

Publication number Publication date
CN1881541A (zh) 2006-12-20
CN100426474C (zh) 2008-10-15
KR20060048790A (ko) 2006-05-18
JP2006270016A (ja) 2006-10-05
TWI349302B (en) 2011-09-21
US20060032443A1 (en) 2006-02-16
JP4179311B2 (ja) 2008-11-12
TW200618073A (en) 2006-06-01
US7462571B2 (en) 2008-12-09

Similar Documents

Publication Publication Date Title
KR100890684B1 (ko) 반도체 처리용 성막 방법
KR101140069B1 (ko) 반도체 처리용의 성막 방법 및 장치 및 컴퓨터로 판독가능한 매체
KR100935257B1 (ko) 반도체 처리용 성막 방법 및 장치와, 컴퓨터 판독 가능 매체
KR101086588B1 (ko) 반도체 처리용의 성막 방법 및 장치와, 컴퓨터에서 판독가능한 매체
KR100954243B1 (ko) 반도체 처리용 성막 장치 및 방법과 컴퓨터로 판독 가능한 매체
KR100967238B1 (ko) 반도체 처리용 성막 방법 및 장치와, 컴퓨터로 판독 가능한 매체
TWI383448B (zh) 形成含矽絕緣膜之方法及裝置
KR101122964B1 (ko) 반도체 처리용 종형 플라즈마 처리 장치 및 처리 방법과 반도체 처리용 종형 플라즈마 성막 장치
KR100861851B1 (ko) 실리콘 산화막 형성 방법 및 장치
KR101141913B1 (ko) 반도체 처리용 성막 장치 및 그 사용 방법
KR101242274B1 (ko) 반도체 처리용 성막 방법, 컴퓨터로 판독 가능한 매체 및 반도체 처리용 성막 장치
KR101514867B1 (ko) 성막 방법 및 성막 장치
KR20080029846A (ko) 실리콘 산화막을 형성하기 위한 성막 방법 및 장치
KR20080001646A (ko) 성막 장치 및 그 사용 방법
KR100983452B1 (ko) 실리콘 질화막의 형성 방법
KR101131645B1 (ko) 반도체 처리용의 성막 방법 및 장치
KR20060103128A (ko) 성막 장치, 성막 방법 및 기억 매체
CN108695149B (zh) 蚀刻方法、蚀刻装置以及存储介质
KR101077695B1 (ko) 종형 플라즈마 처리 장치 및 그 사용 방법
KR100980126B1 (ko) 성막 방법, 성막 장치 및 기억매체

Legal Events

Date Code Title Description
A201 Request for examination
E902 Notification of reason for refusal
AMND Amendment
E601 Decision to refuse application
J201 Request for trial against refusal decision
AMND Amendment
B701 Decision to grant
GRNT Written decision to grant
FPAY Annual fee payment

Payment date: 20130227

Year of fee payment: 5

FPAY Annual fee payment

Payment date: 20140220

Year of fee payment: 6

FPAY Annual fee payment

Payment date: 20150224

Year of fee payment: 7

FPAY Annual fee payment

Payment date: 20160219

Year of fee payment: 8

FPAY Annual fee payment

Payment date: 20170221

Year of fee payment: 9

FPAY Annual fee payment

Payment date: 20180302

Year of fee payment: 10

FPAY Annual fee payment

Payment date: 20190305

Year of fee payment: 11

FPAY Annual fee payment

Payment date: 20200302

Year of fee payment: 12