KR20080029846A - 실리콘 산화막을 형성하기 위한 성막 방법 및 장치 - Google Patents

실리콘 산화막을 형성하기 위한 성막 방법 및 장치 Download PDF

Info

Publication number
KR20080029846A
KR20080029846A KR1020070097409A KR20070097409A KR20080029846A KR 20080029846 A KR20080029846 A KR 20080029846A KR 1020070097409 A KR1020070097409 A KR 1020070097409A KR 20070097409 A KR20070097409 A KR 20070097409A KR 20080029846 A KR20080029846 A KR 20080029846A
Authority
KR
South Korea
Prior art keywords
gas
processing
processing region
region
film
Prior art date
Application number
KR1020070097409A
Other languages
English (en)
Inventor
가즈히데 하세베
요시히로 이시다
다께히꼬 후지따
쥰 오가와
시게루 나까지마
Original Assignee
도쿄 엘렉트론 가부시키가이샤
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Priority claimed from JP2007215809A external-priority patent/JP5193527B2/ja
Priority claimed from JP2007218026A external-priority patent/JP5258229B2/ja
Application filed by 도쿄 엘렉트론 가부시키가이샤 filed Critical 도쿄 엘렉트론 가부시키가이샤
Publication of KR20080029846A publication Critical patent/KR20080029846A/ko

Links

Images

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/50Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges
    • C23C16/505Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges using radio frequency discharges
    • C23C16/509Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges using radio frequency discharges using internal electrodes
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/40Oxides
    • C23C16/401Oxides containing silicon
    • C23C16/402Silicon dioxide
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45527Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations
    • C23C16/45536Use of plasma, radiation or electromagnetic fields
    • C23C16/45542Plasma being used non-continuously during the ALD reactions
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45544Atomic layer deposition [ALD] characterized by the apparatus
    • C23C16/45546Atomic layer deposition [ALD] characterized by the apparatus specially adapted for a substrate stack in the ALD reactor
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45553Atomic layer deposition [ALD] characterized by the use of precursors specially adapted for ALD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/3244Gas supply means
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02164Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon oxide, e.g. SiO2
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02205Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
    • H01L21/02208Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si
    • H01L21/02219Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound comprising silicon and nitrogen
    • H01L21/02222Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound comprising silicon and nitrogen the compound being a silazane
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/02274Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition in the presence of a plasma [PECVD]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/0228Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition deposition by cyclic CVD, e.g. ALD, ALE, pulsed CVD

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Organic Chemistry (AREA)
  • General Chemical & Material Sciences (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Plasma & Fusion (AREA)
  • Power Engineering (AREA)
  • Analytical Chemistry (AREA)
  • Inorganic Chemistry (AREA)
  • Electromagnetism (AREA)
  • Chemical Vapour Deposition (AREA)
  • Formation Of Insulating Films (AREA)

Abstract

실리콘 소스 가스를 구비하는 제1 처리 가스와 산화 가스를 구비하는 제2 처리 가스를 선택적으로 공급 가능한 처리 영역 내에서 피처리 기판 상에, CVD에 의해 산화막을 형성한다. 이로 인해, 제1 및 제2 공정을 교대로 구비하는 사이클을 복수회 반복한다. 제1 공정은 제1 처리 가스의 공급을 행하고, 피처리 기판의 표면에 실리콘을 포함하는 흡착층을 형성한다. 제2 공정은 제2 처리 가스의 공급을 행하여 피처리 기판의 표면 상의 흡착층을 산화한다. 실리콘 소스 가스로서 1가 또는 2가의 아미노실란 가스를 사용하여 3가의 아미노실란 가스를 사용하는 경우보다도 사이클에 있어서의 처리 온도를 낮게 설정한다.
실리콘 소스 가스, 처리 가스, 산화 가스, 피처리 기판, 아미노실란 가스

Description

실리콘 산화막을 형성하기 위한 성막 방법 및 장치 {FILM FORMATION METHOD AND APPARATUS FOR FORMING SILICON OXIDE FILM}
본 발명은 반도체 처리에 있어서 반도체 웨이퍼 등의 피처리 기판 상에 실리콘 산화막을 형성하는 성막 방법 및 장치에 관한 것이다. 여기서, 반도체 처리라 함은, 웨이퍼나 LCD(Liquid Crystal Display)와 같은 FPD(Flat Panel Display)용 글래스 기판 등의 피처리 기판 상에 반도체층, 절연층, 도전층 등을 소정의 패턴으로 형성함으로써, 상기 피처리 기판 상에 반도체 디바이스나, 반도체 디바이스에 접속되는 배선, 전극 등을 포함하는 구조물을 제조하기 위해 실시되는 다양한 처리를 의미한다.
반도체 집적 회로를 구성하는 반도체 디바이스의 제조에 있어서는, 피처리 기판, 예를 들어 반도체 웨이퍼에, 성막, 에칭, 산화, 확산, 개질, 어닐, 자연 산화막의 제거 등의 각종 처리가 실시된다. 예를 들어, 반도체 웨이퍼에 실리콘 산화막을 형성하는 경우, 종형의(소위, 일괄식) 열처리 장치에 있어서, 테트라에톡시실란[TEOS : Si(OC2H5)4]을 이용하여 성막 처리의 일종인 CVD(Chemical Vapor Deposition) 처리를 행할 수 있다.
최근, 반도체 집적 회로의 한층 고집적화 및 고미세화의 요구에 수반하여 반도체 디바이스의 제조 공정에 있어서의 열이력을 경감시켜 디바이스의 특성을 향상시키는 것이 요구되고 있다. 종형의 처리 장치에 있어서도, 이러한 요구를 따른 반도체 처리 방법의 개량이 이루어지는 것이 기대되고 있다. 예를 들어, CVD 처리에 있어서도, 원료 가스 등을 간헐적으로 공급하면서 원자 혹은 분자 레벨의 두께의 층을 1층 혹은 복수층씩 반복해서 성막하는 방법이 채용된다. 이와 같은 성막 방법은, 일반적으로는 ALD(Atomic Layer Deposition) 혹은 MLD(Molecular Layer Deposition)이라 칭해지고, 이에 의해 웨이퍼를 그 정도의 고온으로 노출되지 않아도 목적으로 하는 처리를 행하는 것이 가능해진다. 또한, ALD 혹은 MLD에 의한 성막은 스텝 커버리지가 양호하기 때문에, 디바이스의 미세화에 수반하여, 좁게 되어 있는 반도체 디바이스 내의 오목부, 예를 들어 게이트 간 갭을 매립하는 것에 적합하다. 예를 들어, 일본 특허 출원 공개 제2004-281853호 공보(특허문헌 1)는 ALD법을 이용하여 300 ℃ 내지 600 ℃의 저온에서 실리콘 질화막을 성막하는 방법을 개시한다. 또한, 일본 특허 출원 공개 제2003-7700호 공보(특허문헌 2)도 이러한 종류의 ALD법을 개시한다.
본 발명은 소정의 성막률을 유지하는 한편, 저온 하에서 양질인 실리콘 산화막을 형성할 수 있는 반도체 처리용 성막 방법 및 장치를 제공하는 것을 목적으로 한다.
본 발명의 제1 시점은, 실리콘 소스 가스를 구비하는 제1 처리 가스와 산화 가스를 구비하는 제2 처리 가스를 선택적으로 공급 가능한 처리 영역 내에서 피처리 기판 상에, CVD에 의해 산화막을 형성하는 반도체 처리용 성막 방법이며, 상기 처리 영역에 대한 상기 제1 처리 가스의 공급을 행하는 한편, 상기 처리 영역에 대한 상기 제2 처리 가스의 공급을 정지하는 제1 공정과, 이에 의해 상기 피처리 기판의 표면에 실리콘을 포함하는 흡착층을 형성하는 것과, 상기 처리 영역에 대한 상기 제2 처리 가스의 공급을 행하는 한편, 상기 처리 영역에 대한 상기 제1 처리 가스의 공급을 정지하고, 상기 피처리 기판의 표면 상의 상기 흡착층을 산화하는 제2 공정을 교대로 구비하는 사이클을 복수회 반복하고, 상기 사이클마다 형성되는 박막을 적층함으로써 소정의 두께를 갖는 상기 산화막을 형성하고, 여기서 상기 실리콘 소스 가스로서 1가 또는 2가의 아미노실란 가스를 사용하여 3가의 아미노실란 가스를 사용하는 경우보다도 상기 사이클에 있어서의 처리 온도를 낮게 설정한다.
본 발명의 제2 시점은, 반도체 처리용 성막 장치이며, 피처리 기판을 수납하는 처리 영역을 갖는 처리 용기와, 상기 처리 영역 내에서 상기 피처리 기판을 지지하는 지지 부재와, 상기 처리 영역 내의 상기 피처리 기판을 가열하는 히터와, 상기 처리 영역 내를 배기하는 배기계와, 상기 처리 영역에 실리콘 소스 가스를 구비하는 제1 처리 가스를 공급하는 제1 처리 가스 공급계와, 상기 처리 영역에 산화 가스를 구비하는 제2 처리 가스를 공급하는 제2 처리 가스 공급계와, 상기 처리 영 역에 공급되는 상기 제2 처리 가스를 선택적으로 여기하는 여기 기구와, 상기 장치의 동작을 제어하는 제어부를 구비하고, 상기 제어부는 상기 피처리 기판 상에, CVD에 의해 산화막을 형성하기 위해, 상기 처리 영역에 대한 상기 제1 처리 가스의 공급을 행하는 한편, 상기 처리 영역에 대한 상기 제2 처리 가스의 공급을 정지하는 제1 공정과, 이에 의해 상기 피처리 기판의 표면에 실리콘을 포함하는 흡착층을 형성하는 것과, 상기 처리 영역에 대한 상기 제2 처리 가스의 공급을 행하는 한편, 상기 처리 영역에 대한 상기 제1 처리 가스의 공급을 정지하고, 상기 피처리 기판의 표면 상의 상기 흡착층을 산화하는 제2 공정을 교대로 구비하는 사이클을 복수회 반복하고, 상기 사이클마다 형성되는 박막을 적층함으로써 소정의 두께를 갖는 상기 산화막을 형성하고, 여기서 상기 실리콘 소스 가스로서 1가 또는 2가의 아미노실란 가스를 사용하여 3가의 아미노실란 가스를 사용하는 경우보다도 상기 사이클에 있어서의 처리 온도를 낮게 설정한다.
본 발명의 제3 시점은, 프로세서 상에서 실행하기 위한 프로그램 지령을 포함하는 컴퓨터로 판독 가능한 매체이며, 상기 프로그램 지령은 프로세서에 의해 실행될 때, 실리콘 소스 가스를 구비하는 제1 처리 가스와 산화 가스를 구비하는 제2 처리 가스를 선택적으로 공급 가능한 처리 영역 내에서 피처리 기판 상에, CVD에 의해 산화막을 형성하는 반도체 처리용 성막 장치를 제어하고, 상기 처리 영역에 대한 상기 제1 처리 가스의 공급을 행하는 한편, 상기 처리 영역에 대한 상기 제2 처리 가스의 공급을 정지하는 제1 공정과, 이에 의해 상기 피처리 기판의 표면에 실리콘을 포함하는 흡착층을 형성하는 것과, 상기 처리 영역에 대한 상기 제2 처리 가스의 공급을 행하는 한편, 상기 처리 영역에 대한 상기 제1 처리 가스의 공급을 정지하고, 상기 피처리 기판의 표면 상의 상기 흡착층을 산화하는 제2 공정을 교대로 구비하는 사이클을 복수회 반복하고, 상기 사이클마다 형성되는 박막을 적층함으로써 소정의 두께를 갖는 상기 산화막을 형성하고, 여기서 상기 실리콘 소스 가스로서 1가 또는 2가의 아미노실란 가스를 사용하여 3가의 아미노실란 가스를 사용하는 경우보다도 상기 사이클에 있어서의 처리 온도를 낮게 설정한다.
본 발명에 따르면, 소정의 성막률을 유지하는 한편, 저온 하에서 양질인 실리콘 산화막을 형성할 수 있는 반도체 처리용 성막 방법 및 장치를 제공할 수 있다.
본 발명자들은 본 발명의 개발 과정에서, 반도체 처리에 있어서 CVD에 의해 실리콘 산화막을 형성하는 방법에 관한 종래 기술의 문제점에 대해 연구하였다. 그 결과, 본 발명자들은 이하에 서술하는 바와 같은 지견을 얻었다.
종래, CVD에 의해 실리콘 산화막을 형성하기 위한 실리콘 소스 가스로서는, 디클로로실란(DCS : SiH2Cl2), 모노실란(SiH4), 테트라클로로실란(TCS : SiCl4), 디실란(Si2H6), 헥사클로로디실란(Si2Cl6), TEOS[Si(OC2H5)4] 등이 일반적으로 사용된다.
이와 같은 실리콘 소스 가스를 사용한 경우, 일반적으로 처리 온도를 저하시 키면 성막률이 저하되거나 혹은 실리콘 산화막의 막질이 저하된다는 문제가 발생한다. 성막률은 처리의 처리량을 결정하는 중요한 요소이고, 또한 실리콘 산화막의 막질은 디바이스의 미세화에 수반하여 박막화함으로써, 점점 신중을 기하는 요소로 되어 있다. 예를 들어, 게이트 산화막이 얇은 실리콘 산화막으로 형성된 경우, 막질이 양호하지 않으면 리크 전류가 증대될 우려가 있다. 이와 같은 이유로부터, 상술한 바와 같은 실리콘 소스 가스를 사용한 경우, 예를 들어 ALD 혹은 MLD법을 이용해도, 전술한 특허문헌 1에 기재되어 있는 바와 같이, 처리 온도는 300 ℃ 이상으로 설정하는 것이 필요해진다.
이에 대해, 본 발명자들의 연구에 의해 실리콘 소스 가스로서 1가 또는 2가의 아미노실란 가스를 사용한 경우, ALD 혹은 MLD법을 이용하면, 처리 온도를 300 ℃ 미만으로 설정해도 소정의 성막률을 유지하는 한편, 양질인 실리콘 산화막을 형성할 수 있는 것이 발견되었다. 특히, 실리콘 소스 가스로서 1가의 아미노실란 가스를 사용한 경우, 200 ℃ 이하, 또한 100 ℃ 이하의 처리 온도에서 양호한 효과를 얻을 수 있다. 따라서, 반도체 디바이스의 제조 공정에 있어서의 열이력을 더 경감시켜 디바이스의 특성을 향상시키는 것이 가능해진다.
또한, 실리콘 산화막을 형성하기 위해 사용 가능한 1가의 아미노실란 가스(1분자 내에 1개의 아미노기를 갖는 아미노실란 가스)로서는, SiH3NC2(CH3)4, SiH3[NHC(CH3)3], SiH3[N(CH3)2]를 예로 들 수 있다. 또한, 2가의 아미노실란 가스(1분자 내에 2개의 아미노기를 갖는 아미노실란 가스)로서는, BTBAS(비스터셜부틸아 미노실란), BDEAS(비스디에틸아미노실란), BDMAS(비스디메틸아미노실란)를 예로 들 수 있다.
이하에, 이와 같은 지견을 기초로 하여 구성된 본 발명의 실시 형태에 대해 도면을 참조하여 설명한다. 또한, 이하의 설명에 있어서, 대략 동일한 기능 및 구성을 갖는 구성 요소에 대해서는, 동일 부호를 붙여 중복 설명은 필요한 경우에만 행한다.
[제1 실시 형태]
도1은 본 발명의 제1 실시 형태에 관한 성막 장치(종형 플라즈마 CVD 장치)를 도시하는 단면도이다. 도2는 도1에 도시한 장치의 일부를 도시하는 횡단 평면도이다. 이 성막 장치(2)는 실리콘 소스 가스로서 1가 또는 2가의 아미노실란 가스를 구비하는 제1 처리 가스와, 산화 가스인 산소(O2) 가스를 구비하는 제2 처리 가스를 선택적으로 공급 가능한 처리 영역을 구비한다. 성막 장치(2)는 이와 같은 처리 영역 내에서 피처리 기판 상에, CVD에 의해 실리콘 산화막을 형성하도록 구성된다.
성막 장치(2)는 간격을 두고 적층된 복수의 반도체 웨이퍼(피처리 기판)를 수납하여 처리하는 처리 영역(5)을 내부에 규정하고, 하단부가 개방된 천장이 있는 원통체 형상의 처리 용기(4)를 갖는다. 처리 용기(4)의 전체는, 예를 들어 석영에 의해 형성된다. 처리 용기(4) 내의 천장에는 석영제의 천장판(6)이 배치되어 시일된다. 처리 용기(4)의 하단부 개구에는 원통체 형상으로 성형된 매니폴드(8)가 O 링 등의 시일 부재(10)를 통해 연결된다. 또한, 매니폴드(8)를 별도로 설치하지 않고, 전체를 원통체 형상의 석영제의 처리 용기로 구성할 수도 있다.
매니폴드(8)는, 예를 들어 스테인리스 스틸로 이루어지고, 처리 용기(4)의 하단부를 지지한다. 매니폴드(8)의 하단부 개구를 통해 석영제의 웨이퍼 보트(12)가 승강되고, 이에 의해 처리 용기(4)에 대해 웨이퍼 보트(12)가 로드/언로드된다. 웨이퍼 보트(12)에는 피처리 기판으로서, 복수매의 반도체 웨이퍼(W)가 다단으로 적재된다. 예를 들어, 본 제1 실시 형태의 경우에 있어서, 웨이퍼 보트(12)의 지주(12A)에는, 예를 들어 50 내지 100매 정도의 직경이 300 ㎜의 웨이퍼(W)가 대략 등간격으로 다단으로 지지 가능해진다.
웨이퍼 보트(12)는 석영제의 보온통(14)을 통해 테이블(16) 상에 적재된다. 테이블(16)은 매니폴드(8)의 하단부 개구를 개폐하는, 예를 들어 스테인리스 스틸제의 덮개(18)를 관통하는 회전축(20) 상에 지지된다.
회전축(20)의 관통부에는, 예를 들어 자성 유체 시일(22)이 개재 설치되어 회전축(20)을 기밀하게 시일하면서 회전 가능하게 지지한다. 덮개(18)의 주변부와 매니폴드(8)의 하단부에는, 예를 들어 O링 등으로 이루어지는 시일 부재(24)가 개재 설치되어 용기 내의 시일성을 유지한다.
회전축(20)은, 예를 들어 보트 엘리베이터 등의 승강 기구(25)에 지지된 아암(26)의 선단부에 설치된다. 승강 기구(25)에 의해, 웨이퍼 보트(12) 및 덮개(18) 등이 일체적으로 승강된다. 또한, 테이블(16)을 덮개(18)측으로 고정하여 설치하고, 웨이퍼 보트(12)를 회전시키지 않고 웨이퍼(W)의 처리를 행하도록 해도 좋다.
매니폴드(8)의 측부에는 처리 용기(4) 내의 처리 영역(5)에 소정의 처리 가스를 공급하기 위한 가스 공급부가 접속된다. 가스 공급부는 제1 처리 가스 공급계(3O), 제2 처리 가스 공급계(32) 및 불활성 가스 공급계(36)를 포함한다. 제1 처리 가스 공급계(30)는 실리콘 소스 가스로서 1가 또는 2가의 아미노실란 가스를 구비하는 제1 처리 가스를 공급한다. 제2 처리 가스 공급계(32)는 산화 가스로서 산소(O2) 가스를 구비하는 제2 처리 가스를 공급한다. 불활성 가스 공급계(36)는 희석용, 퍼지용, 혹은 압력 제어용 불활성 가스로서, 예를 들어 N2 가스를 공급한다. 제1 및 제2 처리 가스에는, 필요에 따라서 적당한 양의 캐리어 가스(희석 가스)가 혼합되는 경우가 있지만, 이하에서는 설명을 용이하게 하기 위해, 캐리어 가스에 대해서는 언급하지 않는다.
구체적으로는, 제1 처리 가스 공급계(30) 및 불활성 가스 공급계(36)는 공통의 가스 분산 노즐(40)을 갖고, 제2 처리 가스 공급계(32)는 가스 분산 노즐(42)을 갖는다. 각 가스 분산 노즐(40, 42)은 매니폴드(8)의 측벽을 내측으로 관통하고 상방향으로 굴곡되어 연장되는 석영관으로 이루어진다(도1 참조). 각 가스 분산 노즐(40, 42)에는 그 길이 방향(상하 방향)을 따라서, 또한 웨이퍼 보트(12) 상의 웨이퍼(W)의 전체에 걸치도록 복수의 가스 분사 구멍(40A, 42A)이 소정의 간격을 두고 형성된다. 가스 분사 구멍(40A, 42A)은 웨이퍼 보트(12) 상의 복수의 웨이퍼(W)에 대해 평행한 가스 흐름을 형성하도록 수평 방향으로 대략 균일하게 대응의 처리 가스를 각각 공급한다. 또한, 제1 처리 가스 공급계(30) 및 불활성 가스 공급계(36)는 각각 개별로 가스 분산 노즐을 갖도록 구성할 수 있다.
노즐(40)은 가스 공급 라인(가스 통로)(50, 56)을 통해 실리콘 소스 가스 및 수소 N2 가스의 가스원(30S, 36S)에 각각 접속된다. 노즐(42)은 가스 공급 라인(가스 통로)(52)을 통해 O2 가스의 가스원(32S)에 접속된다. 가스 공급 라인(50, 52, 56) 상에는 개폐 밸브(50A, 52A, 56A)와 매스플로우 컨트롤러와 같은 유량 제어기(50B, 52B, 56B)가 배치된다. 이에 의해, 실리콘 소스 가스, O2 가스 및 N2 가스가 각각 유량 제어되면서 공급 가능해진다.
처리 용기(4)의 측벽의 일부에는, 그 높이 방향을 따라서 가스 여기부(66)가 배치된다. 가스 여기부(66)에 대향하는 처리 용기(4)의 반대측에는 이 내부 분위기를 진공 배기하기 위해, 처리 용기(4)의 측벽을, 예를 들어 상하 방향으로 깎음으로써 형성한 가늘고 긴 배기구(68)가 배치된다.
구체적으로는, 가스 여기부(66)는 처리 용기(4)의 측벽을, 상하 방향을 따라서 소정의 폭으로 깎음으로써 형성된 상하로 가늘고 긴 개구(70)를 갖는다. 개구(70)는 처리 용기(4)의 외벽에 기밀하게 용접 접합된 석영제의 커버(72)에 의해 덮인다. 커버(72)는 처리 용기(4)의 외측으로 돌출되도록 단면 오목부 형상을 없애고, 또한 상하로 가늘고 긴 형상을 갖는다.
이 구성에 의해, 처리 용기(4)의 측벽으로부터 돌출되고 또한 일측이 처리 용기(4) 내로 개방되는 가스 여기부(66)가 형성된다. 즉, 가스 여기부(66)의 내부 공간은 처리 용기(4) 내의 처리 영역(5)에 연통한다. 개구(70)는 웨이퍼 보트(12)에 유지되는 모든 웨이퍼(W)를 높이 방향에 있어서 커버할 수 있도록 상하 방향으로 충분히 길게 형성된다.
커버(72)의 양 측벽의 외측면에는 그 길이 방향(상하 방향)을 따라서 서로 대향하도록 하여 가늘고 긴 한 쌍의 전극(74)이 배치된다. 전극(74)에는 플라즈마 발생용 고주파 전원(76)이 급전 라인(78)을 통해 접속된다. 전극(74)에, 예를 들어 13.56 ㎒의 고주파 전압을 인가함으로써, 한 쌍의 전극(74) 사이에 플라즈마를 여기하기 위한 고주파 전계가 형성된다. 또한, 고주파 전압의 주파수는 13.56 ㎒로 한정되지 않고, 다른 주파수, 예를 들어 400 ㎑ 등을 이용해도 좋다.
제2 처리 가스의 가스 분산 노즐(42)은 웨이퍼 보트(12) 상의 최하 레벨의 웨이퍼(W)보다도 아래의 위치에서 처리 용기(4)의 반경 방향 외측으로 굴곡된다. 그 후, 가스 분산 노즐(42)은 가스 여기부(66) 내의 가장 안측[처리 용기(4)의 중심으로부터 가장 떨어진 부분]의 위치에서 수직으로 기립한다. 가스 분산 노즐(42)은, 도2에도 도시한 바와 같이 한 쌍의 대향하는 전극(74)에 끼워져 있던 영역(고주파 전계가 가장 강한 위치), 즉 주된 플라즈마가 실제로 발생하는 플라즈마 발생 영역(PS)보다도 외측으로 이격된 위치에 설치된다. 가스 분산 노즐(42)의 가스 분사 구멍(42A)으로부터 분사된 O2 가스를 구비하는 제2 처리 가스는 플라즈마 발생 영역(PS)을 향해 분사되고, 여기서 여기(분해 혹은 활성화)되어, 산소 라디칼(O*, O2 *)을 포함하는 상태에서 웨이퍼 보트(12) 상의 웨이퍼(W)에 공급된다(기호 「*」는 라디칼인 것을 나타냄).
커버(72)의 외측에는 이를 덮도록 하여, 예를 들어 석영으로 이루어지는 절연 보호 커버(80)가 설치된다. 절연 보호 커버(80)의 내측이며 전극(74)과 대향하는 부분에는 냉매 통로로 이루어지는 냉각 기관(도시하지 않음)이 배치된다. 냉매 통로에, 냉매로서, 예를 들어 냉각된 질소 가스를 흐르게 함으로써 전극(74)이 냉각된다. 또한, 절연 보호 커버(80)의 외측에는 이것을 덮어 고주파의 누설을 방지하기 위해 실드(도시하지 않음)가 배치된다.
가스 여기부(66)의 개구(70)의 외측 근방, 즉 개구(70)의 외측[처리 용기(4) 내]의 한쪽에 제1 처리 가스 및 불활성 가스의 가스 분산 노즐(40)이 수직으로 기립되어 배치된다. 가스 분산 노즐(40)에 형성된 가스 분사 구멍(40A)으로부터 처리 용기(4)의 중심 방향을 향해 실리콘 소스 가스를 구비하는 제1 처리 가스, 혹은 N2를 구비하는 불활성 가스가 분사된다.
한편, 가스 여기부(66)에 대향시켜 형성한 배기구(68)에는 이것을 덮도록 하여 석영으로 이루어지는 단면 역ㄷ자형으로 성형된 배기구 커버 부재(82)가 용접에 의해 설치된다. 배기 커버 부재(82)는 처리 용기(4)의 측벽을 따라서 상방으로 연장되고, 처리 용기(4)의 상방에 가스 출구(B4)가 형성된다. 가스 출구(84)에는 진공 펌프 등을 배치한 진공 배기계(GE)가 접속된다.
처리 용기(4)를 포위하도록 처리 용기(4) 내의 분위기 및 웨이퍼(W)를 가열하는 히터(86)가 배치된다. 처리 용기(4) 내의 배기구(68)의 근방에는 히터(86)를 제어하기 위한 열전대(도시하지 않음)가 배치된다.
또한, 성막 장치(2)는 장치 전체의 동작을 제어하는 컴퓨터로 이루어지는 주제어부(100)를 구비한다. 도3은 주제어부(100)의 구성을 도시하는 도면이다. 도3에 도시한 바와 같이, 주제어부(100)에는 조작 패널(121), 온도 센서(군)(122), 압력계(군)(123), 히터 제어기(124), MFC(125)[도1의 유량 제어기(50B, 52B, 56B)에 대응], 밸브 제어부(126), 진공 펌프(127)[도1의 진공 배기계(GE)에 대응], 보트 엘리베이터(128)[도1의 승강 기구(25)에 대응], 플라즈마 제어부(129) 등이 접속된다.
조작 패널(121)은 표시 화면과 조작 버튼을 구비하여 조작자의 조작 지시를 주제어부(100)로 전하고, 또한 주제어부(100)로부터의 다양한 정보를 표시 화면에 표시한다. 온도 센서(군)(122)는 처리 용기(4) 내 및 배기관 내의 각 부의 온도를 측정하여 그 측정치를 주제어부(100)에 통지한다. 압력계(군)(123)는 처리 용기(4) 내 및 배기관 내의 각 부의 압력을 측정하여 측정치를 주제어부(100)에 통지한다.
히터 제어기(124)는 히터(86)의 각 섹션을 개별로 제어하기 위한 것이다. 히터 제어기(124)는 주제어부(100)로부터의 지시에 응답하여 히터(86)의 각 섹션에 통전하여 이들을 가열한다. 히터 제어기(124)는, 또한 히터(86)의 각 섹션의 소비 전력을 개별로 측정하여 주제어부(100)에 통지한다.
MFC(125)는 가스 공급 라인의 배관에 배치된다. MFC(125)는 각 배관을 흐르는 가스의 유량을 주제어부(100)로부터 지시된 양으로 제어한다. MFC(125)는, 또 한 실제로 흐른 가스의 유량을 측정하여 주제어부(100)에 통지한다.
밸브 제어부(126)는 각 배관에 배치되고, 각 배관에 배치된 밸브의 개방도를 주제어부(100)로부터 지시된 값으로 제어한다. 진공 펌프(127)는 배기관에 접속되어 처리 용기(4) 내의 가스를 배기한다.
보트 엘리베이터(128)는 덮개(18)를 상승시킴으로써, 회전 테이블(16) 상에 적재된 웨이퍼 보트(11)[반도체 웨이퍼(W)]를 처리 용기(4) 내에 로드한다. 보트 엘리베이터(128)는, 또한 덮개(18)를 하강시킴으로써, 회전 테이블(16) 상에 적재된 웨이퍼 보트(11)[반도체 웨이퍼(W)]를 처리 용기(4) 내로부터 언로드한다.
플라즈마 제어부(129)는 주제어부(100)로부터의 지시에 응답하여 가스 여기부(66)를 제어한다. 이에 의해, 가스 여기부(66) 내에 공급된, 산소 가스를 활성화하여 라디칼을 생성시킨다.
주제어부(100)는, 레시피 기억부(111)와, ROM(112)과, RAM(113)과, I/O 포트(14)와, CPU(115)를 포함한다. 이들은 버스(116)에 의해 상호 접속되고, 버스(116)를 통해 각 부의 사이에서 정보가 전달된다.
레시피 기억부(111)에는 셋업용 레시피와 복수의 프로세스용 레시피가 기억된다. 성막 장치(2)의 제조 당초에는 셋업용 레시피만이 저장된다. 셋업용 레시피는 각 성막 장치에 따른 열 모델 등을 생성할 때에 실행되는 것이다. 프로세스용 레시피는 사용자가 실제로 행하는 열처리(프로세스)마다 준비되는 레시피이다. 프로세스용 레시피는 처리 용기(4)로의 반도체 웨이퍼(W)의 로드로부터 처리 완료의 웨이퍼(W)를 언로드할 때까지의, 각 부의 온도의 변화, 처리 용기(4) 내의 압력 변화, 처리 가스의 공급의 개시 및 정지의 타이밍과 공급량 등을 규정한다.
ROM(112)은 EEPROM, 플래시 메모리, 하드디스크 등으로 구성되고, CPU(115)의 동작 프로그램 등을 기억하는 기록 매체이다. RAM(113)은 CPU(115)의 작업 영역 등으로서 기능한다.
I/O 포트(114)는 조작 패널(121), 온도 센서(122), 압력계(123), 히터 제어기(124), MFC(125), 밸브 제어부(126), 진공 펌프(127), 보트 엘리베이터(128), 플라즈마 제어부(129) 등에 접속되어 데이터나 신호의 입출력을 제어한다.
CPU(Central Processing Unit)(115)는 주제어부(100)의 중추를 구성한다. CPU(115)는 ROM(112)에 기억된 제어 프로그램을 실행하여 조작 패널(121)로부터의 지시에 따라서, 레시피 기억부(111)에 기억되는 레시피(프로세스용 레시피)에 따라서 성막 장치(2)의 동작을 제어한다. 즉, CPU(115)는 온도 센서(군)(122), 압력계(군)(123), MFC(125) 등에 처리 용기(4) 내 및 배기관 내의 각 부의 온도, 압력, 유량 등을 측정시킨다. 또한, CPU(115)는 이 측정 데이터를 기초로 하여, 히터 제어기(124), MFC(125), 밸브 제어부(126), 진공 펌프(127) 등에 제어 신호 등을 출력하여 상기 각 부가 프로세스용 레시피를 따르도록 제어한다.
다음에, 도1에 도시하는 장치를 이용하여 주제어부(100)의 제어 하에서 행해지는 성막 방법(소위, ALD 혹은 MLD 성막)에 대해 설명한다. 본 제1 실시 형태에 관한 성막 방법에서는, 플라즈마 CVD에 의해 반도체 웨이퍼(W) 상에 실리콘 산화막을 형성한다. 이로 인해, 웨이퍼(W)를 수납한 처리 영역(5) 내에 실리콘 소스 가스로서 1가 또는 2가의 아미노실란 가스를 구비하는 제1 처리 가스와, 산화 가스인 산소(O2) 가스를 구비하는 제2 처리 가스를 선택적으로 공급한다.
우선, 복수매, 예를 들어 50 내지 100매의 300 ㎜ 사이즈의 웨이퍼(W)를 유지한 상온의 웨이퍼 보트(12)를, 소정의 온도로 설정된 처리 용기(4) 내에 로드하여 처리 용기(4)를 밀폐한다. 다음에, 처리 용기(4) 내를 진공화하여 소정의 처리 압력으로 유지하는 동시에, 웨이퍼 온도를 상승시켜 성막용 처리 온도로 안정될 때까지 대기한다. 다음에, 웨이퍼 보트(12)를 회전시키면서 제1 및 제2 처리 가스를 각각 유량 제어하면서 가스 분산 노즐(40, 42)로부터 간헐적으로 공급한다.
개략적으로는, 우선 실리콘 소스 가스를 구비하는 제1 처리 가스가 가스 분산 노즐(40)의 가스 분사 구멍(40A)으로부터 웨이퍼 보트(12) 상의 복수의 웨이퍼(W)에 대해 평행한 가스 흐름을 형성하도록 공급된다. 그동안에, 실리콘 소스 가스의 분자, 혹은 이들의 분해에 의해 발생한 분해 생성물의 분자 혹은 원자가 웨이퍼의 예비 처리된 표면 상에 흡착되어 흡착층을 형성한다(흡착).
다음에, O2 가스를 구비하는 제2 처리 가스가 가스 분산 노즐(42)의 가스 분사 구멍(42A)으로부터 웨이퍼 보트(12) 상의 복수의 웨이퍼(W)에 대해 평행한 가스 흐름을 형성하도록 공급된다. 제2 처리 가스는 한 쌍의 전극(74) 사이의 플라즈마 발생 영역(PS)을 통과할 때에 선택적으로 여기되어 일부가 플라즈마화된다. 이때, O*, O2 * 등의 산소 라디칼(활성종)이 생성된다. 이들 라디칼은 가스 여기부(66)의 개구(70)로부터 처리 용기(4)의 중심을 향해 유출되고, 웨이퍼(W) 상호 간에 층류 상태에서 공급된다. 웨이퍼(W) 상에 산소 라디칼이 공급되면, 웨이퍼(W) 상의 흡 착층의 Si와 반응하고, 이에 의해 웨이퍼(W) 상에 실리콘 산화물의 박막이 형성된다(산화).
도4는 본 발명의 제1 실시 형태에 관한 성막 방법에 있어서의 가스 공급 및RF(고주파) 인가의 형태를 나타내는 타이밍 차트이다. 도4에 도시한 바와 같이, 본 제1 실시 형태에 관한 성막 방법에 있어서는, 제1 내지 제4 공정(T1 내지 T4)을 교대로 반복한다. 즉, 제1 내지 제4 공정(T1 내지 T4)으로 이루어지는 사이클을 복수회 반복하고, 사이클마다 형성되는 실리콘 산화물의 박막을 적층함으로써, 최종적인 두께의 실리콘 산화막을 얻을 수 있다.
구체적으로는, 제1 공정(T1)에서는 처리 영역(5)에 대한 제1 처리 가스(도4에서는 Si 소스로 표시)의 공급을 행하는 한편, 처리 영역(S)에 대한 제2 처리 가스(도4에서는 O2로 표시)의 공급을 정지한다. 제2 공정(T2)에서는 처리 영역(5)에 대한 제1 및 제2 처리 가스의 공급을 정지한다. 제3 공정(T3)에서는 처리 영역(5)에 대한 제2 처리 가스의 공급을 행하는 한편, 처리 영역(S)에 대한 제1 처리 가스의 공급을 정지한다. 또한, 제3 공정(T3)에서는 RF 전원(76)을 온으로 하여 가스 여기부(66)에서 제2 처리 가스를 플라즈마화함으로써, 제2 처리 가스를 여기한 상태에서 처리 영역(5)에 공급한다. 제4 공정(T4)에서는 처리 영역(5)에 대한 제1 및 제2 처리 가스의 공급을 정지한다.
제2 및 제4 공정(T2, T4)은 처리 용기(4) 내에 잔류하는 가스를 배제하는 퍼지 공정으로서 사용된다. 여기서, 퍼지라 함은, N2 가스 등의 불활성을 흘리면서 처리 용기(4) 내를 진공 배기하는 것, 혹은 모든 가스의 공급을 정지하여 처리 용기(4) 내를 진공 배기함으로써, 처리 용기(4) 내의 잔류 가스를 제거하는 것을 의미한다. 또한, 제2 및 제4 공정(T2, T4)의 전반은 진공 배기만을 행하고, 후반은 진공 배기와 불활성 공급을 더불어 행하도록 해도 좋다. 또한, 제1 및 제3 공정(T1, T3)에 있어서, 제1 및 제2 처리 가스를 공급할 때에는 처리 용기(4) 내의 진공 배기를 정지할 수 있다. 그러나, 제1 및 제2 처리 가스의 공급을, 처리 용기(4) 내를 진공 배기하면서 행하는 경우에는 제1 내지 제4 공정(T1 내지 T4)의 전체에 걸쳐서 처리 용기(4) 내의 진공 배기를 계속시킬 수 있다.
도4에 있어서, 제1 공정(T1)은 약 1 내지 180초, 제2 공정(T2)은 약 1 내지 60초, 재3 공정(T3)은 약 1 내지 300초, 제4 공정(T4)은 약 1 내지 60초로 설정된다. 또한, 통상, 제1 내지 제4 공정(T1 내지 T4)의 1 사이클에 의해 형성되는 막 두께는 0.2 ㎚ 정도이다. 따라서, 목표 막 두께가, 예를 들어 10 ㎚이면, 이 사이클을 50회 정도 반복하게 된다. 단, 이들 시간이나 두께는 단순히 일 예를 나타낸 것에 지나지 않고, 이 수치로 한정되지 않는다.
도5a 내지 도5f는 실리콘 소스 가스로서 1가의 아미노실란 가스인 SiH3NC2(CH3)4를 사용한 경우의 Si 웨이퍼(W) 표면에 있어서의 반응을 개략적으로 도시하는 도면이다. 또한, 후술하는 바와 같이, 1가의 아미노실란 가스는 2가의 아미노실란 가스에 비해 높은 성막률을 얻을 수 있다는(따라서, 처리 온도를 내릴 수 있음) 이점이 있다.
처리 영역(5)에 공급된 실리콘 소스 가스, 즉 SiH3NC2(CH3)4 가스는 처리 영역(5)에서 가열되어 활성화되고, 도5a 및 도5b에 도시한 바와 같이 반도체 웨이퍼(W)의 표면에 실리콘을 포함하는 흡착층을 형성한다. 여기서, Si 웨이퍼(W) 표면에 존재하는 OH기는, 예를 들어 이미 퇴적된 SiO2막의 표면에 유래된다. 흡착층의 형성 시, NC2(CH3)4가 실리콘으로부터 분리되므로, 흡착층 중에 질소(N)가 포함되지 않는다. 또한, NC2(CH3)4는 퍼지 공정에 의해 제거된다. 이로 인해, 형성되는 실리콘 산화막 중에 질소가 포함되기 어려워져, 양질의 실리콘 산화막을 형성할 수 있다. 또한, 실리콘 소스 가스가 1가의 아미노 실란이므로, 흡착층 형성 시에 구조 장해가 발생하기 어려워 분자의 흡착을 방해하기 어렵다. 이로 인해, 흡착 속도가 저하되지 않아, 높은 성막률을 얻을 수 있다.
다음에, 퍼지 공정을 경유하여 처리 영역(5)에 산소 라디칼이 공급된다. 이에 의해, 도5c로부터 도5d에 도시한 바와 같이 반도체 웨이퍼(W) 상의 흡착층이 산화되어(흡착층의 H가 O로 치환됨), 반도체 웨이퍼(W) 상에 실리콘 산화막이 형성된다. 이와 같은 흡착 공정과 산화 공정을 포함하는 1 사이클을 반복함으로써, 도5e 및 도5f에 도시한 바와 같이 실리콘 산화막이 적층된다.
상기한 MLD법에 있어서, 실리콘 소스 가스로서 1가의 아미노실란 가스인 SiH3NC2(CH3)4를 사용하는 경우의 성막 처리의 처리 조건은 다음과 같다.
실리콘 소스 가스의 공급량은 1 내지 500 sccm으로 하는 것이 바람직하다. 1 sccm보다 적으면 웨이퍼(W)에 충분한 실리콘 소스가 공급되지 않을 우려가 생긴다. 500 sccm보다 많으면 웨이퍼(W)로의 흡착에 기여하는 실리콘 소스의 비율이 지나치게 낮아질 우려가 생긴다. 실리콘 소스 가스의 공급량은 50 내지 500 sccm으로 하는 것이 더 바람직하다. 이러한 범위로 함으로써, 웨이퍼(W)에 대한 실리콘 소스의 흡착이 촉진된다.
처리 영역(5) 내의 압력(처리 압력)은 0.1 내지 50 Torr(133 ㎩ = 1 Torr)로 하는 것이 바람직하다. 이러한 범위의 압력으로 함으로써, 웨이퍼(W)에 대한 실리콘 소스의 흡착을 촉진시킬 수 있다. 처리 영역(S) 내의 압력은 1 내지 30 Torr로 하는 것이 더 바람직하다. 이러한 범위의 압력으로 함으로써, 처리 영역(5) 내의 압력 제어가 용이해진다.
처리 영역(5) 내의 온도(처리 온도)는 25 ℃ 내지 300 ℃, 바람직하게는 25 ℃ 내지 200 ℃, 보다 바람직하게는 25 ℃ 내지 100 ℃로 설정한다. 처리 온도 300 ℃를 초과하면 막 두께의 편차를 무시할 수 없게 될 우려가 있다. 실리콘 소스 가스로서 1가의 아미노실란 가스를 사용하는 경우, 후술하는 바와 같이 웨이퍼(W)의 표면에 있어서의 반응성이 촉진되는 만큼, 2가 또는 3가의 아미노실란 가스를 사용하는 경우보다도 높은 성막률을 얻을 수 있다. 그러나, 여기서는, 웨이퍼(W)의 표면에 있어서의 반응성이 촉진되는 만큼, 처리 온도를 낮은 값으로 설정함으로써, 기준 범위 내의 성막률로 유지한다. 이에 의해, 반도체 디바이스의 제조 공정에 있어서의 열이력을 경감시켜, 디바이스의 특성을 향상시키는 것이 가능해진다. 또한, 처리 온도는 상기 사이클의 개시 전에 실험에 의해 기준 범위 내의 성막률을 얻을 수 있도록 결정할 수 있다.
도6의 (a) 내지 (c)는 실리콘 소스 가스로서 2가의 아미노실란 가스인 비스터셜부틸아미노실란{BTBAS : SiH2[NH(C4H9)]2}을 사용한 경우의 반도체 웨이퍼(W) 표면에 있어서의 반응을 개략적으로 도시하는 도면이다. 또한, 2가의 아미노실란 가스, 예를 들어 BTBAS는 1가의 아미노실란 가스에 비해 안정성이 높다는 이점이 있다.
처리 영역(5)에 공급된 실리콘 소스 가스, 즉 BTBAS 가스는 처리 영역(5)에서 가열되어 활성화되고, 도6의 (a) 및 (b)에 도시한 바와 같이 반도체 웨이퍼(W)의 표면에 실리콘을 포함하는 흡착층을 형성한다. 이때, BTBAS의 Si가 표면의 OH기의 O와 반응하여 트리메틸아미노기를 이탈시킨다. BTBAS는 OH와의 반응성이 높고, 흡착층 형성 시에 구조 장해가 발생하기 어려워, 분자의 흡착을 방해하기 어렵다. 이로 인해, 흡착 연도가 저하되지 않아 높은 성막률을 얻을 수 있다.
다음에, 퍼지 공정을 경유하여 처리 영역(5)에 산소 라디칼이 공급된다. 이에 의해, 도6의 (c)에 도시한 바와 같이 반도체 웨이퍼(W) 상의 흡착층이 산화되어(흡착층의 H가 0로 치환됨), 반도체 웨이퍼(W) 상에 실리콘 산화막이 형성된다(단, 도6의 (c)는 실리콘 산화막의 표면에 H가 흡착되어 OH기가 형성되어 있는 상태를 나타냄). 이와 같은 흡착 공정과 산화 공정을 포함하는 1 사이클을 반복함으로써, 실리콘 산화막이 적층된다.
상기한 MLD법에 있어서, 실리콘 소스 가스로서 2가의 아미노실란 가스인 BTBAS를 사용하는 경우의 성막 처리의 처리 조건은 다음과 같다.
실리콘 소스 가스의 공급량은 1 내지 500 sccm으로 하는 것이 바람직하다. 1 sccm보다 적으면 웨이퍼(W)에 충분한 실리콘 소스가 공급되지 않을 우려가 생긴다. 500 sccm보다 많으면 웨이퍼(W)로의 흡착에 기여하는 실리콘 소스의 비율이 지나치게 낮아질 우려가 생긴다. 실리콘 소스 가스의 공급량은 50 내지 500 sccm으로 하는 것이 더 바람직하다. 이러한 범위로 함으로써, 웨이퍼(W)에 대한 실리콘 소스의 흡착이 촉진된다.
처리 영역(5) 내의 압력(처리 압력)은 0.1 내지 50 Torr(133 ㎩ = 1 Torr)로 하는 것이 바람직하다. 이러한 범위의 압력으로 함으로써, 웨이퍼(W)에 대한 실리콘 소스의 흡착을 촉진시킬 수 있다. 처리 영역(5) 내의 압력은 1 내지 30 Torr로 하는 것이 더 바람직하다. 이러한 범위의 압력으로 함으로써, 처리 영역(5) 내의 압력 제어가 용이해진다.
처리 영역(5) 내의 온도(처리 온도)는 25 ℃ 내지 300 ℃, 바람직하게는 25 ℃ 내지 200 ℃, 보다 바람직하게는 25 ℃ 내지 100 ℃로 설정한다. 처리 온도 300 ℃를 초과하면 막 두께의 편차를 무시할 수 없게 될 우려가 있다. 이 경우에도 웨이퍼(W)의 표면에 있어서의 반응성이 촉진되는 만큼, 3가의 아미노실란 가스를 사용하는 경우보다도 처리 온도를 낮은 값으로 설정함으로써, 기준 범위 내의 성막률로 유지한다. 이에 의해, 반도체 디바이스의 제조 공정에 있어서의 열이력을 경감시켜 디바이스의 특성을 향상시키는 것이 가능해진다.
또한, 상기한 MLD법에 있어서, 실리콘 소스 가스로서 1가 또는 2가의 아미노 실란 가스를 사용하는 경우의 성막 처리의 공통의 처리 조건은 다음과 같다.
RF 파워는 10 W 내지 1500 W로 하는 것이 바람직하다. 10 W보다 적으면, 산소 라디칼이 생성되기 어려워진다. 150O W를 초과하면, 가스 여기부(66)를 구성하는 석영벽이 손상을 받을 우려가 생긴다. RF 파워는 50 W 내지 500 W로 하는 것이 더 바람직하다. 이러한 범위로 함으로써, 산소 라디칼을 효율적으로 생성할 수 있다.
가스 여기부(66) 내의 압력(가스 분사 구멍의 압력)은 0.133 ㎩ 내지 13.3 ㎪로 하는 것이 바람직하고, 70 ㎩ 내지 400 ㎩로 하는 것이 더 바람직하다. 이러한 범위의 압력으로 함으로써, 플라즈마를 문제없이 발생할 수 있는 동시에 웨이퍼(W) 상의 흡착층의 Si를 산화하는 데에도 충분한 산소 라디칼을 공급할 수 있다.
상술한 바와 같이, 본질적으로 저온 성막이 가능해 양호한 막질을 얻을 수 있는 MLD법을 전제로 하고, 또한 흡착층 형성 시에 구조 장해가 발생하기 어려운 실리콘 소스 가스로서 1가 또는 2가의 아미노실란 가스를 사용한다. 또한, 흡착층을 산화하기 위해, 온도를 상승시키지 않고 반응을 진행시키는 O2 가스 플라즈마와 같은 산소 라디칼을 이용한다. 이에 의해, 양호한 막질의 SiO2막을 100 ℃ 이하, 또한 실온(25 ℃) 등의 종래에는 생각할 수 없었던 저온이고 또한 높은 성막률로 성막할 수 있다.
[실험 1 : 성막률 및 조성]
도1에 도시하는 장치를 사용하여 상기 제1 실시 형태에 관한 성막 방법에 의 해 실리콘 산화막을 형성하고, 그 성막률 및 조성의 평가를 행하였다. 이 실험에 있어서, 1가의 아미노실란 가스인 SiH3NC2(CH3)4와, 2가의 아미노실란 가스인 BTBAS를 별개로 실리콘 소스 가스로서 사용하였다. 또한, 비교예로서, 3가의 아미노실란 가스인 Tri-DMAS{SiH[N(CH3)2]3}를 실리콘 소스 가스로서 사용했다.
이때의 성막 처리의 처리 조건의 기준은 상기 제1 실시 형태에 있어서 서술한 바와 같고, 상술한 사이클을 42회 반복하여 소정의 두께의 실리콘 산화막을 형성하였다. 구체적으로는, 피처리 기판은 300 ㎜ 실리콘 웨이퍼를 100매, 성막 온도는 100 ℃, 처리 압력은 제1 공정(T1)이 533 ㎩(4 Torr), 제3 공정(T3)이 66.5 ㎩(0.5 Torr)로 하였다. 실리콘 소스 가스의 유량은 SiH3NC2(CH3)4가 300 mL/min(sccm), BTBAS가 2000 mL/min(sccm), Tri-DMAS가 300 mL/min(sccm)으로 하였다. O2 가스의 공급량은 2000 mL/min(sccm), 이들을 여기하는 고주파 전원의 주파수는 13.56 ㎒, 파워는 50 W로 하였다. 또한, 처리 용기 내의 퍼지로 인해, 제1 공정(T1) 전에는 처리 용기 내의 탈기를 계속하면서 3500 mL/min(sccm)의 유량으로 퍼지 가스로서 N2 가스를 7 sec 동안 공급하였다. 또한, 제3 공정(T3) 전에는 처리 용기 내의 진공화를 계속하면서 3500 mL/min(Sccm)의 유량으로 퍼지 가스로서 N2 가스를 6 sec 동안 공급하였다.
이와 같이 하여 형성한 실리콘 산화막[반도체 웨이퍼(W)]의 중심부(CT)와 단부(ED)에 대해 막 내에 포함되는 성분(Si, O, N)의 농도를 측정하였다. 이 측정에 는 X선 광전자 분광 장치(XPS : X-ray Photoelectron Spectrometer)를 이용하였다. 그 결과, 상기 제1 실시 형태에 관한 성막 방법에 의해 형성된 박막은 질소를 거의 포함하고 있지 않고, 실리콘 산화막(SiO2)인 것이 확인되었다.
도7은 이 실험에 의해 얻게 된, 다른 실리콘 소스 가스와 실리콘 산화막의 성막률과의 관계를 나타내는 그래프이다. 도7의 종축은 3가의 아미노실란 가스인 Tri-DMAS{SiH[N(CH3)2]3}를 실리콘 소스 가스로서 사용한 경우의 성막률을 1로서 규격화한 각 실리콘 소스 가스에 의해 얻게 된 성막률을 나타낸다.
도7에 도시한 바와 같이, 성막률은 3가의 아미노실란 가스보다도 2가의 아미노실란 가스가 높고, 2가의 아미노실란 가스보다도 1가의 아미노실란 가스가 높아졌다. 이는, 저가의 아미노실란 가스일수록 Si 흡착 시에 구조 장해가 발생하기 어려워, 다른 분자의 흡착을 방해하기 어려워지기 때문이라고 판단된다.
[실험 2 : 산화 가스]
BTBAS를 실리콘 소스 가스로서 사용하고, 산화 가스로서 O2 가스를 플라즈마화하여 사용한 경우와, 오존(O3) 가스를 플라즈마화하지 않고 사용한 경우에 대해 비교하였다. O2 가스를 플라즈마화하여 사용한 경우의 조건은 상기 실험 1과 동일한 것으로 하였다. O3 가스를 플라즈마화하지 않고 사용한 경우의 조건은 250 g/N㎥의 유량이고 O3 가스를 공급한 것 이외는 상기 실험 1과 동일하게 하였다. 이와 같이 하여 형성한 실리콘 산화막에 대해, 성막률과 막 두께의 면내 균일성을 측정 하였다. 샘플링 웨이퍼(W)로서, 웨이퍼 보트(12)의 상부(TOP), 중앙부(CTR) 및 하부(BTM)를 각각 1매씩 선택하였다.
도8은 본 실험에 의해 얻게 된, 다른 처리 가스와 실리콘 산화막의 성막률 및 막 두께의 면내 균일성과의 관계를 나타내는 그래프이다. 도8의 좌측 종축은 산화 가스로서 O2 가스를 이용한 경우의 중앙부(CTR) 웨이퍼의 성막률을 1로서 규격화한 각 부 웨이퍼의 성막률을 나타낸다. 우측 종축은 산화 가스로서 O3 가스를 이용한 경우의 중앙부(CTR) 웨이퍼의 면내 균일성을 1로서 규격화한 각 부 웨이퍼의 면내 균일성을 나타낸다.
도8에 도시한 바와 같이, O2 가스 플라즈마를 이용한 경우에는 산소를 라디칼화하지 않는 O3 가스를 이용한 경우보다도 5배 정도의 성막률(속도)을 얻을 수 있었다. 또한, O2 가스 플라즈마를 이용한 경우에는 산소를 라디칼화하지 않는 O3 가스를 이용한 경우보다도 막 두께의 막 두께의 면내 균일성이 매우 양호했다.
[실험 3 : 처리 온도]
BTBAS를 실리콘 소스 가스로서 사용하고, 산화 가스로서 O2 가스를 플라즈마화하여 사용한 경우에 대해, 처리 온도를 파라미터로 하는 실험을 행하였다. 처리 온도 이외의 조건은 상기 실험 1과 동일하게 하였다. 처리 온도로서, 실온(25 ℃), 75 ℃, 100 ℃, 200 ℃, 300 ℃와 다른 값을 사용하였다. 이와 같이 하여 형성한 실리콘 산화막에 대해, 성막률과 막 두께의 면내 균일성을 측정하였다.
도9는 본 실험에 의해 얻게 된, 다른 처리 온도와 실리콘 산화막의 성막률과의 관계를 나타내는 그래프이다. 도9의 좌측 종축은 처리 온도를 300 ℃로 한 경우의 성막률을 1로서 규격화한 다른 온도 조건에 의해 얻게 된 성막률을 나타낸다. 우측 종축은 처리 온도를 300 ℃로 한 경우의 면내 균일성을 1로서 규격화한 다른 온도 조건에 의해 얻게 된 면내 균일성을 나타낸다.
도9에 도시한 바와 같이, 100 ℃ 이하로 하는 저온에 있어서도 높은 성막률을 얻을 수 있고, 실온에서도 충분히 실용적인 성막이 가능한 것이 확인되었다. 또한, 100 ℃ 이하로 하는 저온에서도 막 두께의 균일성은 양호한 것이었다. 한편, 성막 온도가 300 ℃를 초과하면 막 두께의 편차가 커졌다.
[제2 실시 형태]
도10은 본 발명의 제2 실시 형태에 관한 성막 장치(종형 열CVD 장치)를 도시하는 단면도이다. 산화 가스를 활성화시키기 위해, 제1 실시 형태에서 서술한 플라즈마 대신에, 촉매, UV, 열, 자력 등의 다른 매체를 이용할 수 있다. 예를 들어, 열에 의해 산화 가스를 활성화시키는 경우에는, 도10에 도시한 바와 같은 열처리 장치를 이용할 수 있다.
도10에 도시하는 성막 장치(2X)에 있어서, 처리 용기의 정부에, 진공 배기계에 접속된 가스 출구(84)가 형성되고, 처리 용기(4)의 바닥부에 짧은 L자형의 노즐(40, 42)이 접속된다. 따라서, 처리 가스는 웨이퍼(W)를 지지하는 웨이퍼 보트(12)가 놓인 처리 영역(5)의 하방의 노즐(40, 42)의 공급구로부터 공급되어, 처리 영역(5)을 통과한 후, 상방의 가스 출구(84)로부터 배출된다. 노즐(40)은 실리 콘 소스 가스로서 1가 또는 2가의 아미노실란 가스를 구비하는 제1 처리 가스를 공급한다. 노즐(42)은 산화 가스로서 산소(O2) 가스를 구비하는 제2 처리 가스를 공급한다. 처리 영역(5)은 처리 용기(4)의 주위에 배치되는 히터(86)에 의해 가열된다.
이와 같은 열처리 장치를 이용하는 경우에는 소정의 온도로 가열된 처리 영역(5)으로 산소를 도입함으로써 산소를 활성화시킬 수 있다. 처리 영역(5)의 온도는 공급된 산소를 활성화할 수 있는 온도이면 좋고, 예를 들어 550 ℃ 정도로 하는 것이 바람직하다. 처리 영역(5)의 압력은 133 ㎩(1 Torr) 정도로 하는 것이 바람직하고, 산소 공급량은 100 sccm 내지 1 slm으로 하는 것이 바람직하다.
또한, 산소 대신에, 오존(O3), 수증기(H2O) 등의 다른 산화 가스를 이용할 수 있다. 예를 들어, 산화 가스로서 오존을 이용하는 경우에는 처리 영역(5)의 온도를 200 ℃ 내지 600 ℃, 압력을 133 ㎩(1 Torr), 오존의 유량을 250 g/N㎥ 정도로 하는 것이 바람직하다.
[변경예]
실리콘 산화막을 형성하기 위한 1가의 아미노실란 가스(1분자 내에 2개의 아미노기를 갖는 아미노실란 가스)로서는, SiH3NC2(CH3)4 대신에, SiH3[NHC(CH3)3], SiH3[N(CH3)2]를 사용할 수 있다. 또한, 2가의 아미노실란 가스(1분자 내에 2개의 아미노기를 갖는 아미노실란 가스)로서는, BTBAS 대신에, BDEAS(비스디에틸아미노실란), BDMAS(비스디메틸아미노실란)를 사용하는 예를 들 수 있다.
제2 처리 가스 중의 산화 가스로서는, 산소, 오존(O3), 산화질소(NO), 이산화질소(NO2), 아산화질소(N2O), 수증기(H20)로 이루어지는 군으로부터 선택되는 1 이상의 가스를 이용할 수 있다.
상기 제1 실시 형태에서는 처리 가스 공급 시에 희석 가스로서 질소 가스를 공급하는 경우가 예시된다. 이 점에 관하여, 처리 가스 공급 시에 질소 가스를 공급하지 않아도 좋다. 단, 질소 가스를 희석 가스로서 포함시킴으로써 처리 시간의 설정 등이 용이해지므로, 희석 가스를 포함시키는 것이 바람직하다. 희석 가스로서는, 불활성 가스인 것이 바람직하고, 질소 가스 외에, 예를 들어 헬륨 가스(He), 네온 가스(Ne), 아르곤 가스(Ar), 크세논 가스(Xe)를 적용할 수 있다.
상기 제1 실시 형태에서는 실리콘 소스 가스와 질소 가스가 공통의 가스 분산 노즐로부터 공급된다. 대신에, 가스의 종류마다 가스 공급 노즐이 배치되어도 좋다. 또한, 복수개로부터 동일한 가스가 도입되도록 처리 용기(4)의 하단부 근방의 측면에 복수개의 가스 공급 노즐이 삽입 관통되어 있어도 좋다. 이 경우, 복수개의 가스 공급 노즐로부터 처리 용기(4) 내로 처리 가스가 공급되므로, 처리 용기(4) 내에 처리 가스를 보다 균일하게 도입할 수 있다.
상기 제1 실시 형태에서는 성막 장치로서, 단관 구조의 일괄식 열처리 장치가 사용된다. 대신에, 본 발명은, 예를 들어 처리 용기가 내관과 외관으로 구성된 이중관 구조의 일괄식 종형 열처리 장치에 적용할 수 있다. 또한, 본 발명은 매엽식 열처리 장치에 적용할 수도 있다. 피처리 기판은 반도체 웨이퍼(W)로 한정되는 것은 아니고, 예를 들어 LCD용 글래스 기판이라도 좋다.
성막 장치의 제어부(100)는 전용의 시스템에 의하지 않고, 통상의 컴퓨터 시스템을 이용하여 실현 가능하다. 예를 들어, 범용 컴퓨터에 상술한 처리를 실행하기 위한 프로그램을 저장한 기록 매체(가요성 디스크, CD-ROM 등)로부터 상기 프로그램을 인스톨함으로써, 상술한 처리를 실행하는 제어부(100)를 구성할 수 있다.
이들 프로그램을 공급하기 위한 수단은 임의이다. 프로그램은, 상술한 바와 같이 소정의 기록 매체를 통해 공급할 수 있는 것 외에, 예를 들어 통신 회선, 통신 네트워크, 통신 시스템 등을 통해 공급해도 좋다. 이 경우, 예를 들어 통신 네트워크의 게시판(BBS)에 상기 프로그램을 게시하고, 이를 네트워크를 통해 반송파에 중첩하여 제공해도 좋다. 그리고, 이와 같이 제공된 프로그램을 기동하여, 0S의 제어 하에서 다른 애플리케이션 프로그램과 마찬가지로 실행함으로써, 상술한 처리를 실행할 수 있다.
도1은 본 발명의 제1 실시 형태에 관한 성막 장치(종형 플라즈마 CVD 장치)를 도시하는 단면도.
도2는 도1에 도시한 장치의 일부를 도시하는 횡단 평면도.
도3은 도1에 도시하는 장치의 제어부의 구성을 도시하는 도면.
도4는 본 발명의 제1 실시 형태에 관한 성막 방법에 있어서의, 가스 공급 및 RF(고주파) 인가의 형태를 나타내는 타이밍 차트.
도5a 내지 도5f는 실리콘 소스 가스로서 1가의 아미노실란 가스인 SiH3NC2(CH3)4를 사용한 경우의 Si 웨이퍼(W) 표면에 있어서의 반응을 개략적으로 도시하는 도면.
도6은 실리콘 소스 가스로서 2가의 아미노실란 가스인 비스터셜부틸아미노실란{BTBAS : SiH2[NH(C4H9)]2}을 사용한 경우의 반도체 웨이퍼(W) 표면에 있어서의 반응을 개략적으로 도시하는 도면.
도7은 실험에 의해 얻게 된, 다른 실리콘 소스 가스와 실리콘 산화막의 성막률과의 관계를 나타내는 그래프.
도8은 실험에 의해 얻게 된, 다른 처리 가스와 실리콘 산화막의 성막률 및 막 두께의 면내 균일성과의 관계를 나타내는 그래프.
도9는 실험에 의해 얻게 된, 다른 처리 온도와 실리콘 산화막의 성막률과의 관계를 나타내는 그래프.
도10은 본 발명의 제2 실시 형태에 관한 성막 장치(종형 열CVD 장치)를 도시하는 단면도.
<도면의 주요 부분에 대한 부호의 설명>
2 : 성막 장치
4 : 처리 용기
6 : 천장판
8 : 매니폴드
10 : 시일 부재
12 : 웨이퍼 보트
14 : 보온통
16 : 테이블
18 : 덮개
20 : 회전축
25 : 승강 기구

Claims (20)

  1. 실리콘 소스 가스를 구비하는 제1 처리 가스와 산화 가스를 구비하는 제2 처리 가스를 선택적으로 공급 가능한 처리 영역 내에서 피처리 기판 상에, CVD에 의해 산화막을 형성하는 반도체 처리용 성막 방법이며,
    상기 처리 영역에 대한 상기 제1 처리 가스의 공급을 행하는 한편, 상기 처리 영역에 대한 상기 제2 처리 가스의 공급을 정지하는 제1 공정과, 제1 공정에 의해, 상기 피처리 기판의 표면에 실리콘을 포함하는 흡착층을 형성하는 단계와,
    상기 처리 영역에 대한 상기 제2 처리 가스의 공급을 행하는 한편, 상기 처리 영역에 대한 상기 제1 처리 가스의 공급을 정지하고, 상기 피처리 기판의 표면 상의 상기 흡착층을 산화하는 제2 공정을 교대로 구비하는 사이클을 복수회 반복하고, 상기 사이클마다 형성되는 박막을 적층함으로써 소정의 두께를 갖는 상기 산화막을 형성하고, 여기서 상기 실리콘 소스 가스로서 1가 또는 2가의 아미노실란 가스를 사용하여 3가의 아미노실란 가스를 사용하는 경우보다도 상기 사이클에 있어서의 처리 온도를 낮게 설정하는 반도체 처리용 성막 방법.
  2. 제1항에 있어서, 상기 사이클의 개시 전에 기준 범위 내의 성막률을 얻을 수 있도록 상기 처리 온도를 결정하는 공정을 더 구비하는 반도체 처리용 성막 방법.
  3. 제2항에 있어서, 상기 실리콘 소스 가스로서 1가 또는 2가의 아미노실란 가 스를 사용함으로써 상기 피처리 기판의 표면에 있어서의 반응성이 촉진되는 만큼 상기 처리 온도를 낮은 값으로 설정함으로써, 상기 기준 범위 내의 성막률로 유지하는 반도체 처리용 성막 방법.
  4. 제1항에 있어서, 상기 실리콘 소스 가스는 1가의 아미노실란 가스인 반도체 처리용 성막 방법.
  5. 제4항에 있어서, 상기 아미노실란 가스는 SiH3NC2(CH3)4, SiH3[NHC(CH3)3], SiH3[N(CH3)2]로 이루어지는 군으로부터 선택되는 반도체 처리용 성막 방법.
  6. 제1항에 있어서, 상기 실리콘 소스 가스는 2가의 아미노실란 가스인 반도체 처리용 성막 방법.
  7. 제6항에 있어서, 아미노실란 가스는 비스터셜부틸아미노실란, 비스디에틸아미노실란, 비스디메틸아미노실란으로 이루어지는 군으로부터 선택되는 반도체 처리용 성막 방법.
  8. 제1항에 있어서, 상기 산화 가스는 산소, 오존, 산화질소, 이산화질소, 아산화질소, 수증기로 이루어지는 군으로부터 선택되는 반도체 처리용 성막 방법.
  9. 제1항에 있어서, 상기 사이클은 상기 처리 영역의 온도를 25 ℃ 내지 200 ℃로 설정하고, 상기 제2 공정은 상기 제2 처리 가스를 여기 기구에 의해 여기한 상태에서 상기 처리 영역에 공급하는 여기 기간을 구비하고, 이에 의해 생성된 상기 산화 가스의 라디칼에 의해 상기 피처리 기판의 표면 상의 상기 흡착층을 산화하는 반도체 처리용 성막 방법.
  10. 제9항에 있어서, 상기 산화 가스는 산소로 이루어지는 반도체 처리용 성막 방법.
  11. 제10항에 있어서, 상기 사이클은 상기 처리 영역의 온도를 100 ℃ 이하로 설정하는 반도체 처리용 성막 방법.
  12. 제1항에 있어서, 상기 사이클은 상기 처리 영역의 온도를 200 ℃ 내지 600 ℃로 설정하는 반도체 처리용 성막 방법.
  13. 제12항에 있어서, 상기 산화 가스는 산소, 오존, 수증기로 이루어지는 군으로부터 선택되는 반도체 처리용 성막 방법.
  14. 제1항에 있어서, 상기 각 사이클은 상기 제2 공정과 그 직후의 사이클의 상 기 제1 공정과의 사이에, 상기 처리 영역에 대한 제1 및 제2 처리 가스의 공급을 정지하는 동시에, 상기 처리 영역을 배기하는 개재 공정을 더 구비하는 반도체 처리용 성막 방법.
  15. 제14항에 있어서, 상기 각 사이클은 상기 제1 및 제2 공정 사이에, 상기 처리 영역에 대한 제1 및 제2 처리 가스의 공급을 정지하는 동시에, 상기 처리 영역을 배기하는 개재 공정을 더 구비하는 반도체 처리용 성막 방법.
  16. 제14항에 있어서, 상기 각 사이클은 그 전체 기간에 걸쳐서 상기 처리 영역을 계속적으로 배기하도록 구성되는 반도체 처리용 성막 방법.
  17. 제16항에 있어서, 상기 개재 공정은 상기 처리 영역에 대한 불활성 가스의 공급을 행하는 기간을 구비하는 반도체 처리용 성막 방법.
  18. 제1항에 있어서, 상기 처리 영역 내에 복수의 피처리 기판이 상하에 간격을 두고 적층된 상태에서 수납되고, 상기 복수의 피처리 기판은 상기 처리 영역의 주위에 배치된 히터에 의해 가열되는 반도체 처리용 성막 방법.
  19. 피처리 기판을 수납하는 처리 영역을 갖는 처리 용기와,
    상기 처리 영역 내에서 상기 피처리 기판을 지지하는 지지 부재와,
    상기 처리 영역 내의 상기 피처리 기판을 가열하는 히터와,
    상기 처리 영역 내를 배기하는 배기계와,
    상기 처리 영역에 실리콘 소스 가스를 구비하는 제1 처리 가스를 공급하는 제1 처리 가스 공급계와,
    상기 처리 영역에 산화 가스를 구비하는 제2 처리 가스를 공급하는 제2 처리 가스 공급계와,
    상기 처리 영역에 공급되는 상기 제2 처리 가스를 선택적으로 여기하는 여기 기구와,
    상기 장치의 동작을 제어하는 제어부를 구비하고,
    상기 제어부는 상기 피처리 기판 상에, CVD에 의해 산화막을 형성하기 위해,
    상기 처리 영역에 대한 상기 제1 처리 가스의 공급을 행하는 한편, 상기 처리 영역에 대한 상기 제2 처리 가스의 공급을 정지하는 제1 공정에 의해 상기 피처리 기판의 표면에 실리콘을 포함하는 흡착층을 형성하는 제1 공정과,
    상기 처리 영역에 대한 상기 제2 처리 가스의 공급을 행하는 한편, 상기 처리 영역에 대한 상기 제1 처리 가스의 공급을 정지하고, 상기 피처리 기판의 표면 상의 상기 흡착층을 산화하는 제2 공정을 교대로 구비하는 사이클을 복수회 반복하고, 상기 사이클마다 형성되는 박막을 적층함으로써 소정의 두께를 갖는 상기 산화막을 형성하고, 여기서 상기 실리콘 소스 가스로서 1가 또는 2가의 아미노실란 가스를 사용하여 3가의 아미노실란 가스를 사용하는 경우보다도 상기 사이클에 있어서의 처리 온도를 낮게 설정하는 반도체 처리용 성막 장치.
  20. 프로세서상에서 실행하기 위한 프로그램 지령을 포함하는 컴퓨터로 판독 가능한 매체이며,
    상기 프로그램 지령은 프로세서에 의해 실행될 때,
    실리콘 소스 가스를 구비하는 제1 처리 가스와 산화 가스를 구비하는 제2 처리 가스를 선택적으로 공급 가능한 처리 영역 내에서 피처리 기판 상에, CVD에 의해 산화막을 형성하는 반도체 처리용 성막 장치를 제어하고,
    상기 처리 영역에 대한 상기 제1 처리 가스의 공급을 행하는 한편, 상기 처리 영역에 대한 상기 제2 처리 가스의 공급을 정지하는 제1 공정에 의해 상기 피처리 기판의 표면에 실리콘을 포함하는 흡착층을 형성하는 제1 공정과,
    상기 처리 영역에 대한 상기 제2 처리 가스의 공급을 행하는 한편, 상기 처리 영역에 대한 상기 제1 처리 가스의 공급을 정지하고, 상기 피처리 기판의 표면 상의 상기 흡착층을 산화하는 제2 공정을 교대로 구비하는 사이클을 복수회 반복하고, 상기 사이클마다 형성되는 박막을 적층함으로써 소정의 두께를 갖는 상기 산화막을 형성하고, 여기서 상기 실리콘 소스 가스로서 1가 또는 2가의 아미노실란 가스를 사용하여 3가의 아미노실란 가스를 사용하는 경우보다도 상기 사이클에 있어서의 처리 온도를 낮게 설정하는 프로세서상에서 실행하기 위한 프로그램 지령을 포함하는 컴퓨터로 판독 가능한 매체.
KR1020070097409A 2006-09-28 2007-09-27 실리콘 산화막을 형성하기 위한 성막 방법 및 장치 KR20080029846A (ko)

Applications Claiming Priority (8)

Application Number Priority Date Filing Date Title
JPJP-P-2006-00265818 2006-09-28
JP2006264469 2006-09-28
JPJP-P-2006-00264469 2006-09-28
JP2006265818 2006-09-28
JP2007215809A JP5193527B2 (ja) 2006-09-28 2007-08-22 シリコン酸化膜の形成方法、シリコン酸化膜の形成装置及びプログラム
JPJP-P-2007-00215809 2007-08-22
JP2007218026A JP5258229B2 (ja) 2006-09-28 2007-08-24 成膜方法および成膜装置
JPJP-P-2007-00218026 2007-08-24

Publications (1)

Publication Number Publication Date
KR20080029846A true KR20080029846A (ko) 2008-04-03

Family

ID=39261448

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020070097409A KR20080029846A (ko) 2006-09-28 2007-09-27 실리콘 산화막을 형성하기 위한 성막 방법 및 장치

Country Status (4)

Country Link
US (1) US7906168B2 (ko)
KR (1) KR20080029846A (ko)
CN (1) CN101154589B (ko)
TW (1) TWI462179B (ko)

Cited By (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR101037962B1 (ko) * 2008-06-20 2011-05-30 가부시키가이샤 히다치 고쿠사이 덴키 기판 처리 방법 및 기판 처리 장치
US8227032B2 (en) 2005-03-17 2012-07-24 L'air Liquide Societe Anonyme Pour L'etude Et L'exploitation Des Procedes Georges Claude Method of forming silicon oxide containing films
KR101463073B1 (ko) * 2010-10-29 2014-11-20 도쿄엘렉트론가부시키가이샤 성막 장치

Families Citing this family (415)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US8232176B2 (en) 2006-06-22 2012-07-31 Applied Materials, Inc. Dielectric deposition and etch back processes for bottom up gapfill
US20090041952A1 (en) 2007-08-10 2009-02-12 Asm Genitech Korea Ltd. Method of depositing silicon oxide films
US7867923B2 (en) * 2007-10-22 2011-01-11 Applied Materials, Inc. High quality silicon oxide films by remote plasma CVD from disilane precursors
US8357435B2 (en) * 2008-05-09 2013-01-22 Applied Materials, Inc. Flowable dielectric equipment and processes
JP5155070B2 (ja) * 2008-09-02 2013-02-27 株式会社日立国際電気 半導体装置の製造方法、基板処理方法及び基板処理装置
JP2010073822A (ja) * 2008-09-17 2010-04-02 Tokyo Electron Ltd 成膜装置、成膜方法、プログラム及びコンピュータ可読記憶媒体
JP4638550B2 (ja) 2008-09-29 2011-02-23 東京エレクトロン株式会社 マスクパターンの形成方法、微細パターンの形成方法及び成膜装置
US10378106B2 (en) * 2008-11-14 2019-08-13 Asm Ip Holding B.V. Method of forming insulation film by modified PEALD
KR20100093349A (ko) * 2009-02-16 2010-08-25 삼성전자주식회사 기상 박막 형성 방법 및 반도체 집적 회로 장치의 제조 방법
JP5275093B2 (ja) * 2009-03-13 2013-08-28 東京エレクトロン株式会社 基板処理方法
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
US8980382B2 (en) 2009-12-02 2015-03-17 Applied Materials, Inc. Oxygen-doping for non-carbon radical-component CVD films
US8741788B2 (en) 2009-08-06 2014-06-03 Applied Materials, Inc. Formation of silicon oxide using non-carbon flowable CVD processes
US8802201B2 (en) 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
JP5444961B2 (ja) 2009-09-01 2014-03-19 東京エレクトロン株式会社 成膜装置及び成膜方法
US8449942B2 (en) * 2009-11-12 2013-05-28 Applied Materials, Inc. Methods of curing non-carbon flowable CVD films
US20110159213A1 (en) * 2009-12-30 2011-06-30 Applied Materials, Inc. Chemical vapor deposition improvements through radical-component modification
WO2011090626A2 (en) * 2009-12-30 2011-07-28 Applied Materials, Inc. Dielectric film growth with radicals produced using flexible nitrogen/hydrogen ratio
US8329262B2 (en) 2010-01-05 2012-12-11 Applied Materials, Inc. Dielectric film formation using inert gas excitation
JP2013517616A (ja) * 2010-01-06 2013-05-16 アプライド マテリアルズ インコーポレイテッド 酸化物ライナを使用する流動可能な誘電体
SG182333A1 (en) 2010-01-07 2012-08-30 Applied Materials Inc In-situ ozone cure for radical-component cvd
SG183873A1 (en) 2010-03-05 2012-10-30 Applied Materials Inc Conformal layers by radical-component cvd
US8236708B2 (en) * 2010-03-09 2012-08-07 Applied Materials, Inc. Reduced pattern loading using bis(diethylamino)silane (C8H22N2Si) as silicon precursor
JP5052638B2 (ja) * 2010-03-17 2012-10-17 Sppテクノロジーズ株式会社 成膜方法
KR101226876B1 (ko) * 2010-04-01 2013-01-28 레르 리키드 쏘시에떼 아노님 뿌르 레?드 에렉스뿔라따시옹 데 프로세데 조르즈 클로드 아미노-금속 및 할로겐화 금속 전구체의 조합을 사용한 금속 질화물 함유 필름 퇴적
US9373500B2 (en) 2014-02-21 2016-06-21 Lam Research Corporation Plasma assisted atomic layer deposition titanium oxide for conformal encapsulation and gapfill applications
US8637411B2 (en) 2010-04-15 2014-01-28 Novellus Systems, Inc. Plasma activated conformal dielectric film deposition
US9892917B2 (en) 2010-04-15 2018-02-13 Lam Research Corporation Plasma assisted atomic layer deposition of multi-layer films for patterning applications
US9611544B2 (en) 2010-04-15 2017-04-04 Novellus Systems, Inc. Plasma activated conformal dielectric film deposition
US9997357B2 (en) 2010-04-15 2018-06-12 Lam Research Corporation Capped ALD films for doping fin-shaped channel regions of 3-D IC transistors
US9257274B2 (en) 2010-04-15 2016-02-09 Lam Research Corporation Gapfill of variable aspect ratio features with a composite PEALD and PECVD method
US20110256734A1 (en) 2010-04-15 2011-10-20 Hausmann Dennis M Silicon nitride films and methods
US9390909B2 (en) 2013-11-07 2016-07-12 Novellus Systems, Inc. Soft landing nanolaminates for advanced patterning
JP2011243620A (ja) * 2010-05-14 2011-12-01 Tokyo Electron Ltd 成膜方法および成膜装置
US9685320B2 (en) 2010-09-23 2017-06-20 Lam Research Corporation Methods for depositing silicon oxide
US9285168B2 (en) 2010-10-05 2016-03-15 Applied Materials, Inc. Module for ozone cure and post-cure moisture treatment
US8664127B2 (en) 2010-10-15 2014-03-04 Applied Materials, Inc. Two silicon-containing precursors for gapfill enhancing dielectric liner
JP2012138500A (ja) * 2010-12-27 2012-07-19 Tokyo Electron Ltd タングステン膜又は酸化タングステン膜上への酸化シリコン膜の成膜方法及び成膜装置
JP5692850B2 (ja) * 2010-12-28 2015-04-01 東京エレクトロン株式会社 薄膜形成方法、薄膜形成装置及びプログラム
US10283321B2 (en) 2011-01-18 2019-05-07 Applied Materials, Inc. Semiconductor processing system and methods using capacitively coupled plasma
US8450191B2 (en) 2011-01-24 2013-05-28 Applied Materials, Inc. Polysilicon films by HDP-CVD
US8716154B2 (en) * 2011-03-04 2014-05-06 Applied Materials, Inc. Reduced pattern loading using silicon oxide multi-layers
RU2584841C2 (ru) 2011-04-07 2016-05-20 Пикосан Ой Атомно-слоевое осаждение с плазменным источником
US8445078B2 (en) 2011-04-20 2013-05-21 Applied Materials, Inc. Low temperature silicon oxide conversion
US8466073B2 (en) 2011-06-03 2013-06-18 Applied Materials, Inc. Capping layer for reduced outgassing
US9312155B2 (en) 2011-06-06 2016-04-12 Asm Japan K.K. High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules
US9793148B2 (en) 2011-06-22 2017-10-17 Asm Japan K.K. Method for positioning wafers in multiple wafer transport
US10364496B2 (en) 2011-06-27 2019-07-30 Asm Ip Holding B.V. Dual section module having shared and unshared mass flow controllers
US9404178B2 (en) 2011-07-15 2016-08-02 Applied Materials, Inc. Surface treatment and deposition for reduced outgassing
US10854498B2 (en) 2011-07-15 2020-12-01 Asm Ip Holding B.V. Wafer-supporting device and method for producing same
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US8617989B2 (en) 2011-09-26 2013-12-31 Applied Materials, Inc. Liner property improvement
US8551891B2 (en) 2011-10-04 2013-10-08 Applied Materials, Inc. Remote plasma burn-in
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
KR101886740B1 (ko) * 2011-11-01 2018-09-11 삼성디스플레이 주식회사 기상 증착 장치 및 유기 발광 표시 장치 제조 방법
US8946830B2 (en) 2012-04-04 2015-02-03 Asm Ip Holdings B.V. Metal oxide protective layer for a semiconductor device
US9558931B2 (en) 2012-07-27 2017-01-31 Asm Ip Holding B.V. System and method for gas-phase sulfur passivation of a semiconductor surface
US9659799B2 (en) 2012-08-28 2017-05-23 Asm Ip Holding B.V. Systems and methods for dynamic semiconductor process scheduling
US8889566B2 (en) 2012-09-11 2014-11-18 Applied Materials, Inc. Low cost flowable dielectric films
US9021985B2 (en) 2012-09-12 2015-05-05 Asm Ip Holdings B.V. Process gas management for an inductively-coupled plasma deposition reactor
US9324811B2 (en) 2012-09-26 2016-04-26 Asm Ip Holding B.V. Structures and devices including a tensile-stressed silicon arsenic layer and methods of forming same
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
TWI595112B (zh) 2012-10-23 2017-08-11 蘭姆研究公司 次飽和之原子層沉積及保形膜沉積
US9679984B2 (en) * 2012-11-07 2017-06-13 Taiwan Semiconductor Manufacturing Company, Ltd. Metal gate structure with multi-layer composition
SG2013083241A (en) 2012-11-08 2014-06-27 Novellus Systems Inc Conformal film deposition for gapfill
SG2013083654A (en) 2012-11-08 2014-06-27 Novellus Systems Inc Methods for depositing films on sensitive substrates
US9640416B2 (en) 2012-12-26 2017-05-02 Asm Ip Holding B.V. Single-and dual-chamber module-attachable wafer-handling chamber
US9018108B2 (en) 2013-01-25 2015-04-28 Applied Materials, Inc. Low shrinkage dielectric films
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
US9589770B2 (en) 2013-03-08 2017-03-07 Asm Ip Holding B.V. Method and systems for in-situ formation of intermediate reactive species
US9484191B2 (en) 2013-03-08 2016-11-01 Asm Ip Holding B.V. Pulsed remote plasma method and system
US8993054B2 (en) 2013-07-12 2015-03-31 Asm Ip Holding B.V. Method and system to reduce outgassing in a reaction chamber
US9018111B2 (en) 2013-07-22 2015-04-28 Asm Ip Holding B.V. Semiconductor reaction chamber with plasma capabilities
US9793115B2 (en) 2013-08-14 2017-10-17 Asm Ip Holding B.V. Structures and devices including germanium-tin films and methods of forming same
US9240412B2 (en) 2013-09-27 2016-01-19 Asm Ip Holding B.V. Semiconductor structure and device and methods of forming same using selective epitaxial process
US9556516B2 (en) 2013-10-09 2017-01-31 ASM IP Holding B.V Method for forming Ti-containing film by PEALD using TDMAT or TDEAT
US10179947B2 (en) 2013-11-26 2019-01-15 Asm Ip Holding B.V. Method for forming conformal nitrided, oxidized, or carbonized dielectric film by atomic layer deposition
US9214334B2 (en) 2014-02-18 2015-12-15 Lam Research Corporation High growth rate process for conformal aluminum nitride
US10683571B2 (en) 2014-02-25 2020-06-16 Asm Ip Holding B.V. Gas supply manifold and method of supplying gases to chamber using same
US10167557B2 (en) 2014-03-18 2019-01-01 Asm Ip Holding B.V. Gas distribution system, reactor including the system, and methods of using the same
US9447498B2 (en) 2014-03-18 2016-09-20 Asm Ip Holding B.V. Method for performing uniform processing in gas system-sharing multiple reaction chambers
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US9404587B2 (en) 2014-04-24 2016-08-02 ASM IP Holding B.V Lockout tagout for semiconductor vacuum valve
US9412581B2 (en) 2014-07-16 2016-08-09 Applied Materials, Inc. Low-K dielectric gapfill by flowable deposition
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US9543180B2 (en) 2014-08-01 2017-01-10 Asm Ip Holding B.V. Apparatus and method for transporting wafers between wafer carrier and process tool under vacuum
US9478411B2 (en) 2014-08-20 2016-10-25 Lam Research Corporation Method to tune TiOx stoichiometry using atomic layer deposited Ti film to minimize contact resistance for TiOx/Ti based MIS contact scheme for CMOS
US9478438B2 (en) 2014-08-20 2016-10-25 Lam Research Corporation Method and apparatus to deposit pure titanium thin film at low temperature using titanium tetraiodide precursor
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
JP6366454B2 (ja) 2014-10-07 2018-08-01 東京エレクトロン株式会社 被処理体を処理する方法
US9657845B2 (en) 2014-10-07 2017-05-23 Asm Ip Holding B.V. Variable conductance gas distribution apparatus and method
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
KR102300403B1 (ko) 2014-11-19 2021-09-09 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US9564312B2 (en) 2014-11-24 2017-02-07 Lam Research Corporation Selective inhibition in atomic layer deposition of silicon-containing films
KR102263121B1 (ko) 2014-12-22 2021-06-09 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 및 그 제조 방법
US9478415B2 (en) 2015-02-13 2016-10-25 Asm Ip Holding B.V. Method for forming film having low resistance and shallow junction depth
US10529542B2 (en) 2015-03-11 2020-01-07 Asm Ip Holdings B.V. Cross-flow reactor and method
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US10566187B2 (en) 2015-03-20 2020-02-18 Lam Research Corporation Ultrathin atomic layer deposition film accuracy thickness control
US9502238B2 (en) 2015-04-03 2016-11-22 Lam Research Corporation Deposition of conformal films by atomic layer deposition and atomic layer etch
JP6462477B2 (ja) * 2015-04-27 2019-01-30 東京エレクトロン株式会社 被処理体を処理する方法
JP6456764B2 (ja) 2015-04-28 2019-01-23 株式会社Kokusai Electric 半導体装置の製造方法、基板処理装置およびプログラム
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10600673B2 (en) 2015-07-07 2020-03-24 Asm Ip Holding B.V. Magnetic susceptor to baseplate seal
US10526701B2 (en) 2015-07-09 2020-01-07 Lam Research Corporation Multi-cycle ALD process for film uniformity and thickness profile modulation
US10043661B2 (en) 2015-07-13 2018-08-07 Asm Ip Holding B.V. Method for protecting layer by forming hydrocarbon-based extremely thin film
US9899291B2 (en) 2015-07-13 2018-02-20 Asm Ip Holding B.V. Method for protecting layer by forming hydrocarbon-based extremely thin film
US10083836B2 (en) 2015-07-24 2018-09-25 Asm Ip Holding B.V. Formation of boron-doped titanium metal films with high work function
US10087525B2 (en) 2015-08-04 2018-10-02 Asm Ip Holding B.V. Variable gap hard stop design
US9647114B2 (en) 2015-08-14 2017-05-09 Asm Ip Holding B.V. Methods of forming highly p-type doped germanium tin films and structures and devices including the films
US9711345B2 (en) 2015-08-25 2017-07-18 Asm Ip Holding B.V. Method for forming aluminum nitride-based film by PEALD
US9960072B2 (en) 2015-09-29 2018-05-01 Asm Ip Holding B.V. Variable adjustment for precise matching of multiple chamber cavity housings
US9909214B2 (en) 2015-10-15 2018-03-06 Asm Ip Holding B.V. Method for depositing dielectric film in trenches by PEALD
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US10322384B2 (en) 2015-11-09 2019-06-18 Asm Ip Holding B.V. Counter flow mixer for process chamber
US9455138B1 (en) 2015-11-10 2016-09-27 Asm Ip Holding B.V. Method for forming dielectric film in trenches by PEALD using H-containing gas
US9905420B2 (en) 2015-12-01 2018-02-27 Asm Ip Holding B.V. Methods of forming silicon germanium tin films and structures and devices including the films
US9607837B1 (en) 2015-12-21 2017-03-28 Asm Ip Holding B.V. Method for forming silicon oxide cap layer for solid state diffusion process
US9627221B1 (en) 2015-12-28 2017-04-18 Asm Ip Holding B.V. Continuous process incorporating atomic layer etching
US9735024B2 (en) 2015-12-28 2017-08-15 Asm Ip Holding B.V. Method of atomic layer etching using functional group-containing fluorocarbon
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US9754779B1 (en) 2016-02-19 2017-09-05 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10468251B2 (en) 2016-02-19 2019-11-05 Asm Ip Holding B.V. Method for forming spacers using silicon nitride film for spacer-defined multiple patterning
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10501866B2 (en) 2016-03-09 2019-12-10 Asm Ip Holding B.V. Gas distribution apparatus for improved film uniformity in an epitaxial system
US10343920B2 (en) 2016-03-18 2019-07-09 Asm Ip Holding B.V. Aligned carbon nanotubes
US9892913B2 (en) 2016-03-24 2018-02-13 Asm Ip Holding B.V. Radial and thickness control via biased multi-port injection settings
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10087522B2 (en) 2016-04-21 2018-10-02 Asm Ip Holding B.V. Deposition of metal borides
US10032628B2 (en) 2016-05-02 2018-07-24 Asm Ip Holding B.V. Source/drain performance through conformal solid state doping
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
KR102592471B1 (ko) 2016-05-17 2023-10-20 에이에스엠 아이피 홀딩 비.브이. 금속 배선 형성 방법 및 이를 이용한 반도체 장치의 제조 방법
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US10388509B2 (en) 2016-06-28 2019-08-20 Asm Ip Holding B.V. Formation of epitaxial layers via dislocation filtering
US9773643B1 (en) 2016-06-30 2017-09-26 Lam Research Corporation Apparatus and method for deposition and etch in gap fill
US10062563B2 (en) 2016-07-01 2018-08-28 Lam Research Corporation Selective atomic layer deposition with post-dose treatment
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US9793135B1 (en) 2016-07-14 2017-10-17 ASM IP Holding B.V Method of cyclic dry etching using etchant film
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
US10381226B2 (en) 2016-07-27 2019-08-13 Asm Ip Holding B.V. Method of processing substrate
US10395919B2 (en) 2016-07-28 2019-08-27 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10177025B2 (en) 2016-07-28 2019-01-08 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9887082B1 (en) * 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US10037884B2 (en) 2016-08-31 2018-07-31 Lam Research Corporation Selective atomic layer deposition for gapfill using sacrificial underlayer
US10090316B2 (en) 2016-09-01 2018-10-02 Asm Ip Holding B.V. 3D stacked multilayer semiconductor memory using doped select transistor channel
US10410943B2 (en) 2016-10-13 2019-09-10 Asm Ip Holding B.V. Method for passivating a surface of a semiconductor and related systems
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10435790B2 (en) 2016-11-01 2019-10-08 Asm Ip Holding B.V. Method of subatmospheric plasma-enhanced ALD using capacitively coupled electrodes with narrow gap
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10643904B2 (en) 2016-11-01 2020-05-05 Asm Ip Holdings B.V. Methods for forming a semiconductor device and related semiconductor device structures
US10134757B2 (en) 2016-11-07 2018-11-20 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by using the method
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
US10340135B2 (en) 2016-11-28 2019-07-02 Asm Ip Holding B.V. Method of topologically restricted plasma-enhanced cyclic deposition of silicon or metal nitride
JP6836953B2 (ja) * 2016-12-13 2021-03-03 東京エレクトロン株式会社 窒化シリコンから形成された第1領域を酸化シリコンから形成された第2領域に対して選択的にエッチングする方法
US10319613B2 (en) * 2016-12-13 2019-06-11 Tokyo Electron Limited Method of selectively etching first region made of silicon nitride against second region made of silicon oxide
CN106756884B (zh) * 2016-12-13 2018-10-23 温州海旭科技有限公司 一种pecvd镀膜装置
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
US9916980B1 (en) 2016-12-15 2018-03-13 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
KR20180070971A (ko) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10655221B2 (en) 2017-02-09 2020-05-19 Asm Ip Holding B.V. Method for depositing oxide film by thermal ALD and PEALD
US10176984B2 (en) * 2017-02-14 2019-01-08 Lam Research Corporation Selective deposition of silicon oxide
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
US10283353B2 (en) 2017-03-29 2019-05-07 Asm Ip Holding B.V. Method of reforming insulating film deposited on substrate with recess pattern
US10103040B1 (en) 2017-03-31 2018-10-16 Asm Ip Holding B.V. Apparatus and method for manufacturing a semiconductor device
USD830981S1 (en) 2017-04-07 2018-10-16 Asm Ip Holding B.V. Susceptor for semiconductor substrate processing apparatus
KR102457289B1 (ko) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10446393B2 (en) 2017-05-08 2019-10-15 Asm Ip Holding B.V. Methods for forming silicon-containing epitaxial layers and related semiconductor device structures
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US10504742B2 (en) 2017-05-31 2019-12-10 Asm Ip Holding B.V. Method of atomic layer etching using hydrogen plasma
US10886123B2 (en) 2017-06-02 2021-01-05 Asm Ip Holding B.V. Methods for forming low temperature semiconductor layers and related semiconductor device structures
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US10685834B2 (en) 2017-07-05 2020-06-16 Asm Ip Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US10605530B2 (en) 2017-07-26 2020-03-31 Asm Ip Holding B.V. Assembly of a liner and a flange for a vertical furnace as well as the liner and the vertical furnace
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10312055B2 (en) 2017-07-26 2019-06-04 Asm Ip Holding B.V. Method of depositing film by PEALD using negative bias
JP6902958B2 (ja) * 2017-08-02 2021-07-14 東京エレクトロン株式会社 シリコン膜の形成方法および形成装置
JP6840051B2 (ja) * 2017-08-02 2021-03-10 東京エレクトロン株式会社 タングステン膜上へシリコン酸化膜を形成する方法および装置
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US10249524B2 (en) 2017-08-09 2019-04-02 Asm Ip Holding B.V. Cassette holder assembly for a substrate cassette and holding member for use in such assembly
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US10236177B1 (en) 2017-08-22 2019-03-19 ASM IP Holding B.V.. Methods for depositing a doped germanium tin semiconductor and related semiconductor device structures
USD900036S1 (en) 2017-08-24 2020-10-27 Asm Ip Holding B.V. Heater electrical connector and adapter
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR102401446B1 (ko) 2017-08-31 2022-05-24 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269559B2 (en) 2017-09-13 2019-04-23 Lam Research Corporation Dielectric gapfill of high aspect ratio features utilizing a sacrificial etch cap layer
US10607895B2 (en) 2017-09-18 2020-03-31 Asm Ip Holdings B.V. Method for forming a semiconductor device structure comprising a gate fill metal
KR102630301B1 (ko) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10319588B2 (en) 2017-10-10 2019-06-11 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
US10872762B2 (en) * 2017-11-08 2020-12-22 Taiwan Semiconductor Manufacturing Company, Ltd. Methods of forming silicon oxide layer and semiconductor structure
KR102443047B1 (ko) 2017-11-16 2022-09-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 방법 및 그에 의해 제조된 장치
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
TWI779134B (zh) 2017-11-27 2022-10-01 荷蘭商Asm智慧財產控股私人有限公司 用於儲存晶圓匣的儲存裝置及批爐總成
WO2019103610A1 (en) 2017-11-27 2019-05-31 Asm Ip Holding B.V. Apparatus including a clean mini environment
US10290508B1 (en) 2017-12-05 2019-05-14 Asm Ip Holding B.V. Method for forming vertical spacers for spacer-defined patterning
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
KR20200108016A (ko) 2018-01-19 2020-09-16 에이에스엠 아이피 홀딩 비.브이. 플라즈마 보조 증착에 의해 갭 충진 층을 증착하는 방법
TW202325889A (zh) 2018-01-19 2023-07-01 荷蘭商Asm 智慧財產控股公司 沈積方法
USD903477S1 (en) 2018-01-24 2020-12-01 Asm Ip Holdings B.V. Metal clamp
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
US10535516B2 (en) 2018-02-01 2020-01-14 Asm Ip Holdings B.V. Method for depositing a semiconductor structure on a surface of a substrate and related semiconductor structures
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
KR102657269B1 (ko) 2018-02-14 2024-04-16 에이에스엠 아이피 홀딩 비.브이. 주기적 증착 공정에 의해 기판 상에 루테늄-함유 막을 증착하는 방법
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
US10658181B2 (en) 2018-02-20 2020-05-19 Asm Ip Holding B.V. Method of spacer-defined direct patterning in semiconductor fabrication
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11404275B2 (en) 2018-03-02 2022-08-02 Lam Research Corporation Selective deposition using hydrolysis
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US10510536B2 (en) 2018-03-29 2019-12-17 Asm Ip Holding B.V. Method of depositing a co-doped polysilicon film on a surface of a substrate within a reaction chamber
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102501472B1 (ko) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
TWI811348B (zh) 2018-05-08 2023-08-11 荷蘭商Asm 智慧財產控股公司 藉由循環沉積製程於基板上沉積氧化物膜之方法及相關裝置結構
TW202349473A (zh) 2018-05-11 2023-12-16 荷蘭商Asm Ip私人控股有限公司 用於基板上形成摻雜金屬碳化物薄膜之方法及相關半導體元件結構
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
JP7073924B2 (ja) * 2018-06-06 2022-05-24 東京エレクトロン株式会社 原子層成長法を用いて基板上に薄膜を成膜する方法、または装置
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
TW202409324A (zh) 2018-06-27 2024-03-01 荷蘭商Asm Ip私人控股有限公司 用於形成含金屬材料之循環沉積製程
CN112292477A (zh) 2018-06-27 2021-01-29 Asm Ip私人控股有限公司 用于形成含金属的材料的循环沉积方法及包含含金属的材料的膜和结构
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
KR20200002519A (ko) 2018-06-29 2020-01-08 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US10483099B1 (en) 2018-07-26 2019-11-19 Asm Ip Holding B.V. Method for forming thermally stable organosilicon polymer film
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
US10847365B2 (en) 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
US10811256B2 (en) 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US10381219B1 (en) 2018-10-25 2019-08-13 Asm Ip Holding B.V. Methods for forming a silicon nitride film
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10559458B1 (en) 2018-11-26 2020-02-11 Asm Ip Holding B.V. Method of forming oxynitride film
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
TW202037745A (zh) 2018-12-14 2020-10-16 荷蘭商Asm Ip私人控股有限公司 形成裝置結構之方法、其所形成之結構及施行其之系統
TWI819180B (zh) 2019-01-17 2023-10-21 荷蘭商Asm 智慧財產控股公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
JP2020136678A (ja) 2019-02-20 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための方法および装置
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
KR102627584B1 (ko) 2019-02-20 2024-01-22 에이에스엠 아이피 홀딩 비.브이. 기판 표면 내에 형성된 오목부를 충진하기 위한 주기적 증착 방법 및 장치
KR20200102357A (ko) 2019-02-20 2020-08-31 에이에스엠 아이피 홀딩 비.브이. 3-d nand 응용의 플러그 충진체 증착용 장치 및 방법
JP6807420B2 (ja) * 2019-02-21 2021-01-06 株式会社Kokusai Electric 半導体装置の製造方法、基板処理装置およびプログラム
JP2020133004A (ja) 2019-02-22 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材を処理するための基材処理装置および方法
KR20200108248A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOCN 층을 포함한 구조체 및 이의 형성 방법
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
KR20200116033A (ko) 2019-03-28 2020-10-08 에이에스엠 아이피 홀딩 비.브이. 도어 개방기 및 이를 구비한 기판 처리 장치
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
KR20200123380A (ko) 2019-04-19 2020-10-29 에이에스엠 아이피 홀딩 비.브이. 층 형성 방법 및 장치
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
JP2020188254A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
JP7158337B2 (ja) * 2019-05-20 2022-10-21 東京エレクトロン株式会社 成膜方法
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141003A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 가스 감지기를 포함하는 기상 반응기 시스템
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP7499079B2 (ja) 2019-07-09 2024-06-13 エーエスエム・アイピー・ホールディング・ベー・フェー 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
JP2021019198A (ja) 2019-07-19 2021-02-15 エーエスエム・アイピー・ホールディング・ベー・フェー トポロジー制御されたアモルファスカーボンポリマー膜の形成方法
TW202113936A (zh) 2019-07-29 2021-04-01 荷蘭商Asm Ip私人控股有限公司 用於利用n型摻雜物及/或替代摻雜物選擇性沉積以達成高摻雜物併入之方法
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
KR20210018759A (ko) 2019-08-05 2021-02-18 에이에스엠 아이피 홀딩 비.브이. 화학물질 공급원 용기를 위한 액체 레벨 센서
JP7246284B2 (ja) * 2019-08-15 2023-03-27 東京エレクトロン株式会社 成膜方法
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
CN112635282A (zh) 2019-10-08 2021-04-09 Asm Ip私人控股有限公司 具有连接板的基板处理装置、基板处理方法
KR20210042810A (ko) 2019-10-08 2021-04-20 에이에스엠 아이피 홀딩 비.브이. 활성 종을 이용하기 위한 가스 분배 어셈블리를 포함한 반응기 시스템 및 이를 사용하는 방법
KR20210043460A (ko) 2019-10-10 2021-04-21 에이에스엠 아이피 홀딩 비.브이. 포토레지스트 하부층을 형성하기 위한 방법 및 이를 포함한 구조체
US12009241B2 (en) 2019-10-14 2024-06-11 Asm Ip Holding B.V. Vertical batch furnace assembly with detector to detect cassette
TWI834919B (zh) 2019-10-16 2024-03-11 荷蘭商Asm Ip私人控股有限公司 氧化矽之拓撲選擇性膜形成之方法
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
KR20210050453A (ko) 2019-10-25 2021-05-07 에이에스엠 아이피 홀딩 비.브이. 기판 표면 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
CN110629205B (zh) * 2019-10-29 2024-02-13 苏州创瑞机电科技有限公司 气相沉积炉、其使用方法及气相沉积系统
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
KR20210065848A (ko) 2019-11-26 2021-06-04 에이에스엠 아이피 홀딩 비.브이. 제1 유전체 표면과 제2 금속성 표면을 포함한 기판 상에 타겟 막을 선택적으로 형성하기 위한 방법
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
TW202125596A (zh) 2019-12-17 2021-07-01 荷蘭商Asm Ip私人控股有限公司 形成氮化釩層之方法以及包括該氮化釩層之結構
KR20210080214A (ko) 2019-12-19 2021-06-30 에이에스엠 아이피 홀딩 비.브이. 기판 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
TW202140135A (zh) 2020-01-06 2021-11-01 荷蘭商Asm Ip私人控股有限公司 氣體供應總成以及閥板總成
US11993847B2 (en) 2020-01-08 2024-05-28 Asm Ip Holding B.V. Injector
TW202129068A (zh) 2020-01-20 2021-08-01 荷蘭商Asm Ip控股公司 形成薄膜之方法及修飾薄膜表面之方法
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
KR20210100010A (ko) 2020-02-04 2021-08-13 에이에스엠 아이피 홀딩 비.브이. 대형 물품의 투과율 측정을 위한 방법 및 장치
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
US11781243B2 (en) 2020-02-17 2023-10-10 Asm Ip Holding B.V. Method for depositing low temperature phosphorous-doped silicon
TW202203344A (zh) 2020-02-28 2022-01-16 荷蘭商Asm Ip控股公司 專用於零件清潔的系統
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
KR20210116249A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 록아웃 태그아웃 어셈블리 및 시스템 그리고 이의 사용 방법
CN113394086A (zh) 2020-03-12 2021-09-14 Asm Ip私人控股有限公司 用于制造具有目标拓扑轮廓的层结构的方法
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
US11996289B2 (en) 2020-04-16 2024-05-28 Asm Ip Holding B.V. Methods of forming structures including silicon germanium and silicon layers, devices formed using the methods, and systems for performing the methods
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
KR20210132605A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 냉각 가스 공급부를 포함한 수직형 배치 퍼니스 어셈블리
US11898243B2 (en) 2020-04-24 2024-02-13 Asm Ip Holding B.V. Method of forming vanadium nitride-containing layer
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
TW202147383A (zh) 2020-05-19 2021-12-16 荷蘭商Asm Ip私人控股有限公司 基材處理設備
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
TW202200837A (zh) 2020-05-22 2022-01-01 荷蘭商Asm Ip私人控股有限公司 用於在基材上形成薄膜之反應系統
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
KR20220010438A (ko) 2020-07-17 2022-01-25 에이에스엠 아이피 홀딩 비.브이. 포토리소그래피에 사용하기 위한 구조체 및 방법
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
TW202212623A (zh) 2020-08-26 2022-04-01 荷蘭商Asm Ip私人控股有限公司 形成金屬氧化矽層及金屬氮氧化矽層的方法、半導體結構、及系統
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
US12009224B2 (en) 2020-09-29 2024-06-11 Asm Ip Holding B.V. Apparatus and method for etching metal nitrides
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
KR20220053482A (ko) 2020-10-22 2022-04-29 에이에스엠 아이피 홀딩 비.브이. 바나듐 금속을 증착하는 방법, 구조체, 소자 및 증착 어셈블리
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
TW202235675A (zh) 2020-11-30 2022-09-16 荷蘭商Asm Ip私人控股有限公司 注入器、及基板處理設備
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate
CN114074063A (zh) * 2021-11-22 2022-02-22 深圳市深赛尔股份有限公司 一种用多组分水性组合物涂覆金属表面的方法

Family Cites Families (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH01204434A (ja) * 1988-02-09 1989-08-17 Nec Corp 絶縁薄膜の製造方法
EP1183725B1 (en) * 2000-03-20 2007-01-24 Koninklijke Philips Electronics N.V. Semiconductor device and method of manufacturing same
US6391803B1 (en) * 2001-06-20 2002-05-21 Samsung Electronics Co., Ltd. Method of forming silicon containing thin films by atomic layer deposition utilizing trisdimethylaminosilane
JP2004281853A (ja) * 2003-03-18 2004-10-07 Hitachi Kokusai Electric Inc 基板処理装置
JP2005175408A (ja) * 2003-12-05 2005-06-30 Semiconductor Res Found 酸化・窒化絶縁薄膜の形成方法
JP4595702B2 (ja) * 2004-07-15 2010-12-08 東京エレクトロン株式会社 成膜方法、成膜装置及び記憶媒体
US7875312B2 (en) * 2006-05-23 2011-01-25 Air Products And Chemicals, Inc. Process for producing silicon oxide films for organoaminosilane precursors

Cited By (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US8227032B2 (en) 2005-03-17 2012-07-24 L'air Liquide Societe Anonyme Pour L'etude Et L'exploitation Des Procedes Georges Claude Method of forming silicon oxide containing films
US8613976B2 (en) 2005-03-17 2013-12-24 L'Air Liquide, SociétéAnonyme pour l'Etude et l'Exploitation des Procédés Georges Claude Method of forming silicon oxide containing films
KR101037962B1 (ko) * 2008-06-20 2011-05-30 가부시키가이샤 히다치 고쿠사이 덴키 기판 처리 방법 및 기판 처리 장치
US9768012B2 (en) 2008-06-20 2017-09-19 Hitachi Kokusai Electric Inc. Method for processing substrate and substrate processing apparatus
KR101463073B1 (ko) * 2010-10-29 2014-11-20 도쿄엘렉트론가부시키가이샤 성막 장치
US8945339B2 (en) 2010-10-29 2015-02-03 Tokyo Electron Limited Film formation apparatus

Also Published As

Publication number Publication date
TWI462179B (zh) 2014-11-21
US20080081104A1 (en) 2008-04-03
CN101154589B (zh) 2015-07-29
CN101154589A (zh) 2008-04-02
TW200832554A (en) 2008-08-01
US7906168B2 (en) 2011-03-15

Similar Documents

Publication Publication Date Title
KR20080029846A (ko) 실리콘 산화막을 형성하기 위한 성막 방법 및 장치
KR100980127B1 (ko) 반도체 처리용의 성막 방법 및 장치와, 컴퓨터 판독 가능한 매체
KR100957879B1 (ko) 반도체 처리용 성막 방법 및 장치와, 컴퓨터로 판독 가능한 매체
KR101086588B1 (ko) 반도체 처리용의 성막 방법 및 장치와, 컴퓨터에서 판독가능한 매체
KR100954243B1 (ko) 반도체 처리용 성막 장치 및 방법과 컴퓨터로 판독 가능한 매체
KR101122964B1 (ko) 반도체 처리용 종형 플라즈마 처리 장치 및 처리 방법과 반도체 처리용 종형 플라즈마 성막 장치
KR100771800B1 (ko) 피처리 기판 상에 실리콘 질화막을 형성하는 cvd 방법
KR101514867B1 (ko) 성막 방법 및 성막 장치
KR101160722B1 (ko) 반도체 처리용 성막 장치, 그 사용 방법 및 컴퓨터로판독가능한 매체
KR100890684B1 (ko) 반도체 처리용 성막 방법
KR101247828B1 (ko) 반도체 처리용 성막 방법 및 성막 장치와, 컴퓨터로 판독 가능한 매체
KR101140069B1 (ko) 반도체 처리용의 성막 방법 및 장치 및 컴퓨터로 판독가능한 매체
KR100935257B1 (ko) 반도체 처리용 성막 방법 및 장치와, 컴퓨터 판독 가능 매체
KR100861851B1 (ko) 실리콘 산화막 형성 방법 및 장치
KR100967238B1 (ko) 반도체 처리용 성막 방법 및 장치와, 컴퓨터로 판독 가능한 매체
KR101141870B1 (ko) 반도체 처리용 성막 방법 및 성막 장치
KR101131645B1 (ko) 반도체 처리용의 성막 방법 및 장치
KR20080001646A (ko) 성막 장치 및 그 사용 방법
KR20080020964A (ko) 반도체 처리용의 산화 방법 및 장치
KR20090040227A (ko) 종형 플라즈마 처리 장치 및 그 사용 방법
KR100980126B1 (ko) 성막 방법, 성막 장치 및 기억매체

Legal Events

Date Code Title Description
A201 Request for examination
E902 Notification of reason for refusal
E601 Decision to refuse application