CN101154589A - 形成硅氧化膜的成膜方法和装置 - Google Patents

形成硅氧化膜的成膜方法和装置 Download PDF

Info

Publication number
CN101154589A
CN101154589A CNA2007101929975A CN200710192997A CN101154589A CN 101154589 A CN101154589 A CN 101154589A CN A2007101929975 A CNA2007101929975 A CN A2007101929975A CN 200710192997 A CN200710192997 A CN 200710192997A CN 101154589 A CN101154589 A CN 101154589A
Authority
CN
China
Prior art keywords
gas
processing region
supply
silicon source
amino silane
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
CNA2007101929975A
Other languages
English (en)
Other versions
CN101154589B (zh
Inventor
长谷部一秀
石田义弘
藤田武彦
小川淳
中岛滋
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Tokyo Electron Ltd
Original Assignee
Tokyo Electron Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Priority claimed from JP2007215809A external-priority patent/JP5193527B2/ja
Priority claimed from JP2007218026A external-priority patent/JP5258229B2/ja
Application filed by Tokyo Electron Ltd filed Critical Tokyo Electron Ltd
Publication of CN101154589A publication Critical patent/CN101154589A/zh
Application granted granted Critical
Publication of CN101154589B publication Critical patent/CN101154589B/zh
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/50Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges
    • C23C16/505Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges using radio frequency discharges
    • C23C16/509Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges using radio frequency discharges using internal electrodes
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/40Oxides
    • C23C16/401Oxides containing silicon
    • C23C16/402Silicon dioxide
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45527Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations
    • C23C16/45536Use of plasma, radiation or electromagnetic fields
    • C23C16/45542Plasma being used non-continuously during the ALD reactions
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45544Atomic layer deposition [ALD] characterized by the apparatus
    • C23C16/45546Atomic layer deposition [ALD] characterized by the apparatus specially adapted for a substrate stack in the ALD reactor
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45553Atomic layer deposition [ALD] characterized by the use of precursors specially adapted for ALD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/3244Gas supply means
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02164Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon oxide, e.g. SiO2
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02205Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
    • H01L21/02208Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si
    • H01L21/02219Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound comprising silicon and nitrogen
    • H01L21/02222Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound comprising silicon and nitrogen the compound being a silazane
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/02274Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition in the presence of a plasma [PECVD]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/0228Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition deposition by cyclic CVD, e.g. ALD, ALE, pulsed CVD

Abstract

本发明提供一种成膜方法和成膜装置,在能够选择地供给包括硅源气体的第一处理气体和包括氧化气体的第二处理气体的处理区域内,通过CVD在被处理基板上形成氧化膜。因此,多次重复下述循环,所述循环交替包括第一工序和第二工序。第一工序,供给第一处理气体,在被处理基板的表面上形成含硅的吸附层。第二工序,供给第二处理气体,对被处理基板的表面上的吸附层进行氧化。使用1价或2价的氨基硅烷气体作为硅源气体,相比于使用3价氨基硅烷气体作为硅源气体的情况,较低地设定循环中的处理温度。

Description

形成硅氧化膜的成膜方法和装置
技术领域
本发明涉及一种在半导体处理中在半导体晶片等被处理基板上形成硅氧化膜的成膜方法及装置。在此,半导体处理是指通过在晶片或LCD(Liquid Crystal Display:液晶显示器)这样的FPD(Flat PanelDisplay:平板显示器)用的玻璃基板等被处理基板上以规定的图案形成半导体层、绝缘层、导电层等,为了在该被处理基板上制造包括半导体器件、与半导体器件连接的配线、电极等构造物而实施的各种处理。
背景技术
在构成半导体集成电路的半导体器件的制造中,对被处理基板,例如半导体晶片实施成膜、蚀刻、氧化、扩散、改性、退火、自然氧化膜的去除等各种处理。例如,在半导体晶片上形成硅氧化膜时,在立式的(所谓间歇式的)热处理装置中,使用四乙氧基硅烷(TEOS:Si(OC2H5)4),就能够进行作为一种成膜处理的CVD(Chemical VaporDeposition:化学汽相淀积)处理。
近年来,伴随半导体集成电路进一步高集成化和高微细化的要求,希望减少半导体器件的制造工序中的热履历,提高器件的特性。即使在立式的处理装置中,也希望根据相关的要求对半导体处理方法进行改良。例如,在CVD处理中,采用间歇地供给原料气体等,1层或几层地进行反复成膜原子或分子级厚度的层的方法。这种成膜方法通常称为ALD(Atomic layer Deposition:原子层淀积)或MLD(分子层淀积:Molecular Layer Deposition),由此,能够进行目的为不使晶片暴露在如此高温下的处理。此外,由于ALD或MLD的成膜的阶梯覆盖性良好,所以,随着器件的微细化,适合埋入变窄的半导体器件内的凹部、例如栅极间间隙。例如,特开2004-281853号公报(专利文献1)公开有使用ALD法,在300℃~600℃的低温下形成硅氮化膜的方法。此外,特开2003-7700号公报(专利文献2)也公开了此种ALD法。
发明内容
本发明的目的在于,提供一种一方面维持规定的成膜速率、另一方面能够在低温下形成优质的硅氧化膜的半导体处理用的成膜方法和装置。
本发明的第一观点,提供一种半导体处理用的成膜方法,在能够选择地供给包括硅源气体的第一处理气体和包括氧化气体的第二处理气体的处理区域内,通过CVD在被处理基板上形成氧化膜,该成膜方法多次重复下述循环,将每一上述循环形成的薄膜叠层,由此形成具有规定厚度的上述氧化膜,上述循环交替包括以下工序:第一工序,其一方面向上述处理区域供给上述第一处理气体,另一方面停止向上述处理区域供给上述第二处理气体,由此在上述被处理基板的表面上形成含硅的吸附层;和第二工序,其一方面向上述处理区域供给上述第二处理气体,另一方面停止向上述处理区域供给上述第一处理气体,对上述被处理基板的表面上的上述吸附层进行氧化,其中,使用1价或2价的氨基硅烷气体作为上述硅源气体,相比于使用3价氨基硅烷气体作为上述硅源气体的情况,较低地设定上述循环中的处理温度。
本发明的第二观点,提供一种半导体处理用的成膜装置,包括:具有收纳被处理基板的处理区域的处理容器;在上述处理容器内支撑上述被处理基板的支撑部件;对上述处理区域内的上述被处理基板进行加热的加热器;对上述处理区域内进行排气的排气系统;向上述处理区域供给包括硅源气体的第一处理气体的第一处理气体供给系统;向上述处理区域供给包括氧化气体的第二处理气体的第二处理气体供给系统;将供给至上述处理区域的上述第二处理气体选择地激发的激发机构;和控制上述装置的动作的控制部,为了通过CVD在上述被处理基板上形成氧化膜,上述控制部多次重复下述循环,将每一上述循环形成的薄膜叠层,由此形成具有规定厚度的上述氧化膜,上述循环交替包括以下工序:第一工序,其一方面向上述处理区域供给上述第一处理气体,另一方面停止向上述处理区域供给第二处理气体,由此在上述被处理基板的表面上形成含硅的吸附层;和第二工序,其一方面向上述处理区域供给上述第二处理气体,另一方面停止向上述处理区域供给上述第一处理气体,对上述被处理基板的表面上的上述吸附层进行氧化,其中,使用1价或2价的氨基硅烷气体作为上述硅源气体,相比于使用3价氨基硅烷气体作为上述硅源气体的情况,较低地设定上述循环中的处理温度。
本发明的第三观点,提供一种包括用于在处理器上执行的程序指令并能够在计算机上读取的介质,上述程序指令由处理器执行时,控制在能够选择地供给包括硅源气体的第一处理气体和包括氧化气体的第二处理气体的处理区域内,通过CVD在被处理基板上形成氧化膜的半导体处理用的成膜装置,多次重复下述循环,将每一上述循环形成的薄膜叠层,由此形成具有规定厚度的上述氧化膜,上述循环交替包括以下工序:第一工序,其一方面向上述处理区域供给上述第一处理气体,另一方面停止向上述处理区域供给上述第二处理气体,由此在上述被处理基板的表面上形成含硅的吸附层;和第二工序,其一方面向上述处理区域供给上述第二处理气体,另一方面停止向上述处理区域供给上述第一处理气体,对上述被处理基板的表面上的上述吸附层进行氧化,其中,使用1价或2价的氨基硅烷气体作为上述硅源气体,相比于使用3价氨基硅烷气体作为上述硅源气体的情况,较低地设定上述循环中的处理温度。
在下文的说明书中阐明本发明的附加目的和优点,并且在说明书中对局部进行详细说明,或者可以通过本发明的教导来得知本发明的附加目的和优点。通过此后具体提出的作为手段的方式和组合,就能够实现并获得本发明的各目的和优点。
附图说明
结合构成本说明书的一部分的附图描述本发明的各实施例,并且与上文提出的一般性描述和下文给出的详细描述一起,用作解释本发明的原理。
图1是表示本发明的第一实施方式的成膜装置(立式等离子体CVD装置)的截面图。
图2是表示图1所示的装置的一部分的横截俯视图。
图3是表示图1所示的装置的控制部的构成图。
图4是表示本发明的第一实施方式的成膜方法中的气体供给和RF(高频)施加的方式的时刻图表。
图5A-F是模式地表示使用1价的氨基硅烷气体即SiH3NC2(CH3)4作为硅源气体时的Si晶片W表面的反应的图。
图6A-C是模式地表示使用2价的氨基硅烷气体即双叔丁基氨基硅烷(BTBAS:SiH2NH(C4H9))2作为硅源气体时的半导体晶片W表面的反应的图。
图7是由实验得到的表示不同的硅源气体和硅氧化膜的成膜速率的关系的曲线图。
图8是由实验得到的表示不同的处理气体和硅氧化膜的成膜速率及膜厚的面内均匀性的关系的曲线图。
图9是由实验得到的表示不同的处理温度和硅氧化膜的成膜速率的关系的曲线图。
图10是表示本发明的第二实施方式的成膜装置(立式热CVD装置)的截面图。
具体实施方式
本发明人等在本发明的研发过程中,对在半导体处理中涉及通过CVD形成硅氧化膜的方法的现有技术的问题点进行了研究。其结果,本发明人等得到以下所述的见解。
目前,作为用于通过CVD形成硅氧化膜的硅源气体,通常使用二氯硅烷(DCS:SiH2Cl2)、甲硅烷(SiH4)、四氯硅烷(TCS:SiCl4)、乙硅烷(Si2H6)、六氯乙硅烷(Si2Cl6)、TEOS(Si(OC2H5)4)等。
在使用这些硅源气体时,通常在降低处理温度时会产生所谓成膜速率下降或硅氧化膜的膜质下降的问题。成膜速率是决定处理的生产率的重要因素,另外,伴随器件的微细化而薄膜化,硅氧化膜的膜质就成为需要越来越慎重的因素。例如,在栅极氧化膜由薄的硅氧化膜形成的情况下,如果膜质不好,就担心漏电电流会增大。基于这样的理由,使用上述这种硅源气体时,例如,即使利用ALD或MLD法,也如上述专利文献1所述的那样,需要将处理温度设定在300℃以上。
针对这些情况,通过本发明人等的研究,提出在使用1价或2价的氨基硅烷气体作为硅源气体时,利用ALD或MLD法,即使将处理温度设定为低于300℃,也能够一方面维持规定的成膜速率、另一方面形成优质的硅氧化膜的事实。特别地,使用1价的氨基硅烷气体作为硅源气体时,在200℃以下、进一步在100℃以下的处理温度下,也能够得到良好的效果。因此,进一步减轻了半导体器件的制造工序中的热履历,并能够提高器件的特性。
另外,作为用于形成硅氧化膜而能够使用的1价的氨基硅烷气体(在1个分子内有1个氨基的氨基硅烷气体),可以列举出SiH3NC2(CH3)4、SiH3(NHC(CH3)3)、SiH3(N(CH3)2)。此外,作为2价的氨基硅烷气体(在1个分子内有2个氨基的氨基硅烷气体),可以列举出BTBAS(双叔丁基氨基硅烷)、BDEAS(双二乙基氨基硅烷)、BDMAS(双二甲基氨基硅烷)。
下面,参照附图说明基于这些见解而构成的本发明的实施方式。另外,在以下的说明中,对于具有大致相同的功能和构成的构成要素赋予相同的符号,仅在需要的时候进行重复说明。
第一实施方式
图1是表示本发明的第一实施方式的成膜装置(立式等离子体CVD装置)的截面图。图2是表示图1所示的装置的一部分的横截俯视图。该成膜装置2具有能够选择地供给包括1价或2价的氨基硅烷气体作为硅源气体的第一处理气体和包括作为氧化气体的氧(O2)气的第二处理气体的处理区域。成膜装置2构成为在这样的处理区域内通过CVD在被处理基板上形成硅氧化膜。
成膜装置2具有在内部规定处理区域5、下端开口的有顶部的圆筒体状的处理容器4,该处理区域5收纳隔开间隔重叠的多个半导体晶片(被处理基板)并对其进行处理。例如,处理容器4的整体由石英形成。在处理容器4内的顶部配设石英制的顶板6而密封。在处理容器4的下端开口处,通过○形环等密封部件10连接形成为圆筒体状的歧管(manifold)8。再者,也可以不另外设计歧管8,由圆筒体状的石英制的处理容器构成整体。
歧管8例如由不锈钢制成,支撑处理容器4的下端。通过歧管8的下端开口,使石英制的晶舟12升降,由此,相对于处理容器4装载/卸载晶舟12。在晶舟12上,作为被处理基板,多段载置多片半导体晶片W。例如,在本第一实施方式的情况下,在晶舟12的支柱12A上,例如能够以大致相等的间距多段支撑50~100片左右的直径300mm的晶片W。
晶舟12隔着石英制的保温筒14被载置在工作台16上。工作台16被支撑在贯通使歧管8的下端开口开关的例如不锈钢制的盖体18的旋转轴20上。
在旋转轴20的贯通部,例如设置磁性流体封壳22,一面气密地密封旋转轴20,一面可旋转地进行支撑。在盖体18的周边部和歧管8的下端部,例如设置由○形环等构成的密封部件24,保持容器内的密封性。
旋转轴20被安装在例如支撑在舟升降机等升降机构25上的臂26的前端。通过升降机构25,使晶舟12和盖体18等整体升降。另外,也可以将工作台16向盖体18侧固定、设置,不使晶舟12旋转而进行晶片W的处理。
在歧管8的侧部,连接用于向处理容器4内的处理区域5供给规定的处理气体的气体供给部。气体供给部包括第一处理气体供给系统30、第二处理气体供给系统32和不活泼气体供给系统36。第一处理气体供给系统30供给包括1价或2价氨基硅烷气体作为硅源气体的第一处理气体。第二处理气体供给系统32供给包括氧(O2)气作为氧化气体的第二处理气体。不活泼气体供给系统36例如供给N2气体,作为稀释用、净化用、或压力控制用的不活泼气体。第一和第二处理气体中,存在按照要求混合适量的载气(稀释气体)的情况,但为了下面便于说明,不涉及载气。
具体地讲,第一处理气体供给系统30和不活泼气体供给系统36具有共同的气体分散喷嘴40,第二处理气体供给系统32具有气体分散喷嘴42。各气体分散喷嘴40、42由向内侧贯通歧管8的侧壁、向上方向弯曲延伸的石英管制成(参照图1)。在各气体分散喷嘴40、42中,以沿其长度方向(上下方向)且遍及晶舟12上的晶片W的整体的方式、隔开规定的间隔形成多个气体喷射孔40A、42A。气体喷射孔40A、42A以相对于晶舟12上的多个晶片W形成平行的气体流的方式,在水平方向上大致均匀地分别供给对应的处理气体。另外,第一处理气体供给系统30和不活泼气体供给系统36可以构成为分别单独具有气体分散喷嘴。
喷嘴40通过气体供给管线(气体通路)50、56分别与硅源气体和N2气体的气体源30S、36S连接。喷嘴42通过气体供给管线(气体通路)52与O2气体的气体源32S连接。在气体供给管线50、52、56上,配设开关阀50A、52A、56A和质量流量控制器这样的流量控制器50B、52B、56B。由此,就能够一边分别进行流量控制、一边供给硅源气体、O2气和N2气。
在处理容器4的侧壁的一部分,沿其高度方向配设气体激发部66。在与气体激发器66相对的处理容器4的相反侧,配设用于对其内部氛围进行真空排气、例如通过沿上下方向切削处理容器4的侧壁而形成的细长排气口68。
具体地讲,气体激发部66具有通过沿上下方向以规定的宽度切削处理容器4的侧壁而形成的上下细长的开口70。开口70被石英制的盖子72所覆盖,盖子72气密地焊接连接在处理容器4的外壁。盖子72以向处理容器4的外侧突出的方式成为截面凹部状,并且具有上下细长的形状。
按照此构成,形成从处理容器4的侧壁突出、并且一侧向处理容器4内开口的气体激发部66。即,气体激发部66的内部空间连通到处理容器4内的处理区域5。开口70以在高度方向能够覆盖保持在晶舟12上的所有晶片W的方式,在上下方向形成得足够长。
在盖子72的两侧壁的外侧面上,沿其长度方向(上下方向)彼此相对地配设一对细长的电极74。在电极74上通过供电线78连接有等离子体发生用的高频电源76。通过向电极74例如施加13.56MHz的高频电压,就能够在一对电极74间形成用于激发等离子体的高频电场。另外,高频电压的频率不限于13.56MHz,也可以使用其它的频率,例如400kHz等。
第二处理气体的气体分散喷嘴42位于晶舟12上的最下级的晶片W之下的位置,向处理容器4的半径方向外方弯曲。此后,在气体激发部66内的最里面(距处理容器4的中心最远的部分)的位置,垂直地竖立气体分散喷嘴42。也如图2所示,气体分散喷嘴42被设置在一对相对的电极74所夹持的区域(高频电场最强的位置),即被设置在离开主要的等离子体实际产生的等离子体产生区域PS向外侧的位置。包括从气体分散喷嘴42的气体喷射孔42A喷射出的O2气的第二处理气体,向等离子体发生区域PS喷射,并在此被激发(分解或活化),在包括氧自由基(O*、O2 *)的状态下,被提供给晶舟12上的晶片W(记号“*”表示自由基)。
在盖子72的外侧,以将其覆盖的方式安装例如由石英制成的绝缘保护盖80。在绝缘保护盖80的内侧,即与电极74相对的部分,配设由制冷剂通路构成的冷却机构(未图示)。在制冷剂通路中,通过流过作为制冷剂的例如被冷却的氮气来冷却电极74。另外,在绝缘保护盖80的外侧,用于覆盖其而防止高频泄漏,配设保护罩(未图示)。
在气体激发部66的开口70的外侧附近、即开口70的外侧(处理容器4内)的一侧垂直竖立配设有第一处理气体和不活泼气体的气体分散喷嘴40。由形成在气体分散喷嘴40的气体喷射孔40A向处理容器4的中心方向喷射包括硅源气体的第一处理气体或包括N2的不活泼气体。
另一方面,在相对气体激发部66设置的排气口68上,以将其覆盖的方式,通过焊接安装由石英制成的成形为截面コ字状的排气口覆盖部件82。排气覆盖部件82沿处理容器4的侧壁向上方延伸,在处理容器4的上方形成气体出口84。在气体出口84上连接配设有真空泵等的真空排气系统GE。
以包围处理容器4的方式,配设对处理容器4内的气氛和晶片W进行加热的加热器86。在处理容器4内的排气口68附近,配设用于控制加热器86的热电偶(未图示)。
成膜装置2还具有由控制装置整体的动作的计算机等构成的主控制部100。图3是表示主控制部100的构成图。如图3所示,在主控制部100连接操作面板121、温度传感器(组)122、压力计(组)123、加热控制器124、MFC 125(对应图1的流量控制器50B、52B、56B)、阀控制部126、真空泵127(对应图1的真空排气系统GE)、舟升降机128(对应图1的升降机构25)、等离子体控制部129等。
操作面板121包括显示画面和操作按钮,将操作者的操作指示传给主控制部100,另外,在显示画面上显示来自主控制部100的各种信息。温度传感器(组)122测定处理容器4内和排气管内的各部的温度,将该测定值通知给主控制部100。压力计(组)123测定处理容器4内和排气管内的各部的压力,将测定值通知给主控制部100。
加热控制器124用于单独控制加热器86的各区域。加热控制器124根据来自主控制部100的指示,向加热器86的各区域通电,加热它们。加热控制器124分别测定加热器86的各区域的消耗电力,并通知给主控制部100。
MFC 125被配置在气体供给管线的配管上。MFC 125将流过各配管的气体的流量控制为由主控制部100所指示的量。MFC 125还测定实际流过的气体的流量,并通知给主控制部100。
阀控制部126被配置在各配管上,将配置在各配管的阀的开度控制为由主控制部100所指示的值。真空泵127与排气管连接,排出处理容器4内的气体。
舟升降机128通过使盖体18上升,将载置在旋转台16上的晶舟11(半导体晶片W)装载在处理容器4内。舟升降机128还通过使盖体18下降,从处理容器4内卸载载置在旋转台16上的晶舟11(半导体晶片W)。
等离子体控制部129根据来自主控制部100的指示,控制气体激发部66。由此,使供给到气体激发部66内的氧气活化,生成自由基。
主控制部100包括方案存储部111、ROM 112、RAM 113、I/O端口114和CPU 115。它们通过总线116彼此连接,通过总线116在各部之间传递信息。
在方案存储部111中,存储设置用方案和多个处理用方案。成膜装置2的制造初期仅保存设置用方案。设置用方案是生成对应各成膜装置的热模型等时所执行的方案。处理用方案是用户实际执行的每一热处理(处理)中所准备的方案。处理用方案规定从向处理容器4的半导体晶片W的装载到将处理后晶片W卸载的各部的温度的变化、处理容器4内的压力变化、处理气体的供给的开始和停止的计时和供给量等。
ROM 112由EEPROM、快闪存储器、硬盘等构成,是存储CPU 115的动作程序等的记录介质。RAM 113作为CPU 115的工作区等起作用。
I/O端口114连接着操作面板121、温度传感器122、压力计123、加热控制器124、MFC 125、阀控制部126、真空泵127、舟升降机128、等离子体控制部129等,控制数据和信号的输入输出。
CPU(Central Processing Unit:中央处理单元)115构成主控制部100的中枢。CPU 115执行存储在ROM 112的控制程序,根据来自操作面板121的指示,依据存储在方案存储部111的方案(处理用方案),控制成膜装置2的动作。即,CPU 115在温度传感器(组)122、压力计(组)123、MFC 125等中,测定处理容器4内和排气管内的各部的温度、压力、流量等。另外,CPU 115根据其测定数据,对加热控制器124、MFC 125、阀控制部126、真空泵127等输出控制信号等,根据处理用方案控制上述各部。
接着,使用图1所示的装置说明在主控制部100的控制下进行的成膜方法(所谓ALD或MLD成膜)。在该第一实施方式的成膜方法中,通过等离子体CVD在半导体晶片W上形成硅氧化膜。因此,向容纳晶片W的处理区域5内选择地供给包括1价或2价氨基硅烷气体作为硅源气体的第一处理气体和包括作为氧化气体的氧(O2)气的第二处理气体。
首先,将保持有多片、例如50~100片的300mm尺寸的晶片W的常温的晶舟12装载在设定为规定温度的处理容器4内,并密封处理容器4。接着,对处理容器4内抽真空,将其维持在规定的处理压力的同时,使晶片温度上升,直到稳定在成膜用的处理温度之前处于待机状态。接着,在使晶舟12旋转的同时,一边分别进行流量控制,一边间歇地从气体分散喷嘴40、42供给第一和第二处理气体。
简略地讲,首先,从气体分散喷嘴40的气体喷射孔40A中供给包括硅源气体的第一处理气体,使其相对于晶舟12上的多个晶片W形成平行的气体流。该期间,硅源气体的分子或由它们分解产生的分解生成物的分子或原子被吸附在晶片的预处理过的表面上,形成吸附层(吸附)。
接着,从气体分散喷嘴42的气体喷射孔42A中供给包括O2气的第二处理气体,使其相对于晶舟12上的多个晶片W形成平行的气体流。第二处理气体在通过一对电极74间的等离子体发生区域PS时,选择地被激发,一部分被等离子体化。此时,生成O*、O2 *等氧自由基(活性种)。这些自由基从气体激发部66的开口70向处理容器4的中心流出,以层流状态被供给到晶片W相互之间。向晶片W上供给氧自由基时,与晶片W上的吸附层的Si反应,由此在晶片W上形成硅氧化物的薄膜(氧化)。
图4是表示本发明的第一实施方式的成膜方法中的气体供给和RF(高频)施加的状态的时刻图表。如图4所示,在该第一实施方式的成膜方法中,交替重复第一至第四工序T1~T4。即,多次重复由第一至第四工序T1~T4构成的循环,通过叠层每一循环中形成的硅氧化物的薄膜,得到最终厚度的硅氧化膜。
具体地讲,在第一工序T1期间,一方面向处理区域5供给第一处理气体(图4中表示为Si源),另一方面停止向处理区域5供给第二处理气体(图4中表示为O2)。在第二工序T2期间,停止向处理区域5供给第一和第二处理气体。在第三工序T3期间,一方面向处理区域5供给第二处理气体,另一方面停止向处理区域5供给第一处理气体。另外,在第三工序T3期间,接通RF电源76,通过利用气体激发部66对第二处理气体进行等离子体化,将第二处理气体在被激发的状态下供给到处理区域5。在第四工序期间,停止向处理区域5供给第一和第二处理气体。
第二和第四工序T2、T4作为排除残留在处理容器4内的气体的净化工序被使用。在此,所谓净化,是指一边使N2气等不活泼气体流过一边对处理容器4内进行真空排气、或停止所有的气体的供给对处理容器4内进行真空排气,由此去除处理容器4内的残留气体。另外,也可以仅在第二和第四工序T2、T4的前半段进行真空排气,而在后半段同时进行真空排气和不活泼气体的供给。另外,在第一和第三工序T1、T3中,供给第一和第二处理气体时,可以停止处理容器4内的真空排气。但是,在一边对处理容器4内进行真空排气、一边供给第一和第二处理气体的情况,在第一至第四工序T1~T4的全过程,可以连续进行处理容器4内的真空排气。
在图4中,第一工序T1被设定为约1~180秒,第二工序T2被设定为约1~60秒,第三工序T3被设定为约1~300秒,第四工序T4被设定为1~60秒。此外,通常,通过第一至第四工序T1~T4的1个循环形成的膜厚为0.2nm左右。因此,如果目标膜厚例如为10nm,则重复50次该循环。但是,它们的时间和厚度只不过是简单的一个例子,不限于此数值。
图5A-F是模式地表示使用1价的氨基硅烷气体即SiH3NC2(CH3)4作为硅源气体时的Si晶片W表面的反应的图。另外,如后所述,1价的氨基硅烷气体相比于2价的氨基硅烷气体,具有所谓的可获得高的成膜速率(因此能够降低处理温度)的优点。
供给到处理区域5的硅源气体即SiH3NC2(CH3)4气体,在处理区域5被加热、活化,如图5A至图5B所示,在半导体晶片W的表面上形成含硅的吸附层。在此,在Si晶片W表面上存在的OH基来自于例如已经堆积的SiO2膜的表面。当形成吸附层时,由于使NC2(CH3)4与硅分离,所以在吸附层中不含氮(N)。此外,利用净化工序去除NC2(CH3)4。由此,在形成的硅氧化膜中难以含有氮,能够形成优质的硅氧化膜。此外,由于硅源气体是1价的氨基硅烷,所以在吸附层形成时很难产生结构障碍,很难妨碍分子的吸附。由此,不降低吸附速度,就可以得到高的成膜速率。
接着,经过净化工序,向处理区域5供给氧自由基。由此,如图5C至图5D所示,半导体晶片W上的吸附层被氧化(吸附层的H被O置换),在半导体晶片W上形成硅氧化膜。通过重复包括此种吸附工序和氧化工序的1个循环,如图5E至5F所示,硅氧化膜被叠层。
在上述MLD法中,使用1价的氨基硅烷气体即SiH3NC2(CH3)4作为硅源气体时的成膜处理的处理条件如下所示。
硅源气体的供给量优选为1~500sccm。少于1seem时,会担心没有对晶片W供给足够的硅源。多于500sccm时,会担心过分降低有助于向晶片W的吸附的硅源的比例。硅源气体的供给量更优选为50~500sccm。按该范围,可以促进硅源向晶片W的吸附。
处理区域5内的压力(处理压力)优选为0.1~50Torr(133Pa=1Torr)。利用该范围的压力,可以促进硅源向晶片W的吸附。处理容器5内的压力更优选为1~30Torr。利用该范围的压力,能够容易地控制处理区域5内的压力。
处理区域5内的温度(处理温度)设定为25℃~300℃,优选设定为25℃~200℃,更优选设定为25℃~100℃。处理温度超过300℃时,担心膜厚的偏差不能忽略不计。使用1价的氨基硅烷气体作为硅源气体时,如后所述,被促进的晶片W表面的那一部分反应性,比使用2价或3价的氨基硅烷气体时能够得到更高的成膜速率。但是,在此,被促进的晶片W表面的那一部分反应性,通过处理温度设定为低的值,维持在基准范围内的成膜速率。由此,能够减少半导体器件的制造工序中的热履历,并能够提高器件的特性。另外,处理温度在上述循环开始前,能够通过实验决定,以便得到基准范围内的成膜速率。
图6A-C是模式地表示使用2价的氨基硅烷气体即双叔丁基氨基硅烷(BTBAS:SiH2(NH(C4H9)))2作为硅源气体时的半导体晶片W表面的反应的图。另外,2价的氨基硅烷气体例如BTBAS相比于1价的氨基硅烷气体具有稳定性高这样的优点。
供给到处理区域5的硅源气体即BTBAS气体,在处理区域5被加热、活化,如图6A至图6B所示,在半导体晶片W的表面上形成含硅的吸附层。此时,BTBAS的Si与表面的OH基的O反应,使三甲基氨基脱离。BTBAS与OH的反应性高,当吸附层形成时很难产生结构障碍,很难妨碍分子的吸附。由此,不降低吸附速度,就可以得到高的成膜速率。
接着,经过净化工序,向处理区域5供给氧自由基。由此,如图6C所示,半导体晶片W上的吸附层被氧化(吸附层的H被O置换),在半导体晶片W上形成硅氧化膜(其中,图6C表示在硅氧化膜的表面上吸附H,形成OH基的状态)。通过重复包括此种吸附工序和氧化工序的1个循环,硅氧化膜被叠层。
在上述MLD法中,使用2价的氨基硅烷气体即BTBAS作为硅源气体时的成膜处理的处理条件如下所示。
硅源气体的供给量优选为1~500sccm。少于1sccm时,会担心没有对晶片W供给足够的硅源。多于500sccm时,会担心过分降低有助于向晶片W的吸附的硅源的比例。硅源气体的供给量更优选为50~500sccm。按该范围,可以促进硅源向晶片W的吸附。
处理区域5内的压力(处理压力)优选为0.1~50Torr(133Pa=1Torr)。利用该范围的压力,可以促进硅源向晶片W的吸附。处理容器5内的压力更优选为1~30Torr。利用该范围的压力能够容易地控制处理区域5内的压力。
处理区域5内的温度(处理温度)设定为25℃~300℃,优选设定为25℃~200℃,更优选设定为25℃~100℃。处理温度超过300℃时,担心膜厚的偏差不能忽略不计。该情况下,被促进的晶片W表面的那一部分反应性,通过处理温度设定为比使用3价的氨基硅烷气体时低的值,维持在基准范围内的成膜速率。由此,能够减少半导体器件的制造工序中的热履历,并能够提高器件的特性。
此外,在上述MLD法中,使用1价或2价的氨基硅烷气体作为硅源气体时的成膜处理的相同的处理条件如下。
RF功率优选为10W~1500W。少于10W时,很难生成氧自由基。超过1500W时,则担心构成气体激发部66的石英壁受到损害。RF功率更优选为50W~500W。按该范围,能够有效地生成氧自由基。
气体激发部66内的压力(气体喷射孔的压力)优选为0.133Pa~13.3kPa,更优选为70Pa~400Pa。采用该范围的压力,在能够没有问题地产生等离子体的同时,为了使晶片W上的吸附层的Si氧化,能够供给足够的氧自由基。
如上所述,以本质上能够低温成膜得到良好的膜质的MLD法为前提,另外,作为在吸附层形成时难以产生结构障碍的硅源气体,使用1价或2价的氨基硅烷气体。而且,为了氧化吸附层,使用不使温度上升而使反应进行的O2气体等离子体这样的氧自由基。由此,能够在100℃以下,乃至室温(25℃)这样的现有技术中没有考虑过的低温下,以高的成膜速率来形成良好膜质的SiO2膜。
[实验1:成膜速率和组成]
使用图1所示的装置,通过上述第一实施方式的成膜方法,形成硅氧化膜,并进行该成膜速率和组成的评价。在此实验中,作为硅源气体,分别使用1价的氨基硅烷气体即SiH3NC2(CH3)4和2价的氨基硅烷气体即BTBAS。而且,作为比较例,使用3价的氨基硅烷气体即Tri-DMAS(SiH(N(CH3)2)3)作为硅源气体。
此时的成膜处理的处理条件的基准按上述第一实施方式所述,重复42次上述循环,形成规定的厚度的硅氧化膜。具体地讲,被处理基板为100片300mm硅晶片,成膜温度为100℃,处理压力为:第一工序T1为533Pa(4Torr),第三工序T3为66.5Pa(0.5Torr)。硅源气体的流量为:SiH3NC2(CH3)4为300mL/min(sccm),BTBAS为2000mL/min(sccm),Tri-DMAS为300mL/min(sccm)。O2气的供给量为2000mL/min(sccm),激发O2气的高频电源的频率为13.56MHz,功率为50W。另外,为了处理容器内的净化,第一工序T1前,对处理容器内连续抽真空,同时,在7秒期间,以3500mL/min(sccm)的流量供给作为净化气体的N2气。此外,第三工序T3前,对处理容器内连续抽真空,同时,在6秒期间,以3500mL/min(sccm)的流量供给作为净化气体的N2气。
针对如此形成的硅氧化膜(半导体晶片W)的中心部(CT)和端部(ED),测定膜中含有的成分(Si、O、N)的浓度。此测定中采用X射线光电子分光装置(XPS:X-ray Photoelectron Spectronmeter)。其结果,可以确认通过上述第一实施方式的成膜方法形成的薄膜,几乎不含氮,为硅氧化膜(SiO2)。
图7是由实验得到的表示不同的硅源气体和硅氧化膜的成膜速率的关系的曲线图。图7的纵轴表示通过以将3价的氨基硅烷气体即Tri-DMAS(SiH(N(CH3)2)3)作为硅源气体使用时的成膜速率为1进行标准化的各硅源气体所获得的成膜速率。
如图7所示,2价的氨基硅烷气体的成膜速率比3价的氨基硅烷气体的成膜速率高,1价的氨基硅烷气体的成膜速率比2价的氨基硅烷气体的成膜速率高。认为这是因为越低价的氨基硅烷气体,越难在Si吸附时产生结构障碍,很难妨碍其它分子的吸附。
[实验2:氧化气体]
使用BTBAS作为硅源气体,将作为氧化气体的O2气等离子体化而使用的情况,与不将臭氧(O3)气体等离子体化而使用的情况进行比较。将O2气体等离子体化而使用的条件,与上述实验1相同。不将O3气体等离子体化而使用的条件,除以250g/Nm3的流量供给O3气体以外,与上述实验1相同。对如此形成的硅氧化膜测定成膜速率和膜厚的面内均匀性。作为样品晶片W,在晶舟12的上部(TOP)、中央部(CTR)和下部(BTM)各选择一片。
图8是由该实验得到的表示不同的处理气体和硅氧化膜的成膜速率及膜厚的面内均匀性的关系的曲线图。图8的左纵轴表示以使用O3气体作为氧化气体时的中央部(CTR)晶片的成膜速率为1进行标准化的各部晶片的成膜速率。右纵轴表示以使用O3气体作为氧化气体时的中央部(CTR)晶片的面内均匀性为1进行标准化的各部晶片的面内均匀性。
如图8所示,在使用O2气等离子体时,比使用未使氧自由基化的O3气体时,能够得到5倍左右的成膜速率(速度)。此外,在使用O2气等离子体的情况,比使用未使氧自由基化的O3气体的情况,膜厚的面内均匀性非常良好。
[实验3:处理温度]
针对使用BTBAS作为硅源气体,将作为氧化气体的O2气等离子体化而使用的情况,进行以处理温度为参数的实验。处理温度以外的条件与上述实验1相同。作为处理温度,使用室温(25℃)、75℃、100℃、200℃、300℃不同的值。对如此形成的硅氧化膜测定成膜速率和膜厚的面内均匀性。
图9是由此实验得到的表示不同的处理温度和硅氧化膜的成膜速率的关系的曲线图。图9的左纵轴表示由以处理温度为300℃时的成膜速率为1进行标准化的其它的温度条件得到的成膜速率。右纵轴表示由以处理温度为300℃时的面内均匀性为1进行标准化的其它的温度条件得到的面内均匀性。
如图9所示,可以确认即使在100℃以下这样的低温下也能够得到高的成膜速率,即使是室温,也能够充分实用地进行成膜。此外,即使在100℃以下这样的低温下,膜厚的均匀性也良好。另一方面,成膜温度超过300℃时,膜厚的偏差就会变大。
第二实施方式
图10是表示本发明的第二实施方式的成膜装置(立式热CVD装置)的截面图。为了使氧化气体活化,可以替代第一实施方式中说明的等离子体,使用催化剂、UV、热、磁力等其它的介质。例如,利用热使氧化气体活化的情况下,可以使用图10所示的热处理装置。
在图10所示的成膜装置2X中,在处理容器的顶部形成连接到真空排气系统的气体出口84,在处理容器4的底部连接短的L字方的喷嘴40、42。因此,从支撑晶片W的晶舟12放置的处理区域5的下方的喷嘴40、42的供给口供给处理气体,处理气体通过处理区域5后,从上方的气体出口84排出。喷嘴40供给包括1价或2价的氨基硅烷气体作为硅源气体的第一处理气体。喷嘴42供给包括氧(O2)气作为氧化气体的第二处理气体。利用配设在处理容器4的周围的加热器86加热处理区域5。
在使用这样的热处理装置的情况下,可以通过向加热到规定的温度的处理区域5导入氧而使氧活化。处理区域5的温度只要是能够使供给的氧活化的温度即可,例如,优选为550℃左右。处理区域5的压力优选为133Pa(1Torr)左右,氧供给量优选为100sccm~1slm。
此外,代替氧,可以使用臭氧(O3)、水蒸汽(H2O)等其它的氧化气体。例如,作为氧化气体使用臭氧的情况下,优选处理区域5的温度为200℃~600℃,压力为133Pa(1Torr),臭氧的流量为250g/Nm3左右。
变形例
作为用于形成硅氧化膜的1价的氨基硅烷气体(在1个分子内有2个氨基的氨基硅烷气体),替代SiH3NC2(CH3)4,可以使用SiH3(NHC(CH3)3)、SiH3(N(CH3)2)。此外,作为2价的氨基硅烷气体(在1个分子内有2个氨基的氨基硅烷气体),代替BTBAS,例如可以使用BDEAS(双二乙基氨基硅烷)、BDMAS(双二甲基氨基硅烷)。
作为第二处理气体中的氧化气体,可以使用选自氧、臭氧(O3)、氧化氮(NO)、二氧化氮(NO2)、一氧化二氮(N2O)、水蒸汽(H2O)中的1种以上的气体。
在上述第一实施方式中,例示出在处理气体供给时作为稀释气体供给氮气的情况。关于此点,也可以在处理气体供给时不供给氮气。但是,由于作为稀释气体包括氮气而使处理时间的设定等变容易,所以优选包括稀释气体。作为稀释气体,优选不活泼气体,除氮气外,可以适用例如氦(He)、氖(Ne)、氩(Ar)、氙(Xe)。
在上述第一实施方式中,从共同的气体分散喷嘴供给硅源气体和氮气体。替代于此,也可以按每一气体的种类配设气体供给喷嘴。而且,也可以在处理容器4的下端附近的侧面插通多条气体供给喷嘴,以便从多条导入相同的气体。此情况下,由于从多条的气体供给喷嘴向处理容器4内供给处理气体,所以能够更均匀地向处理容器4内导入处理气体。
在上述第一实施方式中,作为成膜装置,使用单管结构的间歇式热处理装置。替代于此,例如,本发明可以适用于处理容器由内管和外管构成的二重管结构的间歇式立式热处理装置。而且,本发明也能够适用于单片式的热处理装置。被处理基板不限于半导体晶片W,例如也可以是LCD用的玻璃基板。
成膜装置的控制部100不限于专用的系统,使用常规的计算机系统就能够实现。例如,通过在通用的计算机中,从存储用于执行上述处理的程序的记录介质(软盘、CD-ROM等)中安装该程序,就能够构成执行上述处理的控制部100。
用于供给这些程序的方法是任意的。除能够通过上述规定的记录介质供给程序之外,例如,也可以通过通信线路、通信网络、通信系统等进行供给。该情况下,例如,可以在通信网络的公告板(BBS)上揭示该程序,将其通过网络重叠在搬送波上进行供给。而且,由于启动这样提供的程序,在OS的控制下,就能够与其它的应用程序一样地执行,就能够执行上述的处理。
对于本领域普通技术人员,本发明的附加优点和改进将易于理解。因此,本发明的更加宽泛的方面不限于在此展示并描述的具体细节和各实施例。因此,在不脱离本发明的附加权利要求及其等同范围所限定的一般性原理的精神和范围之内,可以进行各种修改。

Claims (20)

1.一种半导体处理用的成膜方法,在能够选择地供给包括硅源气体的第一处理气体和包括氧化气体的第二处理气体的处理区域内,通过CVD在被处理基板上形成氧化膜,其特征在于,
该成膜方法多次重复下述循环,将每一所述循环形成的薄膜叠层,由此形成具有规定厚度的所述氧化膜,所述循环交替包括以下工序:
第一工序,其一方面向所述处理区域供给所述第一处理气体,另一方面停止向所述处理区域供给所述第二处理气体,由此在所述被处理基板的表面上形成含硅的吸附层;和
第二工序,其一方面向所述处理区域供给所述第二处理气体,另一方面停止向所述处理区域供给所述第一处理气体,对所述被处理基板的表面上的所述吸附层进行氧化,
其中,使用1价或2价的氨基硅烷气体作为所述硅源气体,相比于使用3价氨基硅烷气体作为所述硅源气体的情况,较低地设定所述循环中的处理温度。
2.根据权利要求1所述的方法,其特征在于,
在所述循环开始前,还包括决定所述处理温度以得到基准范围内的成膜速率的工序。
3.根据权利要求2所述的方法,其特征在于,
将因使用1价或2价的氨基硅烷气体作为所述硅源气体而被促进的所述被处理基板表面的那一部分反应性,通过所述处理温度设定为低的值,维持在所述基准范围内的成膜速率。
4.根据权利要求1所述的方法,其特征在于,
所述硅源气体是1价的氨基硅烷气体。
5.根据权利要求4所述的方法,其特征在于,
所述氨基硅烷气体选自SiH3NC2(CH3)4、SiH3(NHC(CH3)3)、SiH3(N(CH3)2)。
6.根据权利要求1所述的方法,其特征在于,
所述硅源气体是2价的氨基硅烷气体。
7.根据权利要求6所述的方法,其特征在于,
所述氨基硅烷气体选自双叔丁基氨基硅烷、双二乙基氨基硅烷、双二甲基氨基硅烷。
8.根据权利要求1所述的方法,其特征在于,
所述氧化气体选自氧、臭氧、氧化氮、二氧化氮、一氧化二氮、水蒸汽。
9.根据权利要求1所述的方法,其特征在于,
所述循环中,将所述处理区域的温度设定在25℃~200℃,所述第二工序包括将所述第二处理气体在由激发机构激发的状态下供给至所述处理区域的激发期间,利用由此生成的所述氧化气体的自由基,对所述被处理基板的表面上的所述吸附层进行氧化。
10.根据权利要求9所述的方法,其特征在于,
所述氧化气体由氧构成。
11.根据权利要求10所述的方法,其特征在于,
所述循环中,将所述处理区域的温度设定在100℃以下。
12.根据权利要求1所述的方法,其特征在于,
所述循环中,将所述处理区域的温度设定在200℃至600℃。
13.根据权利要求12所述的方法,其特征在于,
所述氧化气体选自氧、臭氧、水蒸汽。
14.根据权利要求1所述的方法,其特征在于,
所述各循环在其所述第二工序和紧接其后的循环的所述第一工序之间,还包括停止向所述处理区域供给第一和第二处理气体,并对所述处理区域进行排气的中间工序。
15.根据权利要求14所述的方法,其特征在于,
所述各循环在所述第一和第二工序之间,还包括停止向所述处理区域供给第一和第二处理气体,并对所述处理区域进行排气的中间工序。
16.根据权利要求14所述的方法,其特征在于,
所述各循环在整个期间,对所述处理区域连续进行排气。
17.根据权利要求16所述的方法,其特征在于,
所述中间工序包括向所述处理区域供给不活泼气体的期间。
18.根据权利要求1所述的方法,其特征在于,
在所述处理区域内,在上下设置间隔的叠层的状态下收纳多个被处理基板,通过配设在所述处理区域的周围的加热器对所述多个被处理基板进行加热。
19.一种半导体处理用的成膜装置,其特征在于,包括:
具有收纳被处理基板的处理区域的处理容器;
在所述处理容器内支撑所述被处理基板的支撑部件;
对所述处理区域内的所述被处理基板进行加热的加热器;
对所述处理区域内进行排气的排气系统;
向所述处理区域供给包括硅源气体的第一处理气体的第一处理气体供给系统;
向所述处理区域供给包括氧化气体的第二处理气体的第二处理气体供给系统;
将供给至所述处理区域的所述第二处理气体选择地激发的激发机构;和
控制所述装置的动作的控制部,
为了通过CVD在所述被处理基板上形成氧化膜,所述控制部多次重复以下循环,将每一所述循环形成的薄膜叠层,由此形成具有规定厚度的所述氧化膜,所述循环交替包括以下工序:
第一工序,其一方面向所述处理区域供给所述第一处理气体,另一方面停止向所述处理区域供给所述第二处理气体,由此在所述被处理基板的表面上形成含硅的吸附层;和
第二工序,其一方面向所述处理区域供给所述第二处理气体,另一方面停止向所述处理区域供给所述第一处理气体,对所述被处理基板的表面上的所述吸附层进行氧化,
其中,使用1价或2价的氨基硅烷气体作为所述硅源气体,相比于使用3价氨基硅烷气体作为所述硅源气体的情况,较低地设定所述循环中的处理温度。
20.一种包括用于在处理器上执行的程序指令并能够在计算机上读取的介质,其特征在于,
所述程序指令由处理器执行时,控制在能够选择地供给包括硅源气体的第一处理气体和包括氧化气体的第二处理气体的处理区域内,通过CVD在被处理基板上形成氧化膜的半导体处理用的成膜装置,多次重复下述循环,将每一所述循环形成的薄膜叠层,由此形成具有规定厚度的所述氧化膜,所述循环交替包括以下工序:
第一工序,其一方面向所述处理区域供给所述第一处理气体,另一方面停止向所述处理区域供给所述第二处理气体,由此在所述被处理基板的表面上形成含硅的吸附层;和
第二工序,其一方面向所述处理区域供给所述第二处理气体,另一方面停止向所述处理区域供给所述第一处理气体,对所述被处理基板的表面上的所述吸附层进行氧化,
其中,使用1价或2价的氨基硅烷气体作为所述硅源气体,相比于使用3价氨基硅烷气体作为所述硅源气体的情况,较低地设定所述循环中的处理温度。
CN200710192997.5A 2006-09-28 2007-09-28 形成硅氧化膜的成膜方法和装置 Active CN101154589B (zh)

Applications Claiming Priority (12)

Application Number Priority Date Filing Date Title
JP2006264469 2006-09-28
JP2006-264469 2006-09-28
JP2006264469 2006-09-28
JP2006-265818 2006-09-28
JP2006265818 2006-09-28
JP2006265818 2006-09-28
JP2007-215809 2007-08-22
JP2007215809A JP5193527B2 (ja) 2006-09-28 2007-08-22 シリコン酸化膜の形成方法、シリコン酸化膜の形成装置及びプログラム
JP2007215809 2007-08-22
JP2007218026A JP5258229B2 (ja) 2006-09-28 2007-08-24 成膜方法および成膜装置
JP2007218026 2007-08-24
JP2007-218026 2007-08-24

Publications (2)

Publication Number Publication Date
CN101154589A true CN101154589A (zh) 2008-04-02
CN101154589B CN101154589B (zh) 2015-07-29

Family

ID=39261448

Family Applications (1)

Application Number Title Priority Date Filing Date
CN200710192997.5A Active CN101154589B (zh) 2006-09-28 2007-09-28 形成硅氧化膜的成膜方法和装置

Country Status (4)

Country Link
US (1) US7906168B2 (zh)
KR (1) KR20080029846A (zh)
CN (1) CN101154589B (zh)
TW (1) TWI462179B (zh)

Cited By (11)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN101676432A (zh) * 2008-09-17 2010-03-24 东京毅力科创株式会社 成膜装置及成膜方法
CN102242350A (zh) * 2010-05-14 2011-11-16 东京毅力科创株式会社 成膜方法和成膜装置
CN102534615A (zh) * 2010-12-27 2012-07-04 东京毅力科创株式会社 向钨膜或者氧化钨膜上形成氧化硅膜的成膜方法
CN102569030A (zh) * 2010-12-28 2012-07-11 东京毅力科创株式会社 薄膜形成方法及薄膜形成装置
CN102812539A (zh) * 2010-03-17 2012-12-05 Spp科技股份有限公司 沉积方法
CN109385616A (zh) * 2017-08-02 2019-02-26 东京毅力科创株式会社 向钨膜上形成氧化硅膜的方法、装置以及存储介质
CN109385613A (zh) * 2017-08-02 2019-02-26 东京毅力科创株式会社 硅膜的形成方法、形成装置以及存储介质
CN111593323A (zh) * 2019-02-21 2020-08-28 株式会社国际电气 半导体器件的制造方法、衬底处理装置及记录介质
CN111962045A (zh) * 2019-05-20 2020-11-20 东京毅力科创株式会社 成膜方法
CN112204715A (zh) * 2018-06-06 2021-01-08 东京毅力科创株式会社 使用原子层沉积法在基片上形成薄膜的方法或装置
CN114074063A (zh) * 2021-11-22 2022-02-22 深圳市深赛尔股份有限公司 一种用多组分水性组合物涂覆金属表面的方法

Families Citing this family (394)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2006261434A (ja) * 2005-03-17 2006-09-28 L'air Liquide Sa Pour L'etude & L'exploitation Des Procede S Georges Claude シリコン酸化膜の形成方法
US8232176B2 (en) 2006-06-22 2012-07-31 Applied Materials, Inc. Dielectric deposition and etch back processes for bottom up gapfill
US20090041952A1 (en) * 2007-08-10 2009-02-12 Asm Genitech Korea Ltd. Method of depositing silicon oxide films
US7867923B2 (en) * 2007-10-22 2011-01-11 Applied Materials, Inc. High quality silicon oxide films by remote plasma CVD from disilane precursors
US8357435B2 (en) * 2008-05-09 2013-01-22 Applied Materials, Inc. Flowable dielectric equipment and processes
JP5616591B2 (ja) 2008-06-20 2014-10-29 株式会社日立国際電気 半導体装置の製造方法及び基板処理装置
JP5155070B2 (ja) * 2008-09-02 2013-02-27 株式会社日立国際電気 半導体装置の製造方法、基板処理方法及び基板処理装置
JP4638550B2 (ja) 2008-09-29 2011-02-23 東京エレクトロン株式会社 マスクパターンの形成方法、微細パターンの形成方法及び成膜装置
US10378106B2 (en) * 2008-11-14 2019-08-13 Asm Ip Holding B.V. Method of forming insulation film by modified PEALD
KR20100093349A (ko) * 2009-02-16 2010-08-25 삼성전자주식회사 기상 박막 형성 방법 및 반도체 집적 회로 장치의 제조 방법
JP5275093B2 (ja) * 2009-03-13 2013-08-28 東京エレクトロン株式会社 基板処理方法
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
US8980382B2 (en) 2009-12-02 2015-03-17 Applied Materials, Inc. Oxygen-doping for non-carbon radical-component CVD films
US8741788B2 (en) 2009-08-06 2014-06-03 Applied Materials, Inc. Formation of silicon oxide using non-carbon flowable CVD processes
US8802201B2 (en) 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
JP5444961B2 (ja) * 2009-09-01 2014-03-19 東京エレクトロン株式会社 成膜装置及び成膜方法
US8449942B2 (en) * 2009-11-12 2013-05-28 Applied Materials, Inc. Methods of curing non-carbon flowable CVD films
KR20120111738A (ko) * 2009-12-30 2012-10-10 어플라이드 머티어리얼스, 인코포레이티드 융통성을 가진 질소/수소 비율을 이용하여 제조된 라디칼에 의한 유전체 필름의 성장
US20110159213A1 (en) * 2009-12-30 2011-06-30 Applied Materials, Inc. Chemical vapor deposition improvements through radical-component modification
US8329262B2 (en) 2010-01-05 2012-12-11 Applied Materials, Inc. Dielectric film formation using inert gas excitation
US8647992B2 (en) * 2010-01-06 2014-02-11 Applied Materials, Inc. Flowable dielectric using oxide liner
JP2013516788A (ja) 2010-01-07 2013-05-13 アプライド マテリアルズ インコーポレイテッド ラジカル成分cvd用のインサイチュオゾン硬化
SG183873A1 (en) 2010-03-05 2012-10-30 Applied Materials Inc Conformal layers by radical-component cvd
US8236708B2 (en) * 2010-03-09 2012-08-07 Applied Materials, Inc. Reduced pattern loading using bis(diethylamino)silane (C8H22N2Si) as silicon precursor
US20130078376A1 (en) * 2010-04-01 2013-03-28 L'air Liquide, Societe Anonyme Pour L'etude Et L'exploitation Des Procedes Georges Claude Metal nitride containing film deposition using combination of amino-metal and halogenated metal precursors
US9997357B2 (en) 2010-04-15 2018-06-12 Lam Research Corporation Capped ALD films for doping fin-shaped channel regions of 3-D IC transistors
US9373500B2 (en) 2014-02-21 2016-06-21 Lam Research Corporation Plasma assisted atomic layer deposition titanium oxide for conformal encapsulation and gapfill applications
US8728956B2 (en) 2010-04-15 2014-05-20 Novellus Systems, Inc. Plasma activated conformal film deposition
US9257274B2 (en) 2010-04-15 2016-02-09 Lam Research Corporation Gapfill of variable aspect ratio features with a composite PEALD and PECVD method
US9390909B2 (en) 2013-11-07 2016-07-12 Novellus Systems, Inc. Soft landing nanolaminates for advanced patterning
US9611544B2 (en) 2010-04-15 2017-04-04 Novellus Systems, Inc. Plasma activated conformal dielectric film deposition
US8637411B2 (en) 2010-04-15 2014-01-28 Novellus Systems, Inc. Plasma activated conformal dielectric film deposition
US9892917B2 (en) 2010-04-15 2018-02-13 Lam Research Corporation Plasma assisted atomic layer deposition of multi-layer films for patterning applications
US9685320B2 (en) 2010-09-23 2017-06-20 Lam Research Corporation Methods for depositing silicon oxide
US9285168B2 (en) 2010-10-05 2016-03-15 Applied Materials, Inc. Module for ozone cure and post-cure moisture treatment
US8664127B2 (en) 2010-10-15 2014-03-04 Applied Materials, Inc. Two silicon-containing precursors for gapfill enhancing dielectric liner
JP5544343B2 (ja) 2010-10-29 2014-07-09 東京エレクトロン株式会社 成膜装置
US10283321B2 (en) 2011-01-18 2019-05-07 Applied Materials, Inc. Semiconductor processing system and methods using capacitively coupled plasma
US8450191B2 (en) 2011-01-24 2013-05-28 Applied Materials, Inc. Polysilicon films by HDP-CVD
US8716154B2 (en) * 2011-03-04 2014-05-06 Applied Materials, Inc. Reduced pattern loading using silicon oxide multi-layers
SG11201405416UA (en) 2011-04-07 2014-11-27 Picosun Oy Atomic layer deposition with plasma source
US8445078B2 (en) 2011-04-20 2013-05-21 Applied Materials, Inc. Low temperature silicon oxide conversion
US8466073B2 (en) 2011-06-03 2013-06-18 Applied Materials, Inc. Capping layer for reduced outgassing
US9312155B2 (en) 2011-06-06 2016-04-12 Asm Japan K.K. High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules
US9793148B2 (en) 2011-06-22 2017-10-17 Asm Japan K.K. Method for positioning wafers in multiple wafer transport
US10364496B2 (en) 2011-06-27 2019-07-30 Asm Ip Holding B.V. Dual section module having shared and unshared mass flow controllers
US9404178B2 (en) 2011-07-15 2016-08-02 Applied Materials, Inc. Surface treatment and deposition for reduced outgassing
US10854498B2 (en) 2011-07-15 2020-12-01 Asm Ip Holding B.V. Wafer-supporting device and method for producing same
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US8617989B2 (en) 2011-09-26 2013-12-31 Applied Materials, Inc. Liner property improvement
US8551891B2 (en) 2011-10-04 2013-10-08 Applied Materials, Inc. Remote plasma burn-in
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
KR101886740B1 (ko) * 2011-11-01 2018-09-11 삼성디스플레이 주식회사 기상 증착 장치 및 유기 발광 표시 장치 제조 방법
US8946830B2 (en) 2012-04-04 2015-02-03 Asm Ip Holdings B.V. Metal oxide protective layer for a semiconductor device
US9558931B2 (en) 2012-07-27 2017-01-31 Asm Ip Holding B.V. System and method for gas-phase sulfur passivation of a semiconductor surface
US9659799B2 (en) 2012-08-28 2017-05-23 Asm Ip Holding B.V. Systems and methods for dynamic semiconductor process scheduling
US8889566B2 (en) 2012-09-11 2014-11-18 Applied Materials, Inc. Low cost flowable dielectric films
US9021985B2 (en) 2012-09-12 2015-05-05 Asm Ip Holdings B.V. Process gas management for an inductively-coupled plasma deposition reactor
US9324811B2 (en) 2012-09-26 2016-04-26 Asm Ip Holding B.V. Structures and devices including a tensile-stressed silicon arsenic layer and methods of forming same
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US9355839B2 (en) 2012-10-23 2016-05-31 Lam Research Corporation Sub-saturated atomic layer deposition and conformal film deposition
US9679984B2 (en) * 2012-11-07 2017-06-13 Taiwan Semiconductor Manufacturing Company, Ltd. Metal gate structure with multi-layer composition
SG2013083241A (en) 2012-11-08 2014-06-27 Novellus Systems Inc Conformal film deposition for gapfill
JP6538300B2 (ja) 2012-11-08 2019-07-03 ノベラス・システムズ・インコーポレーテッドNovellus Systems Incorporated 感受性基材上にフィルムを蒸着するための方法
US9640416B2 (en) 2012-12-26 2017-05-02 Asm Ip Holding B.V. Single-and dual-chamber module-attachable wafer-handling chamber
US9018108B2 (en) 2013-01-25 2015-04-28 Applied Materials, Inc. Low shrinkage dielectric films
US9484191B2 (en) 2013-03-08 2016-11-01 Asm Ip Holding B.V. Pulsed remote plasma method and system
US9589770B2 (en) 2013-03-08 2017-03-07 Asm Ip Holding B.V. Method and systems for in-situ formation of intermediate reactive species
US8993054B2 (en) 2013-07-12 2015-03-31 Asm Ip Holding B.V. Method and system to reduce outgassing in a reaction chamber
US9018111B2 (en) 2013-07-22 2015-04-28 Asm Ip Holding B.V. Semiconductor reaction chamber with plasma capabilities
US9793115B2 (en) 2013-08-14 2017-10-17 Asm Ip Holding B.V. Structures and devices including germanium-tin films and methods of forming same
US9240412B2 (en) 2013-09-27 2016-01-19 Asm Ip Holding B.V. Semiconductor structure and device and methods of forming same using selective epitaxial process
US9556516B2 (en) 2013-10-09 2017-01-31 ASM IP Holding B.V Method for forming Ti-containing film by PEALD using TDMAT or TDEAT
US10179947B2 (en) 2013-11-26 2019-01-15 Asm Ip Holding B.V. Method for forming conformal nitrided, oxidized, or carbonized dielectric film by atomic layer deposition
US9214334B2 (en) 2014-02-18 2015-12-15 Lam Research Corporation High growth rate process for conformal aluminum nitride
US10683571B2 (en) 2014-02-25 2020-06-16 Asm Ip Holding B.V. Gas supply manifold and method of supplying gases to chamber using same
US9447498B2 (en) 2014-03-18 2016-09-20 Asm Ip Holding B.V. Method for performing uniform processing in gas system-sharing multiple reaction chambers
US10167557B2 (en) 2014-03-18 2019-01-01 Asm Ip Holding B.V. Gas distribution system, reactor including the system, and methods of using the same
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US9404587B2 (en) 2014-04-24 2016-08-02 ASM IP Holding B.V Lockout tagout for semiconductor vacuum valve
US9412581B2 (en) 2014-07-16 2016-08-09 Applied Materials, Inc. Low-K dielectric gapfill by flowable deposition
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US9543180B2 (en) 2014-08-01 2017-01-10 Asm Ip Holding B.V. Apparatus and method for transporting wafers between wafer carrier and process tool under vacuum
US9478438B2 (en) 2014-08-20 2016-10-25 Lam Research Corporation Method and apparatus to deposit pure titanium thin film at low temperature using titanium tetraiodide precursor
US9478411B2 (en) 2014-08-20 2016-10-25 Lam Research Corporation Method to tune TiOx stoichiometry using atomic layer deposited Ti film to minimize contact resistance for TiOx/Ti based MIS contact scheme for CMOS
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
JP6366454B2 (ja) 2014-10-07 2018-08-01 東京エレクトロン株式会社 被処理体を処理する方法
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US9657845B2 (en) 2014-10-07 2017-05-23 Asm Ip Holding B.V. Variable conductance gas distribution apparatus and method
KR102300403B1 (ko) 2014-11-19 2021-09-09 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US9564312B2 (en) 2014-11-24 2017-02-07 Lam Research Corporation Selective inhibition in atomic layer deposition of silicon-containing films
KR102263121B1 (ko) 2014-12-22 2021-06-09 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 및 그 제조 방법
US9478415B2 (en) 2015-02-13 2016-10-25 Asm Ip Holding B.V. Method for forming film having low resistance and shallow junction depth
US10529542B2 (en) 2015-03-11 2020-01-07 Asm Ip Holdings B.V. Cross-flow reactor and method
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US10566187B2 (en) 2015-03-20 2020-02-18 Lam Research Corporation Ultrathin atomic layer deposition film accuracy thickness control
US9502238B2 (en) 2015-04-03 2016-11-22 Lam Research Corporation Deposition of conformal films by atomic layer deposition and atomic layer etch
JP6462477B2 (ja) 2015-04-27 2019-01-30 東京エレクトロン株式会社 被処理体を処理する方法
JP6456764B2 (ja) 2015-04-28 2019-01-23 株式会社Kokusai Electric 半導体装置の製造方法、基板処理装置およびプログラム
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10600673B2 (en) 2015-07-07 2020-03-24 Asm Ip Holding B.V. Magnetic susceptor to baseplate seal
US10526701B2 (en) 2015-07-09 2020-01-07 Lam Research Corporation Multi-cycle ALD process for film uniformity and thickness profile modulation
US9899291B2 (en) 2015-07-13 2018-02-20 Asm Ip Holding B.V. Method for protecting layer by forming hydrocarbon-based extremely thin film
US10043661B2 (en) 2015-07-13 2018-08-07 Asm Ip Holding B.V. Method for protecting layer by forming hydrocarbon-based extremely thin film
US10083836B2 (en) 2015-07-24 2018-09-25 Asm Ip Holding B.V. Formation of boron-doped titanium metal films with high work function
US10087525B2 (en) 2015-08-04 2018-10-02 Asm Ip Holding B.V. Variable gap hard stop design
US9647114B2 (en) 2015-08-14 2017-05-09 Asm Ip Holding B.V. Methods of forming highly p-type doped germanium tin films and structures and devices including the films
US9711345B2 (en) 2015-08-25 2017-07-18 Asm Ip Holding B.V. Method for forming aluminum nitride-based film by PEALD
US9960072B2 (en) 2015-09-29 2018-05-01 Asm Ip Holding B.V. Variable adjustment for precise matching of multiple chamber cavity housings
US9909214B2 (en) 2015-10-15 2018-03-06 Asm Ip Holding B.V. Method for depositing dielectric film in trenches by PEALD
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US10322384B2 (en) 2015-11-09 2019-06-18 Asm Ip Holding B.V. Counter flow mixer for process chamber
US9455138B1 (en) 2015-11-10 2016-09-27 Asm Ip Holding B.V. Method for forming dielectric film in trenches by PEALD using H-containing gas
US9905420B2 (en) 2015-12-01 2018-02-27 Asm Ip Holding B.V. Methods of forming silicon germanium tin films and structures and devices including the films
US9607837B1 (en) 2015-12-21 2017-03-28 Asm Ip Holding B.V. Method for forming silicon oxide cap layer for solid state diffusion process
US9627221B1 (en) 2015-12-28 2017-04-18 Asm Ip Holding B.V. Continuous process incorporating atomic layer etching
US9735024B2 (en) 2015-12-28 2017-08-15 Asm Ip Holding B.V. Method of atomic layer etching using functional group-containing fluorocarbon
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US9754779B1 (en) 2016-02-19 2017-09-05 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10468251B2 (en) 2016-02-19 2019-11-05 Asm Ip Holding B.V. Method for forming spacers using silicon nitride film for spacer-defined multiple patterning
US10501866B2 (en) 2016-03-09 2019-12-10 Asm Ip Holding B.V. Gas distribution apparatus for improved film uniformity in an epitaxial system
US10343920B2 (en) 2016-03-18 2019-07-09 Asm Ip Holding B.V. Aligned carbon nanotubes
US9892913B2 (en) 2016-03-24 2018-02-13 Asm Ip Holding B.V. Radial and thickness control via biased multi-port injection settings
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10087522B2 (en) 2016-04-21 2018-10-02 Asm Ip Holding B.V. Deposition of metal borides
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
US10032628B2 (en) 2016-05-02 2018-07-24 Asm Ip Holding B.V. Source/drain performance through conformal solid state doping
KR102592471B1 (ko) 2016-05-17 2023-10-20 에이에스엠 아이피 홀딩 비.브이. 금속 배선 형성 방법 및 이를 이용한 반도체 장치의 제조 방법
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US10388509B2 (en) 2016-06-28 2019-08-20 Asm Ip Holding B.V. Formation of epitaxial layers via dislocation filtering
US9773643B1 (en) 2016-06-30 2017-09-26 Lam Research Corporation Apparatus and method for deposition and etch in gap fill
US10062563B2 (en) 2016-07-01 2018-08-28 Lam Research Corporation Selective atomic layer deposition with post-dose treatment
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US9793135B1 (en) 2016-07-14 2017-10-17 ASM IP Holding B.V Method of cyclic dry etching using etchant film
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
KR102354490B1 (ko) 2016-07-27 2022-01-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US10395919B2 (en) 2016-07-28 2019-08-27 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10177025B2 (en) 2016-07-28 2019-01-08 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10037884B2 (en) 2016-08-31 2018-07-31 Lam Research Corporation Selective atomic layer deposition for gapfill using sacrificial underlayer
US10090316B2 (en) 2016-09-01 2018-10-02 Asm Ip Holding B.V. 3D stacked multilayer semiconductor memory using doped select transistor channel
US10410943B2 (en) 2016-10-13 2019-09-10 Asm Ip Holding B.V. Method for passivating a surface of a semiconductor and related systems
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10643904B2 (en) 2016-11-01 2020-05-05 Asm Ip Holdings B.V. Methods for forming a semiconductor device and related semiconductor device structures
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10435790B2 (en) 2016-11-01 2019-10-08 Asm Ip Holding B.V. Method of subatmospheric plasma-enhanced ALD using capacitively coupled electrodes with narrow gap
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10134757B2 (en) 2016-11-07 2018-11-20 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by using the method
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
US10340135B2 (en) 2016-11-28 2019-07-02 Asm Ip Holding B.V. Method of topologically restricted plasma-enhanced cyclic deposition of silicon or metal nitride
CN106756884B (zh) * 2016-12-13 2018-10-23 温州海旭科技有限公司 一种pecvd镀膜装置
US10319613B2 (en) * 2016-12-13 2019-06-11 Tokyo Electron Limited Method of selectively etching first region made of silicon nitride against second region made of silicon oxide
JP6836953B2 (ja) * 2016-12-13 2021-03-03 東京エレクトロン株式会社 窒化シリコンから形成された第1領域を酸化シリコンから形成された第2領域に対して選択的にエッチングする方法
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US9916980B1 (en) 2016-12-15 2018-03-13 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
KR20180070971A (ko) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10655221B2 (en) 2017-02-09 2020-05-19 Asm Ip Holding B.V. Method for depositing oxide film by thermal ALD and PEALD
US10176984B2 (en) * 2017-02-14 2019-01-08 Lam Research Corporation Selective deposition of silicon oxide
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10283353B2 (en) 2017-03-29 2019-05-07 Asm Ip Holding B.V. Method of reforming insulating film deposited on substrate with recess pattern
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
US10103040B1 (en) 2017-03-31 2018-10-16 Asm Ip Holding B.V. Apparatus and method for manufacturing a semiconductor device
USD830981S1 (en) 2017-04-07 2018-10-16 Asm Ip Holding B.V. Susceptor for semiconductor substrate processing apparatus
KR102457289B1 (ko) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10446393B2 (en) 2017-05-08 2019-10-15 Asm Ip Holding B.V. Methods for forming silicon-containing epitaxial layers and related semiconductor device structures
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US10504742B2 (en) 2017-05-31 2019-12-10 Asm Ip Holding B.V. Method of atomic layer etching using hydrogen plasma
US10886123B2 (en) 2017-06-02 2021-01-05 Asm Ip Holding B.V. Methods for forming low temperature semiconductor layers and related semiconductor device structures
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US10685834B2 (en) 2017-07-05 2020-06-16 Asm Ip Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10312055B2 (en) 2017-07-26 2019-06-04 Asm Ip Holding B.V. Method of depositing film by PEALD using negative bias
US10605530B2 (en) 2017-07-26 2020-03-31 Asm Ip Holding B.V. Assembly of a liner and a flange for a vertical furnace as well as the liner and the vertical furnace
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US10249524B2 (en) 2017-08-09 2019-04-02 Asm Ip Holding B.V. Cassette holder assembly for a substrate cassette and holding member for use in such assembly
US10236177B1 (en) 2017-08-22 2019-03-19 ASM IP Holding B.V.. Methods for depositing a doped germanium tin semiconductor and related semiconductor device structures
USD900036S1 (en) 2017-08-24 2020-10-27 Asm Ip Holding B.V. Heater electrical connector and adapter
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
US10269559B2 (en) 2017-09-13 2019-04-23 Lam Research Corporation Dielectric gapfill of high aspect ratio features utilizing a sacrificial etch cap layer
US10607895B2 (en) 2017-09-18 2020-03-31 Asm Ip Holdings B.V. Method for forming a semiconductor device structure comprising a gate fill metal
KR102630301B1 (ko) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10319588B2 (en) 2017-10-10 2019-06-11 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
US10872762B2 (en) * 2017-11-08 2020-12-22 Taiwan Semiconductor Manufacturing Company, Ltd. Methods of forming silicon oxide layer and semiconductor structure
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
KR102443047B1 (ko) 2017-11-16 2022-09-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 방법 및 그에 의해 제조된 장치
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
JP7206265B2 (ja) 2017-11-27 2023-01-17 エーエスエム アイピー ホールディング ビー.ブイ. クリーン・ミニエンバイロメントを備える装置
KR102597978B1 (ko) 2017-11-27 2023-11-06 에이에스엠 아이피 홀딩 비.브이. 배치 퍼니스와 함께 사용하기 위한 웨이퍼 카세트를 보관하기 위한 보관 장치
US10290508B1 (en) 2017-12-05 2019-05-14 Asm Ip Holding B.V. Method for forming vertical spacers for spacer-defined patterning
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
KR20200108016A (ko) 2018-01-19 2020-09-16 에이에스엠 아이피 홀딩 비.브이. 플라즈마 보조 증착에 의해 갭 충진 층을 증착하는 방법
TWI799494B (zh) 2018-01-19 2023-04-21 荷蘭商Asm 智慧財產控股公司 沈積方法
USD903477S1 (en) 2018-01-24 2020-12-01 Asm Ip Holdings B.V. Metal clamp
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US10535516B2 (en) 2018-02-01 2020-01-14 Asm Ip Holdings B.V. Method for depositing a semiconductor structure on a surface of a substrate and related semiconductor structures
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
JP7124098B2 (ja) 2018-02-14 2022-08-23 エーエスエム・アイピー・ホールディング・ベー・フェー 周期的堆積プロセスにより基材上にルテニウム含有膜を堆積させる方法
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10658181B2 (en) 2018-02-20 2020-05-19 Asm Ip Holding B.V. Method of spacer-defined direct patterning in semiconductor fabrication
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
KR20200118504A (ko) 2018-03-02 2020-10-15 램 리써치 코포레이션 가수분해를 사용한 선택적인 증착
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
US10510536B2 (en) 2018-03-29 2019-12-17 Asm Ip Holding B.V. Method of depositing a co-doped polysilicon film on a surface of a substrate within a reaction chamber
KR102501472B1 (ko) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
TW202344708A (zh) 2018-05-08 2023-11-16 荷蘭商Asm Ip私人控股有限公司 藉由循環沉積製程於基板上沉積氧化物膜之方法及相關裝置結構
KR20190129718A (ko) 2018-05-11 2019-11-20 에이에스엠 아이피 홀딩 비.브이. 기판 상에 피도핑 금속 탄화물 막을 형성하는 방법 및 관련 반도체 소자 구조
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
TW202013553A (zh) 2018-06-04 2020-04-01 荷蘭商Asm 智慧財產控股公司 水氣降低的晶圓處置腔室
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
KR20210027265A (ko) 2018-06-27 2021-03-10 에이에스엠 아이피 홀딩 비.브이. 금속 함유 재료를 형성하기 위한 주기적 증착 방법 및 금속 함유 재료를 포함하는 막 및 구조체
US11492703B2 (en) 2018-06-27 2022-11-08 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
KR20200002519A (ko) 2018-06-29 2020-01-08 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US10483099B1 (en) 2018-07-26 2019-11-19 Asm Ip Holding B.V. Method for forming thermally stable organosilicon polymer film
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
US10847365B2 (en) 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
US10811256B2 (en) 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US10381219B1 (en) 2018-10-25 2019-08-13 Asm Ip Holding B.V. Methods for forming a silicon nitride film
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10559458B1 (en) 2018-11-26 2020-02-11 Asm Ip Holding B.V. Method of forming oxynitride film
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
JP2020096183A (ja) 2018-12-14 2020-06-18 エーエスエム・アイピー・ホールディング・ベー・フェー 窒化ガリウムの選択的堆積を用いてデバイス構造体を形成する方法及びそのためのシステム
TWI819180B (zh) 2019-01-17 2023-10-21 荷蘭商Asm 智慧財產控股公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
TW202104632A (zh) 2019-02-20 2021-02-01 荷蘭商Asm Ip私人控股有限公司 用來填充形成於基材表面內之凹部的循環沉積方法及設備
TW202044325A (zh) 2019-02-20 2020-12-01 荷蘭商Asm Ip私人控股有限公司 填充一基板之一表面內所形成的一凹槽的方法、根據其所形成之半導體結構、及半導體處理設備
KR20200102357A (ko) 2019-02-20 2020-08-31 에이에스엠 아이피 홀딩 비.브이. 3-d nand 응용의 플러그 충진체 증착용 장치 및 방법
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
TW202100794A (zh) 2019-02-22 2021-01-01 荷蘭商Asm Ip私人控股有限公司 基材處理設備及處理基材之方法
US11742198B2 (en) 2019-03-08 2023-08-29 Asm Ip Holding B.V. Structure including SiOCN layer and method of forming same
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
KR20200116033A (ko) 2019-03-28 2020-10-08 에이에스엠 아이피 홀딩 비.브이. 도어 개방기 및 이를 구비한 기판 처리 장치
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
US11447864B2 (en) 2019-04-19 2022-09-20 Asm Ip Holding B.V. Layer forming method and apparatus
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141003A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 가스 감지기를 포함하는 기상 반응기 시스템
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP2021015791A (ja) 2019-07-09 2021-02-12 エーエスエム アイピー ホールディング ビー.ブイ. 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
CN112242296A (zh) 2019-07-19 2021-01-19 Asm Ip私人控股有限公司 形成拓扑受控的无定形碳聚合物膜的方法
TW202113936A (zh) 2019-07-29 2021-04-01 荷蘭商Asm Ip私人控股有限公司 用於利用n型摻雜物及/或替代摻雜物選擇性沉積以達成高摻雜物併入之方法
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
CN112323048B (zh) 2019-08-05 2024-02-09 Asm Ip私人控股有限公司 用于化学源容器的液位传感器
JP7246284B2 (ja) * 2019-08-15 2023-03-27 東京エレクトロン株式会社 成膜方法
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
TW202129060A (zh) 2019-10-08 2021-08-01 荷蘭商Asm Ip控股公司 基板處理裝置、及基板處理方法
TW202115273A (zh) 2019-10-10 2021-04-16 荷蘭商Asm Ip私人控股有限公司 形成光阻底層之方法及包括光阻底層之結構
KR20210045930A (ko) 2019-10-16 2021-04-27 에이에스엠 아이피 홀딩 비.브이. 실리콘 산화물의 토폴로지-선택적 막의 형성 방법
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
CN110629205B (zh) * 2019-10-29 2024-02-13 苏州创瑞机电科技有限公司 气相沉积炉、其使用方法及气相沉积系统
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
KR20210065848A (ko) 2019-11-26 2021-06-04 에이에스엠 아이피 홀딩 비.브이. 제1 유전체 표면과 제2 금속성 표면을 포함한 기판 상에 타겟 막을 선택적으로 형성하기 위한 방법
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11885013B2 (en) 2019-12-17 2024-01-30 Asm Ip Holding B.V. Method of forming vanadium nitride layer and structure including the vanadium nitride layer
KR20210080214A (ko) 2019-12-19 2021-06-30 에이에스엠 아이피 홀딩 비.브이. 기판 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
KR20210095050A (ko) 2020-01-20 2021-07-30 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법 및 박막 표면 개질 방법
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
KR20210100010A (ko) 2020-02-04 2021-08-13 에이에스엠 아이피 홀딩 비.브이. 대형 물품의 투과율 측정을 위한 방법 및 장치
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
TW202146715A (zh) 2020-02-17 2021-12-16 荷蘭商Asm Ip私人控股有限公司 用於生長磷摻雜矽層之方法及其系統
KR20210116249A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 록아웃 태그아웃 어셈블리 및 시스템 그리고 이의 사용 방법
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
KR20210117157A (ko) 2020-03-12 2021-09-28 에이에스엠 아이피 홀딩 비.브이. 타겟 토폴로지 프로파일을 갖는 층 구조를 제조하기 위한 방법
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
TW202140831A (zh) 2020-04-24 2021-11-01 荷蘭商Asm Ip私人控股有限公司 形成含氮化釩層及包含該層的結構之方法
KR20210132605A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 냉각 가스 공급부를 포함한 수직형 배치 퍼니스 어셈블리
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
KR20210143653A (ko) 2020-05-19 2021-11-29 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202219628A (zh) 2020-07-17 2022-05-16 荷蘭商Asm Ip私人控股有限公司 用於光微影之結構與方法
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
KR20220027026A (ko) 2020-08-26 2022-03-07 에이에스엠 아이피 홀딩 비.브이. 금속 실리콘 산화물 및 금속 실리콘 산질화물 층을 형성하기 위한 방법 및 시스템
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
TW202217037A (zh) 2020-10-22 2022-05-01 荷蘭商Asm Ip私人控股有限公司 沉積釩金屬的方法、結構、裝置及沉積總成
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
KR20220076343A (ko) 2020-11-30 2022-06-08 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치의 반응 챔버 내에 배열되도록 구성된 인젝터
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate

Family Cites Families (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH01204434A (ja) * 1988-02-09 1989-08-17 Nec Corp 絶縁薄膜の製造方法
ATE352869T1 (de) * 2000-03-20 2007-02-15 Koninkl Philips Electronics Nv Halbleitervorrichtung und verfahren zu deren herstellung
US6391803B1 (en) * 2001-06-20 2002-05-21 Samsung Electronics Co., Ltd. Method of forming silicon containing thin films by atomic layer deposition utilizing trisdimethylaminosilane
JP2004281853A (ja) * 2003-03-18 2004-10-07 Hitachi Kokusai Electric Inc 基板処理装置
JP2005175408A (ja) * 2003-12-05 2005-06-30 Semiconductor Res Found 酸化・窒化絶縁薄膜の形成方法
JP4595702B2 (ja) * 2004-07-15 2010-12-08 東京エレクトロン株式会社 成膜方法、成膜装置及び記憶媒体
US7875312B2 (en) * 2006-05-23 2011-01-25 Air Products And Chemicals, Inc. Process for producing silicon oxide films for organoaminosilane precursors

Cited By (18)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN101676432A (zh) * 2008-09-17 2010-03-24 东京毅力科创株式会社 成膜装置及成膜方法
CN102812539A (zh) * 2010-03-17 2012-12-05 Spp科技股份有限公司 沉积方法
CN102812539B (zh) * 2010-03-17 2014-10-22 Spp科技股份有限公司 沉积方法
CN102242350A (zh) * 2010-05-14 2011-11-16 东京毅力科创株式会社 成膜方法和成膜装置
CN102242350B (zh) * 2010-05-14 2014-12-31 东京毅力科创株式会社 成膜方法和成膜装置
CN102534615A (zh) * 2010-12-27 2012-07-04 东京毅力科创株式会社 向钨膜或者氧化钨膜上形成氧化硅膜的成膜方法
CN102569030A (zh) * 2010-12-28 2012-07-11 东京毅力科创株式会社 薄膜形成方法及薄膜形成装置
CN102569030B (zh) * 2010-12-28 2016-04-20 东京毅力科创株式会社 薄膜形成方法及薄膜形成装置
CN109385616A (zh) * 2017-08-02 2019-02-26 东京毅力科创株式会社 向钨膜上形成氧化硅膜的方法、装置以及存储介质
CN109385613A (zh) * 2017-08-02 2019-02-26 东京毅力科创株式会社 硅膜的形成方法、形成装置以及存储介质
CN109385616B (zh) * 2017-08-02 2021-11-30 东京毅力科创株式会社 向钨膜上形成氧化硅膜的方法、装置以及存储介质
CN109385613B (zh) * 2017-08-02 2022-05-17 东京毅力科创株式会社 硅膜的形成方法、形成装置以及存储介质
CN112204715A (zh) * 2018-06-06 2021-01-08 东京毅力科创株式会社 使用原子层沉积法在基片上形成薄膜的方法或装置
CN111593323A (zh) * 2019-02-21 2020-08-28 株式会社国际电气 半导体器件的制造方法、衬底处理装置及记录介质
CN111593323B (zh) * 2019-02-21 2023-12-01 株式会社国际电气 半导体器件的制造方法、衬底处理装置及记录介质
CN111962045A (zh) * 2019-05-20 2020-11-20 东京毅力科创株式会社 成膜方法
CN111962045B (zh) * 2019-05-20 2023-12-01 东京毅力科创株式会社 成膜方法
CN114074063A (zh) * 2021-11-22 2022-02-22 深圳市深赛尔股份有限公司 一种用多组分水性组合物涂覆金属表面的方法

Also Published As

Publication number Publication date
US20080081104A1 (en) 2008-04-03
TWI462179B (zh) 2014-11-21
US7906168B2 (en) 2011-03-15
CN101154589B (zh) 2015-07-29
TW200832554A (en) 2008-08-01
KR20080029846A (ko) 2008-04-03

Similar Documents

Publication Publication Date Title
CN101154589B (zh) 形成硅氧化膜的成膜方法和装置
CN101497993B (zh) 薄膜形成方法和用于形成含硅绝缘膜的装置
CN1891859B (zh) 氮氧化硅膜的形成方法
CN101325160B (zh) 半导体处理用的成膜方法和装置
CN101192534B (zh) 半导体处理用的成膜装置及其使用方法
CN100477116C (zh) 硅氧化膜的形成方法和硅氧化膜的形成装置
TWI644359B (zh) 用於低溫原子層沉積膜之腔室底塗層準備方法
KR100954243B1 (ko) 반도체 처리용 성막 장치 및 방법과 컴퓨터로 판독 가능한 매체
KR101141870B1 (ko) 반도체 처리용 성막 방법 및 성막 장치
KR101140069B1 (ko) 반도체 처리용의 성막 방법 및 장치 및 컴퓨터로 판독가능한 매체
KR100935257B1 (ko) 반도체 처리용 성막 방법 및 장치와, 컴퓨터 판독 가능 매체
US8697578B2 (en) Film formation apparatus and method for using same
CN101140884B (zh) 半导体处理用的成膜方法和装置
US20120267340A1 (en) Film deposition method and film deposition apparatus
US8080477B2 (en) Film formation apparatus and method for using same
US20090114156A1 (en) Film formation apparatus for semiconductor process
CN101381861B (zh) 成膜方法

Legal Events

Date Code Title Description
C06 Publication
PB01 Publication
C10 Entry into substantive examination
SE01 Entry into force of request for substantive examination
C14 Grant of patent or utility model
GR01 Patent grant