CN101676432A - 成膜装置及成膜方法 - Google Patents

成膜装置及成膜方法 Download PDF

Info

Publication number
CN101676432A
CN101676432A CN200910173915A CN200910173915A CN101676432A CN 101676432 A CN101676432 A CN 101676432A CN 200910173915 A CN200910173915 A CN 200910173915A CN 200910173915 A CN200910173915 A CN 200910173915A CN 101676432 A CN101676432 A CN 101676432A
Authority
CN
China
Prior art keywords
mentioned
gas
layer
tabular
film deposition
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
CN200910173915A
Other languages
English (en)
Inventor
加藤寿
竹内靖
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Tokyo Electron Ltd
Original Assignee
Tokyo Electron Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Tokyo Electron Ltd filed Critical Tokyo Electron Ltd
Publication of CN101676432A publication Critical patent/CN101676432A/zh
Pending legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/20Deposition of semiconductor materials on a substrate, e.g. epitaxial growth solid phase epitaxy
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45544Atomic layer deposition [ALD] characterized by the apparatus
    • C23C16/45546Atomic layer deposition [ALD] characterized by the apparatus specially adapted for a substrate stack in the ALD reactor
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45544Atomic layer deposition [ALD] characterized by the apparatus
    • C23C16/45548Atomic layer deposition [ALD] characterized by the apparatus having arrangements for gas injection at different locations of the reactor for each ALD half-reaction
    • C23C16/45551Atomic layer deposition [ALD] characterized by the apparatus having arrangements for gas injection at different locations of the reactor for each ALD half-reaction for relative movement of the substrate and the gas injectors or half-reaction reactor compartments
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • General Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Chemical Vapour Deposition (AREA)
  • Formation Of Insulating Films (AREA)

Abstract

本发明提供一种成膜装置及成膜方法。该成膜装置包括:多个第1板状构件,其设置在能气密的圆筒状的容器内,具有开口部,在沿容器的中心轴线的第1方向等间隔排列;多个第2板状构件,在第1方向上等间隔排列,能在多个第1板状构件具有的开口部的内侧进行往复运动。其中,由多个第1板状构件中的第一对第1板状构件划分出供第1气体沿朝向容器的内周面的第2方向流动的第1流路,由多个第1板状构件中的第二对第1板状构件划分出供第2气体沿第2方向流动的第2流路,基板保持在多个第2板状构件中的一对第2板状构件之间。

Description

成膜装置及成膜方法
技术领域
本发明涉及一种通过将相互反应的至少两种原料气体按顺序供给到基板的表面上,并执行数次该供给循环来层叠多层反应生成物而形成薄膜的成膜装置及成膜方法。
背景技术
伴随着半导体器件的电路图案进一步微细化,对于构成半导体器件的各种膜也要求进一步薄膜化和均匀化。满足该要求的成膜方法公知有能够高精度地控制膜厚,能够实现优异的均匀性的、所谓分子层成膜法(也称为原子层成膜法)。
在该成膜方法中,将第1原料气体供给到收容有基板的反应容器内而使第1原料气体的分子吸附在基板表面上,在将第1原料气体从反应容器中吹扫出去后,将第2原料气体供给到反应容器内而使第2原料气体的分子吸附在基板表面上,从而两种原料气体分子在基板表面上发生反应,形成反应生成物的一层分子层。此后,将第2原料气体从反应容器吹扫出去,通过反复进行此前的工序,即可堆积出具有规定膜厚的膜。由于通过交替供给第1原料气体和第2原料气体来使吸附在基板表面上的分子反应,从而使每一层分子层都形成膜,因而,能够实现以分子层这样的级别来控制膜厚和实现膜厚均匀性。
这样的成膜方法公知有利用例如专利文献1所记载的成膜装置实施的例子(专利文献1)。
专利文献1所公开的原子层成膜装置包括:堆积室,其被分隔为相互连接的2个以上堆积区域;晶圆支承件,其为配置在该堆积室内的晶圆支承件,能够在相互连接的2个以上堆积区域之间移动。2个以上堆积区域由孔(aperture)相互连接。该孔具有足够允许晶圆支承件穿过的尺寸,从而能够最小程度地限制堆积气体在2个以上堆积区域内混杂。此外,在专利文献1中记载有为了最小程度地限制堆积气体在2个以上的堆积区域之间的孔附近混杂,可以以层流状供给惰性气体。
专利文献1:美国专利第7085616号说明书
但是,对于本技术领域的技术人员而言,一般都知道很难控制堆积室内的气体的流动,如果以该见解为基础研究专利文献1,则无法认为能够通过孔来充分地降低堆积气体的混杂。此外,在将惰性气体供给到孔附近时,实际上很难确认惰性气体是否形成了层流,无法确知是否可以使惰性气体成为层流而能够将堆积气体的混合控制在最小限度内。此外,专利文献1不过是公开了单片式的成膜装置,对于与通常的堆积方法相比,如何改善工艺中需要较长时间的原子层堆积的生产率,并没有任何记载。
发明内容
本发明鉴于上述情况,提供一种能充分降低原料气体的混合而实现合适的分子层堆积,并能提高分子层堆积生产率的成膜装置及成膜方法。
为了实现上述目的,本发明的第1技术方案提供一种成膜装置,该成膜装置包括:多个第1板状构件,其设置在能密气的圆筒状的容器内,具有开口部,且在沿容器的中心轴线的第1方向上等间隔排列;多个第2板状构件,在第1方向上等间隔排列,能在多个第1板状构件具有的开口部的内侧往复运动,由多个第1板状构件中的第一对第1板状构件划分出供第1气体沿朝向容器内周面的第2方向流动的第1流路,由多个第1板状构件中的第二对第1板状构件划分出供第2气体沿第2方向流动的第2流路,基板保持在多个第2板状构件中的一对第2板状构件之间。
本发明的第2技术方案提供一种成膜方法,该成膜方法在成膜装置中实施,该成膜装置包括:多个第1板状构件,其设置在能密气的圆筒状的容器内,具有开口部,且在沿容器的中心轴线的第1方向上等间隔排列;多个第2板状构件,在第1方向上等间隔排列,能在多个第1板状构件具有的开口部的内侧往复运动。该成膜方法包括:将基板收容在多个第2板状构件中的一对第2板状构件之间的步骤;使第1气体在上述多个第1板状构件中的第一对第1板状构件之间沿朝向容器内周面的第2方向流动的步骤;使第2气体在多个第1板状构件中的第二对第1板状构件之间沿第2方向流动的步骤;通过使多个第2板状构件进行往复运动,使基板交替暴露于第1气体和第2气体中的步骤。
本发明的第3技术方案提供一种计算机可读存储介质,其用于存储实施第2技术方案的成膜方法的程序。
附图说明
图1是表示本发明一实施方式的成膜装置的概略图。
图2是放大表示图1的成膜装置的反应容器的图。
图3是放大表示图1的成膜装置的反应容器的另一图。
图4是表示图1的成膜装置的内舟皿和外舟皿的位置关系及气体供给部和排气件的位置关系的示意图。
图5是表示在图1的成膜装置中实施的成膜方法的例子的时间图。
图6A至图6H是用于说明在图1的成膜装置中进行的分子层成膜的图。
图7是表示图1的成膜装置的变形例的概略图。
图8是表示图1的成膜装置的变形例的另一概略图。
具体实施方式
根据本发明的实施方式,提供能充分地减少原料气体的混合而实现适当的分子层堆积,且能够提高分子层堆积的生产率的成膜装置及使用该成膜装置的成膜方法。
下面,参照附图说明对本发明不构成限定的例示的实施方式。对各附图中相同或对应的构件、零件标注相同或相对应的附图标记,省略重复的说明。此外,附图的目的不在于显示各构件或零件之间的比例,具体尺寸应参照下面不构成限定的实施方式而由本领域技术人员决定。
图1是表示本发明的一实施方式的成膜装置的概略图。如图所示,本实施方式的成膜装置10包括:立式的反应容器20;驱动机构30,其用于驱动反应容器20内的晶圆舟皿;排气系统40,其用于对反应容器20内进行排气;气体供给系统50,其是向反应容器20内导入气体的供给源;加热器12,其用于对反应容器20内的晶圆进行加热;控制器14,其对成膜装置10的各构成元件进行控制,控制成膜工作。
首先,参照图2~图4说明反应容器20。如图2所示,反应容器20包括:立式的大致呈圆筒状的外管21,其上部被封闭,下部安装在法兰21a上;内管22,其为圆筒状,配置在外管21的内侧;外舟皿23,其配置在内管22的内侧;内舟皿24,其配置在外舟皿23的内侧,用于保持晶圆W;多个气体供给管26,其沿内管22的内壁延伸,可沿横向喷出气体。
外舟皿23包括:多个支柱23a;8个环状板23b,其通过上述支柱23a在上下方向上大致等间隔配置。如后所述,环状板23b具有整流板的功能,调整在内管22的内侧沿朝向内管22的内周面的方向(图中为横向)流动的气体的流动。因此,在确定环状板23b的宽度(外径和内径的差的二分之一)时,优选考虑晶圆W的尺寸、外管21、内管22、外舟皿23、内舟皿24的内径以及能否发挥整流板的功能。由上下相邻的2个环状板23b形成一个层,合计形成有7个层。以下,为了便于说明,将这些层从下至上称为层1、层2、......层7。
此外,外舟皿23在支柱23a的下端部安装在台座23c上,台座23c安装在法兰部25上。法兰部25安装在第1升降机31上。第1升降机31被驱动系统30的驱动部33上下驱动。由此,法兰部25经未图示的密封构件保持气密地压接在法兰21a上,能使外管21的内部保持气密状态。
内舟皿24包括:多个支柱24a;8个圆板24b,其通过上述支柱24a在上下方向上大致等间隔配置。8个圆板24b中的从上数第3个圆板24b和第4个圆板24b之间的空间被用作收容晶圆W的收容部24d。具体而言,在收容部24d中,在支柱24a上在上下方向上大致等间隔地形成多个狭缝(未图示),通过这些狭缝来支承晶圆W。狭缝的间隔也可以由收容在晶圆W的收容部24d中的晶圆W的片数、所使用的原料气体等决定。此外,收容部24d中也可以收容1片晶圆W。
另外,内舟皿24中的最下侧的圆板24b的大致中央形成有通孔,从下数第2个圆板24b的背面形成有凹部(未图示)。支承棒24c穿过上述通孔且与凹部抵接地支承内舟皿24。此外,支承棒24c穿过形成在法兰部25的大致中央的通孔而向下方伸出,隔着圆板构件25a被第2升降机32保持。由此,内舟皿24被定位在内管22和外管21的大致中央。法兰部25和圆板构件25a之间安装有波纹管密封件25b,这样,保持与外管21之间的气密性并且允许支承棒24c和内舟皿24上下移动。此外,圆板构件25a还具有旋转导入部的功能。即,圆板构件25a例如被磁性材料密封件(未图示)保持气密性,并且使支承棒24c穿过形成于圆板构件25a的大致中央的通孔而延伸。支承棒24c在圆板构件25a的下部与旋转马达34相连接,这样,内舟皿24能以支承棒24c为中心旋转。
第2升降机32被驱动部33驱动,能够与第1升降机31一起上下运动,或能够独立地上下运动。即,如图3所示,通过第1升降机31和第2升降机32一起上下移动,能够使内舟皿24与外舟皿23一起上下移动。这样,能够将内舟皿24和外舟皿23装入内管22内或从内管22中抽出。此外,如后所述,也可以通过使第2升降机32相对于第1升降机31上下移动来使内舟皿24相对于外舟皿23上下移动。
在此,参照图4说明内舟皿24和外舟皿23的位置关系。如图所示,内舟皿24和外舟皿23被配置成内舟皿24的圆板24b和外舟皿23的环状板23b位于能够相互成同心圆状的位置。此外,圆板24b和环状板23b之间的间隔(圆板24b外径和环状板23b内径之差)优选小到使圆板24b和环状板23b相互不接触那样的程度。在本实施方式中,内舟皿24和外舟皿23安装在同一法兰部25上(参照图2或图3),因而,内舟皿24(圆板24b)和外舟皿23(环状板23b)能够高精度地进行对位。
另外,通过支柱23a支承环状板23b来构成外舟皿23,但也能将环状板23b例如以规定间隔安装在内管22的内壁上。此外,也可以将环状板23b安装在外管21的内壁上。但是,从圆板24b和环状板23b的对位精度出发,包括环状板23b在内的外舟皿23优选隔着台座23c配置在内舟皿24所定位的法兰部25上。
此外,如图3中所最适当地表示那样,内舟皿24的圆板24b之间的间隔被设定为与外舟皿23的环状板23b之间的间隔大致相等。因此,当圆板24b与环状板23b位于同一高度时,在各环状板23b的内周形成的开口实质上被与之相对应的圆板24b堵住。即,层1~层7中的气体流动不仅是由具有整流板功能的环状板23b分隔出来的,还是由圆板24b分隔出来的。采用该结构,能充分避免气体在各层间的混合。另外,环状板23b的内径和圆板24b的外径之差优选在例如0.1mm~10mm的范围内。如果该差小于0.1mm,则圆板24b与环状板23b碰撞,使内舟皿24不能上下移动,而且,有可能造成内舟皿24、外舟皿23的破损。此外,如果圆板24b与环状板23b接触,则有可能产生微粒而污染晶圆W。另一方面,如果上述差大于10mm,则气体将穿过圆板24b和环状板23b的间隙进行流动,将造成气体在层间混合,因此有可能无法进行合适的分子层堆积。换言之,环状板23b的内径和圆板24b的外径之差优选在使圆板24b不与环状板23b相接触的范围内尽可能地小,优选考虑圆板24b和环状板23b的加工精度、内舟皿24和外舟皿23的设置精度以及气体供给量、压力这样的成膜条件而决定。因此,该差也可处于例如0.1mm~5mm的范围。
再次参照图2,在反应容器20上设置有7根气体供给管26,这些气体供给管26保持气密地贯穿外管21和内管22,在内管22的内侧向上方弯曲,沿着内管22的内壁延伸。这7根气体供给管26具有与外舟皿23的各层1~层7相对应的长度,其上端被封闭,并且在上端附近的侧壁上具有喷出孔26H(参照图4)。采用该结构,气体供给管26向对应的层1~层7喷出气体,能够在层1~层7上形成沿水平方向流动的气流。
如图1所示,与气体供给管26连接的气体供给系统50包括:气体供给源50a、50b、50c;气体控制器54a、54b、54c,其设在将气体供给源50a、50b、50c和气体供给管26分别连接起来的配管51a、51b、51c上。气体控制器54c包括:开闭阀52c和质量流量控制器(MFC)53c。此外,气体控制器54a、54b省略了其组成部分的附图标记,其与气体控制器54c具有相同的结构。并不限于此,但例如气体供给源50a可以是填充有氧气(O2)的钢瓶,在配管51a上设有用于从O2气体生成臭氧(O3)的臭氧生成器51d。
配管51a与对应于层2的气体供给管26a(图4)相连接,由此,向层2上供给O3气体。此外,配管51b与对应于层4的气体供给管26b相连接。气体供给源50b可以是填充有例如氮气(N2)的钢瓶,由此,可对层4供给N2气体。此外,配管51c与对应于层6的气体供给管26c相连接,气体供给源50c例如可以是填充有双叔丁基氨基硅烷(BTBAS)的BTBAS供给器,由此,对层6供给BTBAS气体。
另外,对于与对应于层1、层3、层5、层7的气体供给管26相连接的配管等,省略它们的图示,但对于这些气体供给管26而言,它们的配管结构与对应于层4的气体供给管26相连接的配管等相同。由此、也能对层1、层3、层5、层7供给N2气体。
参照图2(或图3),在内管22上形成有开口22b,在外管21上形成有开口21b。开口22b和开口21b处于与BTBAS气体流动的层6相对应的高度,位于与气体供给管26对称的位置。此外,在外管21的外侧,设置有气密地安装在开口21b上的排气件28b,在排气件28b上连接有后述排气系统40的排气管42。另一方面,在与O3气体流动的层2相对应的高度上,也就是在与气体供给管26对称的位置上,在内管22上形成有开口22c,在外管21上形成有开口21c。此外,在外管21的外侧设置有气密地安装在开口21c上的排气件28c,并在排气件28c上连接有排气管44。如图1所示,排气管44和排气管42合流。
接下来,重新参照图4,对排气件28b(28c)、开口22b(22c)及开口21b(21c)的位置关系进行说明。另外,图4中,为了图示上述各件的位置关系,将在与层2相对应的高度上剖切的剖视图和在与层6相对应高度上剖切的剖视图叠加在一起。如图所示,排气件28b、开口22b及开口21b正对着喷出O3气体的气体供给管26a,并且内舟皿24(24a)介于排气件28b、开口22b、开口21b和气体供给管26a之间。此外,排气件28c、开口22c及开口21c正对着喷出BTBAS气体的气体供给管26c,并且,内舟皿24(24a)介于排气件28c、开口22c、开口21c和气体供给管26c之间。采用该结构,O3气体基本上如图4中单点划线箭头所示那样流动,BTBAS气体基本上如图4中实线箭头所示那样流动。通过这样的流动,能够降低例如介于内管22和外管21之间的两原料气体的混合。
重新参照图1,排气管44上设有用于调整外管21内的压力的压力调整阀48,此外,排气管44与例如干式真空泵等真空泵46相连接。在外管21内气密地插入有压力计(未图示),由此,检测外管21内的压力,根据检测到的压力,通过压力调整阀48控制外管21内的压力。
此外,如图1所示,围着外管21配置的加热器12与电源13相连接。例如通过插入内管22和外舟皿23之间的热电偶等(未图示),间接地检测晶圆W的温度,根据热电偶等检测到的温度,调整从电源13向加热器12供给的电力,由此,控制晶圆W的温度。另外,加热器12可由钽线等构成。此外,加热器12可分为多级,如果单独控制各级加热器,则能进一步提高被保持在内舟皿24内的晶圆W的温度的面内均匀性。
此外,通过控制部14进行气体控制器54a、54b、54c对气体供给的控制、升降机31、32的上下移动的控制、旋转马达34对内舟皿24的旋转的控制、压力调整阀48对外管21内的压力的控制、加热器12对晶圆W的温度的控制等。控制部14例如包含计算机,其按照规定程序控制成膜装置10实施MLD成膜。该程序包括例如用于实施后述成膜方法的步骤的命令组。此外,控制部14上连接有:显示部14a,其用于显示制程程序和工艺状况;存储部14b,其用于存储程序和工艺参数;接口部14c,其和显示部14a一起工作,用于程序的编辑和工艺参数的改变。此外,在存储部14b上连接有输入输出装置14d,该输入输出装置14d在存储部14b和存储有上述程序的计算机可读存储介质14e之间进行程序的输入输出。由此,按照接口部14c的指令,从计算机可读存储介质14e向存储部14b下载规定的程序、制程程序。根据下载下来的程序、制程程序实施后述的成膜方法。另外,计算机可读存储介质包括:硬盘(包含移动硬盘)、CD、CD-R/RW、DVD-R/RW、软盘、USB存储器(闪存)、半导体存储器等。此外,程序也可以通过通信线路下载到存储部14b。
接下来,参照图5~图8及图1、图2说明在本发明的实施方式的成膜装置10中进行的、本发明的实施方式的成膜方法。
图5是概略性地表示本实施方式的成膜方法的时间图。首先,通过使第1升降机31和第2升降机32(图2)一起下降,将外舟皿23和内舟皿24从外管21及内管22中抽出。接下来,通过未图示的输送机构,将多片晶圆W收容在内舟皿24的收容部24d内。然后,使第1升降机31和第2升降机32(图2)一同上升,将外舟皿23和内舟皿24装入外管21和内管22内。至此,晶圆W的装入结束(步骤S1)。
接下来,通过排气系统40的真空泵46将外管21内排气成真空状态(步骤S2)。此时,不供给一切气体,也不用压力调整阀48进行压力调整,仅是将外管21内排气成规定的目标真空度。由此,可检查外管21的气密性。在确认了外管21保持气密之后,从气体供给系统50开始通过气体供给管26供给N2气体(步骤S3)。即,将N2气体供给到层1、层3~层5、层7。此外,与此同时,通过压力调整阀48进行压力调整,使外管21内保持在成膜压力PDEP(例如、约8Torr(约1.07kPa))(步骤S4)。
然后,通过加热器12将晶圆W的温度调整至成膜温度TDEP(例如、约350℃)(步骤S5)。在晶圆W的温度稳定在成膜温度TDEP后,通过旋转马达34使内舟皿24旋转(步骤S6)。转速可以是例如1rpm~约160rpm,此外,也可以是1rpm~约30rpm。另外,内舟皿24也可以不旋转。
接下来,从气体供给系统50的配管51a经由气体供给管26a(图4)向层2供给O3气体(步骤S7),从气体供给系统50的配管51c经由气体供给管26c(图4)向层6供给BTBAS气体(步骤S8)。另外,O3气体的供给量可以采用例如从约1slm(标况升每分)~10slm的范围的规定流量,BTBAS气体的供给量可以采用例如从约1sccm(标况毫升每分)~约300sccm的范围的规定流量。但是,这些气体的供给量并不限于上述范围,可根据外管21、内管22的尺寸、使用的晶圆W的尺寸、使用的气体的种类等进行适当调整。
此外,优选使在层1及层3上流动的N2气体的流量与在层2上流动的O3气体的流量相等,在层5及层7流动的N2气体的流量和在层6流动的BTBAS气体的流量相等,理由如下。如上所述,外舟皿23的环状板23b之间的间隔与内舟皿24的圆板24b之间的间隔相等,因而,流路剖面面积在各层上相等。因此,使气体在层1及层3(层5及层7)与层2(层6)之间以相同流量流动,可防止层1~3(5~7)之间出现紊流,避免气体的混合。另外,例如可通过向BTBAS气体中加入N2气体、H2气体或稀有气体等稀释气体,或者,通过使用载气供给BTBAS气体,从而使在层6流动的气体的供给量与在层2流动的气体的供给量相等。这种情况下,能够使在层1~层7流动的各气体的供给量相等。
然后,通过第2升降机32使内舟皿24上下移动来进行分子层成膜(步骤S9)。参照图6A~图6H,说明该成膜过程。另外,在图6A~图6H中,为了便于说明省略了气体供给管、排气件、升降机等。
如图6A所示,首先,将用于保持晶圆W的收容部24d预先位于层4上。从气体供给管26b(图4)喷出的N2气体在层4上流动,因此,晶圆W暴露于N2气体中。接下来,如图6B所示,通过第2升降机32使内舟皿24向上方移动,收容部24d从层4起经由层5,如图6C所示那样到达层6。由于N2气体也在层5上流动,因此,在到达层6之前晶圆W持续被暴露于N2气体中,但是,从气体供给管26c(图4)喷出的BTBAS气体在层6上流动,在此处,晶圆W暴露于BTBAS气体中。因此,BTBAS气体的分子吸附在晶圆W的表面上。
在经过吸附BTBAS气体分子所需要的规定时间之后,使用第2升降机32使内舟皿24向下移动(图6D),收容部24d返回到层4(图6E)。接下来,如图6F所示,使内舟皿24进一步向下方移动,收容部24d从层4处起经由层3,如图6G所示那样到达层2。另外,在收容部24d移动到层5、层4、层3时,晶圆W持续暴露在N2气体中,其间,即便吸附在晶圆W的表面上的剩余BTBAS气体分子发生脱离,也能在晶圆W表面上残留有一层分子层那样的量的BTBAS气体分子。
从气体供给管26a(图4)喷出的O3气体在层2上流动,因而,吸附于晶圆W的表面上的BTBAS气体分子被O3分子氧化,形成一层氧化硅的分子层。
然后,内舟皿24被第2升降机32向上方移动(图6H),收容部24d从层2处起经由层3如图6A所示那样返回层4。此后,反复进行规定次数的上述的过程,得到具有相当于与该次数相对应的分子层的膜厚的氧化硅膜。另外,参照图6~图9说明的一系列工序,能以例如1分钟进行20次(20个循环/分钟)这样的频率进行。此外,内舟皿24的上下移动过程中,如上所述,内舟皿24能够自转,但也可以是例如在晶圆W的收容部24d处于层2和层6时,提高自转转速,在收容部24d处于其它层时,降低自转转速,也可以反过来设置。
接下来,停止BTBAS气体和O3气体的供给(图5的步骤S10),在规定的时间内,使用N2气体对外管21内进行吹扫(步骤S11),使晶圆W的温度下降到待机时的温度TSDB(步骤S12)。然后,停止N2气体的供给(步骤S13),在对外管21内排气,使外管21内到达规定的真空度后,供给N2气体,使外管21内的压力恢复到大气压(步骤S14)。接着,通过第1升降机31及第2升降机32,将外舟皿23和内舟皿24从外管21及内管22中抽出,通过未图示的输送机构将晶圆W取出,结束成膜工艺。
如上说明那样,本发明的实施方式的成膜装置包括:外舟皿23,其具有供BTBAS气体沿水平方向流动的层6和独立于层6设置且供O3气体沿水平方向流动的层2;内舟皿24,其具有基板保持部,该基板保持部用于保持晶圆W并通过沿铅直方向移动而使晶圆W在层6和层2之间进行往复移动。因此,根据本发明的实施方式的成膜装置及使用该成膜装置的成膜方法,不需要经过BTBAS气体的供给、BTBAS气体的吹扫、O3气体的供给以及O3气体的吹扫这一系列工序,仅通过晶圆W的往复运动即可实现分子层成膜。因此,不需要吹扫工序,至少能够将成膜时间缩短吹扫工序所需要的时间那样长的时间。结果,能够提高生产率,降低气体的整体使用量。
此外,由于不需要开关阀来开始、停止BTBAS气体和O3气体的供给,因此能够延长阀的寿命,能够降低成膜装置10的维护频率。此外,这样还能降低制造成本。
此外,由于在层6和层2之间设置有供N2气体水平流动的层3~层5,因此,能够防止BTBAS气体和O3气体的混合,不会妨碍分子层成膜。此外,在层6的上方设置有供N2气体沿水平方向流动的层7,在层2的下方设置有供N2气体水平流动的层1,因此,能够防止BTBAS气体穿过内舟皿24和内管22之间而与在层2流动的O3气体混合。因此,能可靠地进行分子层成膜。
此外,在各层1~层7的体积大致相同的基础上,在各层流动的气体的流量大致相同,因此气体在各层以层流状态流动,结果,能防止气体在各层之间混合。即,几乎不发生O3气体和BTBAS气体的混合,因此,能更可靠地实现分子层成膜。
此外,采用MLD方法,吸附于晶圆W表面的BTBAS分子被O3分子氧化而成膜,因此,仅在两分子共存的区域形成氧化硅膜,能够减少微粒的产生,进而能够提高制造成品率。
此外,作为原料气体的BTBAS气体和作为氧化气体的O3气体分别在层6和层2的受限区域流动,因此,可通过使两种气体高浓度地流动,使气体分子可靠地吸附于晶圆W表面上。即,通过原料气体和氧化气体在外管21内局部流动,能够提高气体利用效率。
此外,由于内舟皿24能够旋转,因此,可以补偿从气体供给管26沿朝向排气件28b、28c的方向产生的气体浓度下降(衰减效应(depletion effect)),能使气体分子均匀地吸附在晶圆W表面上。
此外,成膜装置10是所谓的热壁(hot wall)型成膜装置,也就是可通过配置在外管21外侧的加热器12加热晶圆的成膜装置,因此,晶圆W的温度的面内均匀性良好,在整个晶圆W的表面上同样地发生BTBAS分子被O3分子氧化这样的反应,能够提高面内均匀性和膜质的均匀性。此外,外管21、内管22、外舟皿23及内舟皿24可以采用例如石英(也可根据情况采用SiC)制成,容易清洗。
至此,参照实施方式对本发明进行了说明,但本发明并不限于上述实施方式,还能按照所附的权利要求书进行各种变形和改变。
在上述实施方式中,晶圆W保持在内舟皿24的收容部24d中,但在其它实施方式中,收容部24d也可以保持包含载置有晶圆W的载置区域的基座。图7及图8表示有具有该结构的、本发明的其它实施方式的成膜装置200。如图7所示,成膜装置200在基座27保持在内舟皿24的收容部24d中这一点和随之增大外管21、内管22、外舟皿23及内舟皿24的内径这一点与上述成膜装置10不同,其它结构和成膜装置10实质上相同。如图8所示,基座27具有例如形成为凹部的5个晶圆载置区域27a。晶圆载置区域27a的数量可以适当调整。此外,如能通过收容部24d保持例如5片具有5个晶圆载置区域27a的基座27,则能在一条线上处理25片晶圆。此外,与例如将25片晶圆沿上下方向排列保持的情况相比,成膜装置200具有能够降低装置整体高度这样的优点。由于在基座27的晶圆载置区域27a载置晶圆,因此,优点在于,能消除在通过设在收容部24d上的狭缝保持大直径晶圆时成为问题的晶圆的弯曲(凹凸(sagging))。
此外,在上述实施方式中,说明了使用BTBAS气体和O3气体来进行氧化硅膜的分子层成膜,但也可以使用氧等离子体替代O3气体。为了供给氧等离子体,可以设置氧等离子体生成器替代臭氧生成器51d(图1),对设在氧等离子体生成器内部的规定电极施加例如915MHz、2.45GHz或8.3GHz频率的微波或高频波,生成氧等离子体即可。
此外,也不限于氧化硅膜的分子层成膜,可以通过成膜装置10生成氮化硅膜的分子层成膜。作为生成氮化硅膜的分子层成膜的氮化气体,可以利用氨(NH3)、肼(N2H2)等。
此外,用于生成氧化硅膜、氮化硅膜的分子层成膜的原料气体,不限于BTBAS,也可以使用二氯硅烷(DCS)、六氯乙硅烷(HCD)、三(二甲氨基)硅烷(3DMAS)、四乙基原硅酸盐(TEOS)等。
此外,在本发明的实施方式的成膜装置中,也不限于生成氧化硅膜和氮化硅膜,还可以使用三甲基铝(TMA)和O3或氧等离子体进行氧化铝(Al2O3)的分子层成膜,使用四(二乙基氨基)锆(TEMAZ)和O3或氧等离子体进行氧化锆(ZrO2)的分子层成膜,使用四(乙基甲基氨基)铪(TEMAHF)和O3或氧等离子体进行氧化铪(HfO2)的分子层成膜,使用双(四甲基庚二酮酸)锶(Sr(THD)2)和O3或氧等离子体进行氧化锶(SrO)的分子层成膜,使用(甲基戊二酮酸)双(四甲基庚二酮酸)钛(Ti(MPD)(THD))和O3或氧等离子体进行氧化钛(TiO)的分子层成膜等。
能在内舟皿24上收容例如5~50片的晶圆W,由收容片数和各晶圆W之间间距,确定内舟皿24高度,进而确定外舟皿23、内管22及外管21的高度。
此外,也可以在气体供给管26的附近的环状板23b上设置从环状板23b立起的整流板。例如,若利用该整流板,使从气体供给管26喷出的气体以较大的角度扩散,则可以使气体在短时间内遍布整个晶圆W的表面,能够缩短工艺所需时间。
此外,根据各层1~层7的高度(圆板24b之间的间隔)、气体供给管26和晶圆W边缘之间的距离以及气体种类的不同,气体供给管26的喷出孔26H的数量可以是例如2个或3个以上。此外,也可以针对一个层设置多个气体供给管。
此外,在上述实施方式(及几个变形例)中,开口21b、22b及排气件28b、开口21c、22c及排气件28c分别与层6及层2相对应设置,但在其它实施方式中,除此之外,还可以与层4相对应地设置,也可以与其它层相对应地设置。此外,与排气件28c相连接的排气管44和与排气件28b相连的排气管42合流,但是,在其它实施方式中,还可以另行设置与排气管44相对应的排气系统以及与排气管42相对应的排气系统。此外,也可与其它层相对应地设置其它排气系统。
此外,上述实施方式(及几个变形例)的成膜装置中,使O3气体(层2)和BTBAS气体(层6)在被N2气体流动的层3~层5分离的层2和层6上流动,但也可以是在相邻的两个层中的一个层上流动有O3气体,而在另一个层上流动有BTBAS气体,并使晶圆的收容部24d在这2个层之间往复运动。此外,例如可以是在层3上流动有O3气体,在层4上流动有N2气体,在层5上流动有BTBAS气体。即,通过一个N2气体流动的层将O3气体流动的层和BTBAS气体流动的层分离即可。在这种情况下,通过使收容部24d在层3~层5之间往复运动,能够实现合适的MLD成膜。
此外,本发明的其它实施方式的成膜装置还可以是卧式装置。在这种情况下,反应容器20横向延伸,反应容器20内沿横向隔开规定间隔地配置有内舟皿24的圆板24b和外舟皿23的环状板23b,内舟皿24相对于外舟皿23沿横向往复运动。此外,气体供给管26、排气件28b、28c、排气管42、44以使各气体纵向流动的方式构成。
参照上述实施方式对本发明进行了说明,但是本发明并不限于公开的实施方式,可以在所附的权利要求的范围内进行变形或改变。
本申请基于2008年9月17日向日本特许厅提出申请的2008-238438号主张优先权,在此参照其内容并将其包含于本文中。

Claims (10)

1.一种成膜装置,其包括:
多个第1板状构件,其设置在能气密的圆筒状的容器内,具有开口部,在沿上述容器的中心轴线的第1方向上等间隔排列;
多个第2板状构件,在上述第1方向上以上述等间隔进行排列,能在上述多个第1板状构件具有的上述开口部的内侧进行往复运动,
其中,
由上述多个第1板状构件中的第一对第1板状构件划分出供第1气体沿朝向上述容器的内周面的第2方向流动的第1流路,
由上述多个第1板状构件中的第二对第1板状构件划分出供第2气体沿上述第2方向流动的第2流路,
基板保持在上述多个第2板状构件中的一对第2板状构件之间。
2.根据权利要求1所述的成膜装置,其中,还包括:
将上述第1气体供给到上述第一对第1板状构件之间的第1气体供给部;
将上述第2气体供给到上述第二对第1板状构件之间的第2气体供给部。
3.根据权利要求1所述的成膜装置,其中,
由上述多个第1板状构件中的第三对第1板状构件划分出供第3气体沿上述第2方向流动的第3流路。
4.根据权利要求3所述的成膜装置,其中,
还具有将上述第3气体供给到上述第三对第1板状构件之间的第3气体供给部。
5.根据权利要求1所述的成膜装置,其中,
多个上述基板被保持在上述一对第2板状构件之间。
6.根据权利要求1所述的成膜装置,其中,
在上述容器外侧还具有用于对上述基板进行加热的加热部。
7.根据权利要求1所述的成膜装置,其中,
在上述一对第2板状构件之间保持有基座,在该基座上形成有用来载置一个或多个上述基板的基板载置部。
8.根据权利要求1所述的成膜装置,其中,
还具有将上述多个第2板状构件相对于上述容器进行定位的定位构件;
通过上述定位构件配置上述多个第1板状构件。
9.一种成膜方法,在成膜装置中实施,该成膜装置包括:多个第1板状构件,其设置在能气密的圆筒状的容器内,具有开口部,在沿上述容器的中心轴线的第1方向上等间隔排列;多个第2板状构件,在上述第1方向上以上述等间隔进行排列,能在上述多个第1板状构件具有的上述开口部的内侧进行往复运动,
该成膜方法包括以下步骤:
将基板收容在上述多个第2板状构件中的一对第2板状构件之间的步骤;
使第1气体在上述多个第1板状构件中的第一对第1板状构件之间沿朝向上述容器内周面的第2方向流动的步骤;
使第2气体在上述多个第1板状构件中的第二对第1板状构件之间沿上述第2方向流动的步骤;
通过使上述多个第2板状构件进行往复运动,使上述基板交替暴露于上述第1气体和上述第2气体中的步骤。
10.根据权利要求9所述的成膜方法,其中,
还包括使第3气体在上述多个第1板状构件中的第三对第1板状构件之间在上述第2方向上流动的步骤,
在上述基板暴露于上述第1、第2气体的步骤中,上述基板按顺序暴露于上述第1气体、上述第3气体及上述第2气体中。
CN200910173915A 2008-09-17 2009-09-17 成膜装置及成膜方法 Pending CN101676432A (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
JP2008238438A JP2010073822A (ja) 2008-09-17 2008-09-17 成膜装置、成膜方法、プログラム及びコンピュータ可読記憶媒体
JP2008238438 2008-09-17

Publications (1)

Publication Number Publication Date
CN101676432A true CN101676432A (zh) 2010-03-24

Family

ID=42007467

Family Applications (1)

Application Number Title Priority Date Filing Date
CN200910173915A Pending CN101676432A (zh) 2008-09-17 2009-09-17 成膜装置及成膜方法

Country Status (5)

Country Link
US (1) US20100068383A1 (zh)
JP (1) JP2010073822A (zh)
KR (1) KR20100032328A (zh)
CN (1) CN101676432A (zh)
TW (1) TW201030876A (zh)

Cited By (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN102437071A (zh) * 2010-09-29 2012-05-02 东京毅力科创株式会社 立式热处理装置
CN102433543A (zh) * 2011-12-20 2012-05-02 西安超码科技有限公司 一种多沉积室cvi致密炭/炭坩埚的装置及方法
CN102799083A (zh) * 2012-08-29 2012-11-28 上海宏力半导体制造有限公司 光刻胶去除系统以及光刻设备
CN108573900A (zh) * 2017-03-07 2018-09-25 东京毅力科创株式会社 基板处理装置

Families Citing this family (244)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR101451716B1 (ko) * 2008-08-11 2014-10-16 도쿄엘렉트론가부시키가이샤 성막 방법 및 성막 장치
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
FI20105902A0 (fi) * 2010-08-30 2010-08-30 Beneq Oy Laite
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
KR20140070590A (ko) * 2011-10-11 2014-06-10 가부시키가이샤 히다치 고쿠사이 덴키 기판 처리 장치, 기판 처리 방법, 반도체 장치의 제조 방법 및 기록 매체
KR101364701B1 (ko) * 2011-11-17 2014-02-20 주식회사 유진테크 위상차를 갖는 반응가스를 공급하는 기판 처리 장치
US9748125B2 (en) 2012-01-31 2017-08-29 Applied Materials, Inc. Continuous substrate processing system
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US10276355B2 (en) * 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US20170207078A1 (en) * 2016-01-15 2017-07-20 Taiwan Semiconductor Manufacturing Co., Ltd. Atomic layer deposition apparatus and semiconductor process
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
KR20180070971A (ko) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
KR102457289B1 (ko) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US10886123B2 (en) 2017-06-02 2021-01-05 Asm Ip Holding B.V. Methods for forming low temperature semiconductor layers and related semiconductor device structures
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
KR102401446B1 (ko) 2017-08-31 2022-05-24 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR102630301B1 (ko) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
WO2019103610A1 (en) 2017-11-27 2019-05-31 Asm Ip Holding B.V. Apparatus including a clean mini environment
CN111316417B (zh) 2017-11-27 2023-12-22 阿斯莫Ip控股公司 与批式炉偕同使用的用于储存晶圆匣的储存装置
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
CN111630203A (zh) 2018-01-19 2020-09-04 Asm Ip私人控股有限公司 通过等离子体辅助沉积来沉积间隙填充层的方法
TWI799494B (zh) 2018-01-19 2023-04-21 荷蘭商Asm 智慧財產控股公司 沈積方法
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
CN111699278B (zh) 2018-02-14 2023-05-16 Asm Ip私人控股有限公司 通过循环沉积工艺在衬底上沉积含钌膜的方法
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102501472B1 (ko) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
TWI811348B (zh) 2018-05-08 2023-08-11 荷蘭商Asm 智慧財產控股公司 藉由循環沉積製程於基板上沉積氧化物膜之方法及相關裝置結構
KR20190129718A (ko) 2018-05-11 2019-11-20 에이에스엠 아이피 홀딩 비.브이. 기판 상에 피도핑 금속 탄화물 막을 형성하는 방법 및 관련 반도체 소자 구조
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
CN112292477A (zh) 2018-06-27 2021-01-29 Asm Ip私人控股有限公司 用于形成含金属的材料的循环沉积方法及包含含金属的材料的膜和结构
CN112292478A (zh) 2018-06-27 2021-01-29 Asm Ip私人控股有限公司 用于形成含金属的材料的循环沉积方法及包含含金属的材料的膜和结构
KR20200002519A (ko) 2018-06-29 2020-01-08 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
TW202037745A (zh) 2018-12-14 2020-10-16 荷蘭商Asm Ip私人控股有限公司 形成裝置結構之方法、其所形成之結構及施行其之系統
TW202405220A (zh) 2019-01-17 2024-02-01 荷蘭商Asm Ip 私人控股有限公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
JP2020136677A (ja) 2019-02-20 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための周期的堆積方法および装置
US11482533B2 (en) 2019-02-20 2022-10-25 Asm Ip Holding B.V. Apparatus and methods for plug fill deposition in 3-D NAND applications
TW202044325A (zh) 2019-02-20 2020-12-01 荷蘭商Asm Ip私人控股有限公司 填充一基板之一表面內所形成的一凹槽的方法、根據其所形成之半導體結構、及半導體處理設備
JP2020133004A (ja) 2019-02-22 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材を処理するための基材処理装置および方法
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
KR20200108248A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOCN 층을 포함한 구조체 및 이의 형성 방법
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
KR20200116033A (ko) 2019-03-28 2020-10-08 에이에스엠 아이피 홀딩 비.브이. 도어 개방기 및 이를 구비한 기판 처리 장치
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
KR20200123380A (ko) 2019-04-19 2020-10-29 에이에스엠 아이피 홀딩 비.브이. 층 형성 방법 및 장치
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
JP2020188254A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141003A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 가스 감지기를 포함하는 기상 반응기 시스템
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP7499079B2 (ja) 2019-07-09 2024-06-13 エーエスエム・アイピー・ホールディング・ベー・フェー 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
CN112242296A (zh) 2019-07-19 2021-01-19 Asm Ip私人控股有限公司 形成拓扑受控的无定形碳聚合物膜的方法
TW202113936A (zh) 2019-07-29 2021-04-01 荷蘭商Asm Ip私人控股有限公司 用於利用n型摻雜物及/或替代摻雜物選擇性沉積以達成高摻雜物併入之方法
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
CN112323048B (zh) 2019-08-05 2024-02-09 Asm Ip私人控股有限公司 用于化学源容器的液位传感器
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
KR20210042810A (ko) 2019-10-08 2021-04-20 에이에스엠 아이피 홀딩 비.브이. 활성 종을 이용하기 위한 가스 분배 어셈블리를 포함한 반응기 시스템 및 이를 사용하는 방법
TW202129060A (zh) 2019-10-08 2021-08-01 荷蘭商Asm Ip控股公司 基板處理裝置、及基板處理方法
TW202115273A (zh) 2019-10-10 2021-04-16 荷蘭商Asm Ip私人控股有限公司 形成光阻底層之方法及包括光阻底層之結構
US12009241B2 (en) 2019-10-14 2024-06-11 Asm Ip Holding B.V. Vertical batch furnace assembly with detector to detect cassette
TWI834919B (zh) 2019-10-16 2024-03-11 荷蘭商Asm Ip私人控股有限公司 氧化矽之拓撲選擇性膜形成之方法
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
KR20210050453A (ko) 2019-10-25 2021-05-07 에이에스엠 아이피 홀딩 비.브이. 기판 표면 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
KR20210065848A (ko) 2019-11-26 2021-06-04 에이에스엠 아이피 홀딩 비.브이. 제1 유전체 표면과 제2 금속성 표면을 포함한 기판 상에 타겟 막을 선택적으로 형성하기 위한 방법
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
JP2021097227A (ja) 2019-12-17 2021-06-24 エーエスエム・アイピー・ホールディング・ベー・フェー 窒化バナジウム層および窒化バナジウム層を含む構造体を形成する方法
US11527403B2 (en) 2019-12-19 2022-12-13 Asm Ip Holding B.V. Methods for filling a gap feature on a substrate surface and related semiconductor structures
TW202140135A (zh) 2020-01-06 2021-11-01 荷蘭商Asm Ip私人控股有限公司 氣體供應總成以及閥板總成
US11993847B2 (en) 2020-01-08 2024-05-28 Asm Ip Holding B.V. Injector
US11551912B2 (en) 2020-01-20 2023-01-10 Asm Ip Holding B.V. Method of forming thin film and method of modifying surface of thin film
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
TW202146882A (zh) 2020-02-04 2021-12-16 荷蘭商Asm Ip私人控股有限公司 驗證一物品之方法、用於驗證一物品之設備、及用於驗證一反應室之系統
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
US11781243B2 (en) 2020-02-17 2023-10-10 Asm Ip Holding B.V. Method for depositing low temperature phosphorous-doped silicon
TW202203344A (zh) 2020-02-28 2022-01-16 荷蘭商Asm Ip控股公司 專用於零件清潔的系統
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
KR20210116249A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 록아웃 태그아웃 어셈블리 및 시스템 그리고 이의 사용 방법
KR20210117157A (ko) 2020-03-12 2021-09-28 에이에스엠 아이피 홀딩 비.브이. 타겟 토폴로지 프로파일을 갖는 층 구조를 제조하기 위한 방법
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
US11996289B2 (en) 2020-04-16 2024-05-28 Asm Ip Holding B.V. Methods of forming structures including silicon germanium and silicon layers, devices formed using the methods, and systems for performing the methods
CN111490002B (zh) * 2020-04-21 2023-06-27 錼创显示科技股份有限公司 载盘结构
TW202146831A (zh) 2020-04-24 2021-12-16 荷蘭商Asm Ip私人控股有限公司 垂直批式熔爐總成、及用於冷卻垂直批式熔爐之方法
KR20210132576A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐 나이트라이드 함유 층을 형성하는 방법 및 이를 포함하는 구조
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
TW202147383A (zh) 2020-05-19 2021-12-16 荷蘭商Asm Ip私人控股有限公司 基材處理設備
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
TW202200837A (zh) 2020-05-22 2022-01-01 荷蘭商Asm Ip私人控股有限公司 用於在基材上形成薄膜之反應系統
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202219628A (zh) 2020-07-17 2022-05-16 荷蘭商Asm Ip私人控股有限公司 用於光微影之結構與方法
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
KR20220027026A (ko) 2020-08-26 2022-03-07 에이에스엠 아이피 홀딩 비.브이. 금속 실리콘 산화물 및 금속 실리콘 산질화물 층을 형성하기 위한 방법 및 시스템
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
US12009224B2 (en) 2020-09-29 2024-06-11 Asm Ip Holding B.V. Apparatus and method for etching metal nitrides
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
TW202217037A (zh) 2020-10-22 2022-05-01 荷蘭商Asm Ip私人控股有限公司 沉積釩金屬的方法、結構、裝置及沉積總成
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
TW202235675A (zh) 2020-11-30 2022-09-16 荷蘭商Asm Ip私人控股有限公司 注入器、及基板處理設備
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
KR20230157939A (ko) * 2021-03-19 2023-11-17 가부시키가이샤 코쿠사이 엘렉트릭 기판 보지구, 기판 처리 장치, 반도체 장치의 제조방법 및 프로그램
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate

Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN1712560A (zh) * 2004-06-24 2005-12-28 东京毅力科创株式会社 垂直cvd装置和使用它的cvd方法
CN101154589A (zh) * 2006-09-28 2008-04-02 东京毅力科创株式会社 形成硅氧化膜的成膜方法和装置
CN101192534A (zh) * 2006-11-30 2008-06-04 东京毅力科创株式会社 半导体处理用的成膜装置及其使用方法
CN101399173A (zh) * 2007-09-26 2009-04-01 东京毅力科创株式会社 热处理方法以及热处理装置

Family Cites Families (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7085616B2 (en) * 2001-07-27 2006-08-01 Applied Materials, Inc. Atomic layer deposition apparatus

Patent Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN1712560A (zh) * 2004-06-24 2005-12-28 东京毅力科创株式会社 垂直cvd装置和使用它的cvd方法
CN101154589A (zh) * 2006-09-28 2008-04-02 东京毅力科创株式会社 形成硅氧化膜的成膜方法和装置
CN101192534A (zh) * 2006-11-30 2008-06-04 东京毅力科创株式会社 半导体处理用的成膜装置及其使用方法
CN101399173A (zh) * 2007-09-26 2009-04-01 东京毅力科创株式会社 热处理方法以及热处理装置

Cited By (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN102437071A (zh) * 2010-09-29 2012-05-02 东京毅力科创株式会社 立式热处理装置
CN102433543A (zh) * 2011-12-20 2012-05-02 西安超码科技有限公司 一种多沉积室cvi致密炭/炭坩埚的装置及方法
CN102433543B (zh) * 2011-12-20 2013-08-14 西安超码科技有限公司 一种多沉积室cvi致密炭/炭坩埚的装置及方法
CN102799083A (zh) * 2012-08-29 2012-11-28 上海宏力半导体制造有限公司 光刻胶去除系统以及光刻设备
CN108573900A (zh) * 2017-03-07 2018-09-25 东京毅力科创株式会社 基板处理装置
CN108573900B (zh) * 2017-03-07 2023-10-20 东京毅力科创株式会社 基板处理装置

Also Published As

Publication number Publication date
US20100068383A1 (en) 2010-03-18
TW201030876A (en) 2010-08-16
KR20100032328A (ko) 2010-03-25
JP2010073822A (ja) 2010-04-02

Similar Documents

Publication Publication Date Title
CN101676432A (zh) 成膜装置及成膜方法
CN101748387B (zh) 成膜装置
CN101676433A (zh) 成膜装置及成膜方法
CN101660140B (zh) 成膜装置及成膜方法、基板处理装置
TWI494459B (zh) 成膜裝置、成膜方法及記憶媒體
US20100272895A1 (en) Film deposition apparatus, film deposition method, storage medium, and gas supply apparatus
TWI612582B (zh) 膜形成方法與設備
US9885114B2 (en) Film forming apparatus
KR101506774B1 (ko) 성막 장치, 성막 방법, 회전수의 최적화 방법 및 기억 매체
KR101536779B1 (ko) 복수의 반응 가스를 차례로 기판에 공급하는 성막 장치, 기판 처리 장치, 성막 방법, 및 컴퓨터 판독 가능한 기록 매체
CN101859694B (zh) 成膜装置和成膜方法
CN101660142B (zh) 成膜装置和成膜方法
KR101569944B1 (ko) 성막 장치
KR101387289B1 (ko) 성막 장치 및 성막 방법
CN102251229B (zh) 成膜装置和成膜方法
JP5195676B2 (ja) 成膜装置、基板処理装置、成膜方法及び記憶媒体
KR101373946B1 (ko) 성막 장치
US20140090599A1 (en) Film forming apparatus
US20110155056A1 (en) Film deposition apparatus
CN101748389A (zh) 成膜装置、成膜方法、半导体制造装置及其所用的基座
KR20100028490A (ko) 성막 장치, 기판 처리 장치, 성막 방법 및 기억 매체
CN101665927A (zh) 成膜装置、基板处理装置及成膜方法
CN101736319A (zh) 气体注入装置及成膜装置
CN103184429A (zh) 成膜方法
CN103882406A (zh) 成膜方法

Legal Events

Date Code Title Description
C06 Publication
PB01 Publication
C10 Entry into substantive examination
SE01 Entry into force of request for substantive examination
C02 Deemed withdrawal of patent application after publication (patent law 2001)
WD01 Invention patent application deemed withdrawn after publication

Application publication date: 20100324