JP2010073822A - 成膜装置、成膜方法、プログラム及びコンピュータ可読記憶媒体 - Google Patents

成膜装置、成膜方法、プログラム及びコンピュータ可読記憶媒体 Download PDF

Info

Publication number
JP2010073822A
JP2010073822A JP2008238438A JP2008238438A JP2010073822A JP 2010073822 A JP2010073822 A JP 2010073822A JP 2008238438 A JP2008238438 A JP 2008238438A JP 2008238438 A JP2008238438 A JP 2008238438A JP 2010073822 A JP2010073822 A JP 2010073822A
Authority
JP
Japan
Prior art keywords
gas
plate
members
film forming
forming apparatus
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Withdrawn
Application number
JP2008238438A
Other languages
English (en)
Inventor
Hisashi Kato
寿 加藤
Yasushi Takeuchi
靖 竹内
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Tokyo Electron Ltd
Original Assignee
Tokyo Electron Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Tokyo Electron Ltd filed Critical Tokyo Electron Ltd
Priority to JP2008238438A priority Critical patent/JP2010073822A/ja
Priority to US12/559,575 priority patent/US20100068383A1/en
Priority to KR1020090087282A priority patent/KR20100032328A/ko
Priority to TW098131143A priority patent/TW201030876A/zh
Priority to CN200910173915A priority patent/CN101676432A/zh
Publication of JP2010073822A publication Critical patent/JP2010073822A/ja
Withdrawn legal-status Critical Current

Links

Images

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45544Atomic layer deposition [ALD] characterized by the apparatus
    • C23C16/45546Atomic layer deposition [ALD] characterized by the apparatus specially adapted for a substrate stack in the ALD reactor
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/20Deposition of semiconductor materials on a substrate, e.g. epitaxial growth solid phase epitaxy
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45544Atomic layer deposition [ALD] characterized by the apparatus
    • C23C16/45548Atomic layer deposition [ALD] characterized by the apparatus having arrangements for gas injection at different locations of the reactor for each ALD half-reaction
    • C23C16/45551Atomic layer deposition [ALD] characterized by the apparatus having arrangements for gas injection at different locations of the reactor for each ALD half-reaction for relative movement of the substrate and the gas injectors or half-reaction reactor compartments
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • General Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Chemical Vapour Deposition (AREA)
  • Formation Of Insulating Films (AREA)

Abstract

【課題】原料ガスの混合を十分に低減して適切な分子層堆積を実現すると共に、スループットを向上し得る成膜装置及び成膜方法を提供する。
【解決手段】成膜装置10は、気密可能な円筒状の容器21内に設けられ、開口部を有し、容器の中心軸に沿った第1の方向に一の間隔で配列される複数の第1板状部材23bと、第1の方向に一の間隔で配列され、複数の第1板状部材23bが有する開口部の内側を往復運動可能な複数の第2板状部材24bとを備える。複数の第1板状部材23bのうち第1の一対の第1板状部材23bにより、容器の内周面に向かう第2の方向に第1のガスが流れる第1の流路が画成され、複数の第1板状部材23bのうち第2の一対の第1板状部材23bにより、第2の方向に第2のガスが流れる第2の流路が画成され、複数の第2板状部材24bのうち一対の第2板状部材24bの間に基板が保持される。
【選択図】図2

Description

本発明は、互いに反応する少なくとも2種類の原料ガスを順番に基板の表面に供給しかつこの供給サイクルを多数回実行することにより反応生成物の層を多数積層して薄膜を形成する成膜装置、成膜方法、並びにこの成膜方法を成膜装置に実施させるプログラム及びこれを記憶したコンピュータ可読記憶媒体に関する。
半導体デバイスの回路パターンの更なる微細化に伴い、半導体デバイスを構成する種々の膜についても、更なる薄膜化および均一化が要求されている。このような要求に応える成膜方法として、膜厚を高い精度で制御することができ、優れた均一性を実現することができる、いわゆる分子層成膜法(原子層成膜法とも言う)が知られている。
この成膜方法においては、基板が収容された反応容器内に第1の原料ガスを供給して基板表面に第1の原料ガスの分子を吸着させ、第1の原料ガスを反応容器からパージした後に、反応容器に第2の原料ガスを供給して基板表面に第2の原料ガスの分子を吸着させることにより、基板表面で両原料ガス分子が反応して反応生成物の一分子層が形成される。この後、第2の原料ガスを反応容器からパージし、これまでの工程を繰り返すことにより、所定の膜厚を有する膜が堆積される。第1の原料ガスと第2の原料ガスとの交互供給により、基板表面に吸着した分子が反応して一分子層ごとに膜が形成されるため、分子層レベルでの膜厚制御および膜厚均一性を実現することが可能となる。
このような成膜方法は、例えば、特許文献1に記載の成膜装置により実施された例が知られている(特許文献1)。
特許文献1に開示される原子層成膜装置は、互いに一体的に接続される2以上の堆積領域に分割される堆積チャンバと、当該堆積チャンバ内に配置されるウエハサポートであって相互に接続される2以上の堆積領域の間で移動可能なウエハサポートとを備えている。2以上の堆積領域は、アパーチャにより互いに接続されている。このアパーチャは、ウエハサポートが通過するのを許容するに十分なサイズを有しており、2以上の堆積領域内で堆積ガスが混ざるのを最小限化するようにされている。また、特許文献1には、2以上の堆積領域の間においてアパーチャ付近で堆積ガスが混ざるのを最小限化するために、不活性ガスを層流状に供給しても良いと記載されている。
米国特許第7085616号明細書
しかし、この技術分野の当業者にとって、チャンバ内のガスの流れを制御するのは容易でないことは一般に良く知られており、この知見を元に特許文献1を検討すれば、アパーチャによって堆積ガスが混ざるのを十分に低減できないことは明らかである。また、不活性ガスをアパーチャ付近に供給したところで、現実に層流が形成されるかを確認することは困難であり、不活性ガスが層流となって堆積ガスの混合を最小限化できるかは、不明である。また、特許文献1は、枚葉式の成膜装置を開示するに過ぎず、通常の堆積に比べてプロセスに長い時間を要する原子層堆積のスループットを改善することについては何ら記載していない。
本発明は、このような事情に鑑み、原料ガスの混合を十分に低減して適切な分子層堆積を実現すると共に、分子層堆積のスループットを向上できるように構成される成膜装置、成膜方法、並びにこの成膜方法を成膜装置に実施させるプログラム及びこれを記憶するコンピュータ可読記憶媒体を提供することを目的とする。
上記の目的を達成するため、本発明の第1の態様は、気密可能な円筒状の容器内に設けられ、開口部を有し、容器の中心軸に沿った第1の方向に一の間隔で配列される複数の第1板状部材と、第1の方向に一の間隔で配列され、複数の第1板状部材が有する開口部の内側を往復運動可能な複数の第2板状部材とを備え、複数の第1板状部材のうち、第1の一対の第1板状部材により、容器の内周面に向かう第2の方向に第1のガスが流れる第1の流路が画成され、複数の第1板状部材のうち、第2の一対の第1板状部材により、第2の方向に第2のガスが流れる第2の流路が画成され、複数の第2板状部材のうち、一対の第2板状部材の間に基板が保持される成膜装置を提供する。
本発明の第2の態様は、第1の態様の成膜装置であって、第1の一対の第1板状部材の間に第1のガスを供給する第1のガス供給部と、第2の一対の第1板状部材の間に第2のガスを供給する第2のガス供給部と、を更に備える成膜装置を提供する。
本発明の第3の態様は、第1又は第2の態様の成膜装置であって、複数の第1板状部材のうち、第3の一対の第1板状部材により、第2の方向に第3のガスが流れる第3の流路が画成される成膜装置を提供する。
本発明の第4の態様は、第3の態様の成膜装置であって、第3の一対の第1板状部材の間に第3のガスを供給する第3のガス供給部を更に備える成膜装置を提供する。
本発明の第5の態様は、第1から第4の態様のいずれかの成膜装置であって、複数の基板が一対の第2板状部材の間に保持される成膜装置を提供する。
本発明の第6の態様は、第1から第9の態様のいずれかの成膜装置であって、容器の外側に基板を加熱する加熱部を更に備える成膜装置を提供する。
本発明の第7の態様は、第1から第6の態様のいずれかの成膜装置であって、上記の一対の第2板状部材の間に、一又は複数の基板が載置される基板載置部が形成されたサセプタが保持される成膜装置を提供する。
本発明の第8の態様は、第1から第7の態様のいずれかの成膜装置であって、複数の第2板状部材を容器に対して位置決めする位置決め部材を更に備え、複数の第1板状部材が位置決め部材を介して配置される成膜装置を提供する。
本発明の第9の態様は、気密可能な円筒状の容器内に設けられ、開口部を有し、容器の中心軸に沿った第1の方向に一の間隔で配列される複数の第1板状部材と、第1の方向に一の間隔で配列され、複数の第1板状部材が有する開口部の内側を往復運動可能な複数の第2板状部材とを備える成膜装置において実施される成膜方法を提供する。この成膜方法は、複数の第2板状部材のうち、一対の第2板状部材の間に基板を収容するステップと、複数の第1板状部材のうちの第1の一対の第1板状部材の間に、容器の内周面に向かう第2の方向に第1のガスを流すステップと、複数の第1板状部材のうちの第2の一対の第1板状部材の間に、第2の方向に第2のガスを流すステップと、複数の第2板状部材を往復運動させることにより、基板を第1のガスと第2のガスに交互に晒すステップとを含む。
本発明の第10の態様は、第9の態様の成膜方法であって、複数の第1板状部材のうちの第3の一対の第1板状部材の間に、第2の方向に第3のガスを流すステップを更に含み、晒すステップにおいて、基板が、第1のガス、第3のガス、及び第2のガスの順に晒される成膜方法を提供する。
本発明の第11の態様は、第9又は第10の態様の成膜方法を第1から第8の態様のいずれかの成膜装置に実施させるプログラムを提供する。
本発明の第12の態様は、第9又は第10の態様の成膜方法を第1から第8の態様のいずれかの成膜装置に実施させるプログラムを記憶するコンピュータ可読記憶媒体を提供する。
本発明の実施形態によれば、ガスのパージに要する時間の短縮を通してプロセス所要時間を短縮することが可能な成膜装置、これを用いた成膜方法、並びにこの成膜方法を成膜装置に実施させるプログラム及びこれを記憶するコンピュータ可読記憶媒体を提供する。
以下、添付の図面を参照しながら、本発明の限定的でない例示の実施形態について説明する。添付の全図面中、同一または対応する部材または部品については、同一または対応する参照符号を付し、重複する説明を省略する。また、図面は、部材又は部品間の相対比を示すことを目的とせず、したがって、具体的な寸法は、以下の限定的でない実施形態に照らし、当業者により決定されるべきものである。
図1は、本発明の一実施形態による成膜装置を示す概略図である。図示のとおり、本実施形態による成膜装置10は、縦型の反応容器20と、反応容器20内のウエハボートを駆動する駆動機構30と、反応容器20内を排気する排気システム40と、反応容器20内へ導入するガスの供給源であるガス供給システム50と、反応容器20内のウエハを加熱する加熱ヒータ12と、成膜装置10の各構成要素を制御し、成膜動作を制御する制御器14と、を有する。
まず、反応容器20について、図2から図4を参照しながら説明する。図2に示すとおり、反応容器20は、上部が封止され、下部においてフランジ21aに取り付けられた縦型の略円筒状の外管21と、外管21の内側に配置された円筒状の内管22と、内管22の内側に配置されたアウタボート23と、アウタボート23の内側に配置され、ウエハWを保持するインナボート24と、内管22の内壁に沿って延びて横方向にガスを吐出する複数のガス供給管26と、を有している。
アウタボート23は、複数の支柱23aと、これらの支柱23aによって上下方向に略等間隔に配置された8つの環状板23bとを有している。環状板23bは、後述するように、内管22の内側で内管22の内周面に向かう方向(図示の例では横方向)に流れるガスの流れを整える整流板として機能する。したがって、環状板23bの幅(外径と内径との差の2分の1)は、ウエハWのサイズ並びに外管21、内管22、アウタボート23及びインナボート24の内径を考慮しつつ、整流板としての機能を発揮することができるように決定することが好ましい。なお、上下に隣り合う2つの環状板23bにより一つの階層が形成され、合計7つの階層が形成されている。以下、説明の便宜上、これらの階層を下から層1、層2、・・・層7と称する。
また、アウタボート23は、支柱23aの下端部において台座23cに取り付けられ、台座23cはフランジ部25上に取り付けられている。フランジ部25は、第1エレベータ31に取り付けられている。第1エレベータ31は、駆動システム30の駆動部33により上下に駆動される。これにより、フランジ部25は、図示しないシール部材を介して フランジ21aに気密に押接され、外管21の内部を気密に維持することが可能となる。
インナボート24は、複数の支柱24aと、これらの支柱24aによって上下方向に略等間隔に配置された8つの円板24bとを有している。8つの円板24bのうち上から3番目の円板24bと4番目の円板24bとの間の空間は、ウエハWの収容部24dとして機能する。具体的には、収容部24dにおいては、支柱24aに上下方向にほぼ等間隔に複数のスリット(図示せず)が形成され、これらのスリットによってウエハWが支持される。スリットの間隔は、ウエハWの収容部24dに収容されるウエハWの枚数や使用する原料ガスなどにより決定して良い。また、収容部24dには1枚のウエハWが収容されても良い。
また、インナボート24の最とも下の円板24bの略中央には貫通孔が形成され、下から2番目の円板24bの裏面に凹部(図示せず)が形成されている。インナボート24は、上記の貫通孔を通り抜け、凹部に当接する支持棒24cによって支持されている。また、支持棒24cは、フランジ部25の略中央に形成された貫通孔を通り抜けて下方に伸び、円板部材25aを介して第2のエレベータ32により保持されている。これにより、インナボート24が内管22及び外管21に対してほぼ中央に位置決めされる。フランジ部25と円板部材25aとの間にはベローズシール25bが取り付けられ、これにより、外管21に対する気密性を維持すると共に、支持棒24cひいてはインナボート24の上下動を許容する。また、円板部材25aは、回転導入部としての機能も有している。すなわち、円板部材25aは、例えば磁性体シール(図示せず)により気密性を維持しつつ、支持棒24cを、円板部材25aの略中央に形成された貫通孔を通して延在させている。支持棒24は、円板部材25aの下部において回転モータ34に接続され、これにより、インナボート24は支持棒24cを中心として回転することができる。
第2のエレベータ32は、駆動部34によって、第1のエレベータ31と共に、又は独立に上下動することができる。すなわち、図3に示すように、第1のエレベータ31と第2のエレベータ32とが共に上下に動くことにより、インナボート24は、アウタボート23と共に上下動することができる。このようにして、インナボート24とアウタボート23を内管22内へロード/アンロードすることができる。また、後述するように、第2のエレベータ32が第1のエレベータ31と相対的に上下に動くことにより、インナボート24は、アウタボート23と相対的に上下動することができる。
ここで、図4を参照しながら、インナボート24とアウタボート23との位置関係を説明する。図示のとおり、インナボート24とアウタボート23は、インナボート24の円板24bとアウタボート23の環状板23bとが互いに同心円状に位置することができるように配置されている。また、円板24bと環状板23bとの間隔(円板24bの外径と環状板23bの内径との差)は、これらが互いに接触しない程度に小さいと好ましい。本実施形態においては、インナボート24とアウタボート23は、同一のフランジ部25上に取り付けられているため(図2又は図3参照)、インナボート24(円板24b)とアウタボート23(環状板23b)の位置合わせを精度良く行うことができる。
なお、環状板23bを支柱23aで支持することによりアウタボート23を構成しているが、環状板23bを例えば内管22の内壁に所定の間隔で取り付けることも可能である。また、環状板23bを外管21の内壁に取り付けても構わない。ただし、円板24bと環状板23bの位置合わせ精度の点から、環状板23bを含むアウタボート23を、インナボート24が位置決めされるフランジ部25に配置することが好ましい。
また、図3に最も適切に図示されるように、インナボート24の円板24b間の間隔は、アウタボート23の環状板23b間の間隔と略同一に設定されている。したがって、円板24bと環状板23bが同一の高さに位置したとき、各環状板23bの内周で画成される開口は、対応する円板24bによって実質的に塞がれる。すなわち、各層1〜7におけるガスの流れは、整流板として機能する環状板23bだけでなく、円板24bによっても画定される。この構成により、層間でのガスの混合を十分に避けることが可能となる。なお、環状板23bの内径と円板24bの外径との差は、例えば、0.1mmから10mmの範囲に収まると好ましい。この差が0.1mmより小さいと、円板24bが環状板23bに衝突し、インナボート24を上下動することができなくなり、また、インナボート24やアウタボート23が破損する虞がある。また、円板24bが環状板23bに接触すると、パーティクルが発生してウエハWが汚染される虞がある。一方、上記の差が10mmより大きいと、円板24bと環状板23bとの隙間を通してガスが流通し、層間でのガスが混合してしまうため、適切な分子層堆積を行うことができなくなる虞がある。換言すると、環状板23bの内径と円板24bの外径との差は、円板24bが環状板23bに接触しない範囲で可能な限り小さいと好ましく、円板24bと環状板23bの加工精度、インナボート24とアウタボート23の設置精度、さらにはガス供給量や圧力といった成膜条件を考慮して決定して良い。したがって、この差は例えば0.1mmから5mmの範囲にあっても良い。
再び図2を参照すると、反応容器20には、外管21と内管22とを気密に貫通し、内管22の内側で上方に屈曲し、内管22の内壁に沿って延びる7本のガス供給管26が設けられている。これら7本のガス供給管26は、アウタボート23の各層1〜7に対応する長さを有しており、上端が封止されていると共に、上端付近の側壁に吐出孔26Hを有している(図4参照)。この構成により、ガス供給管26は、対応する層1〜7に向けてガスを吐出し、層1〜7に水平方向に流れるガス流を形成することができる。
ガス供給管26に接続されるガス供給システム50は、図1に示すように、ガス供給源50a、50b、50cと、これらとガス供給管26とをそれぞれ繋ぐ配管51a、51b、51cに設けられたガス制御器54a、54b、54cとを有している。ガス制御器54cは、開閉バルブ52cと質量流量制御器(MFC)53cとを有している。また、ガス制御器54a及び54bについては参照符号を省略するが、ガス制御器54cと同様の構成を有している。これに限定されないが例えば、ガス供給源50aは、酸素(O)ガスが充填されたガスシリンダであってよく、配管51aには、Oガスからオゾン(O)ガスを生成するオゾン生成器51dが設けられている。
配管51aは、層2に対応するガス供給管26a(図4)に接続されており、したがって、層2にはOガスが供給される。また、配管51bは、層4に対応するガス供給管26bに接続されている。ガス供給源50bは、例えば窒素(N)ガスが充填されたガスシリンダであってよく、これにより、層4に対してNガスが供給される。さらに、配管51cは、層6に対応するガス供給管26cに接続されており、ガス供給源50cは、例えばビスターシャルブチルアミノシラン(BTBAS)が充填されたBTBAS供給器であってよく、これにより、層6に対してBTBASガスが供給される。
なお、層1、3、5、7に対応するガス供給管26に接続される配管等については図示を省略しているが、これらのガス供給管26に対し、層4に対応するガス供給管26に接続された配管等と同一の構成が設けられている。これにより、層1、3、5、7に対してもNガスを供給することができる。
図2(又は図3)を参照すると、内管22に開口22bが形成され、外管21に開口21bが形成されている。開口22b及び開口21bは、BTBASガスが流れる層6に対応する高さにあり、ガス供給管26と対称的な位置にある。また、外管21の外側において、開口21bに対して気密に取り付けられた排気ポート28bが設けられ、排気ポート28bには後述する排気システム40の排気管42が接続されている。一方、Oガスが流れる層2に対応する高さであって、ガス供給管26と対称的な位置において、内管22に開口22cが形成され、外管21に開口21cが形成されている。また、外管21の外側において、開口21cに対して気密に取り付けられた排気ポート28cが設けられ、排気ポート28cには排気管44が接続されている。排気管44は、図1に示すように、排気管42と合流している。
ここで、排気ポート28b(28c)、開口22b(22c)、及び開口23b(23c)の位置関係を再び図4を参照しながら説明する。なお、図4では、これらの位置関係を図示するため、層2に相当する高さで切り取った断面図と、層6に相当する高さで切り取った断面図とを重ね合わせてある。図示の通り、排気ポート28b、開口22b、及び開口23bは、インナボート24を間に介在させて、Oガスを吐出するガス供給管26aと向かい合っている。また、排気ポート28c、開口22c、及び開口23cは、インナボート24を間に介在させて、BTBASガスを吐出するガス供給管26cと向かい合っている。このような構成により、Oガスは、図4中の一点鎖線の矢印のように概ね流れ、BTBASガスは、図4中の実線の矢印のように概ね流れる。このような流れにより、例えば、内管22と外管21との間を介した両原料ガスの混合を低減することができる。
再び図1を参照すると、排気管44には、外管21内の圧力を調整するための圧力調整バルブ48が設けられ、また、排気管44は例えばドライポンプなどの真空ポンプ46に接続されている。外管21内に気密に圧力ゲージ(図示せず)が挿入されており、これにより、外管21内の圧力が測定され、測定された圧力に基づいて、圧力調整バルブ48によって外管21内の圧力が制御される。
また、図1に示すように、外管21を取り囲むように配置された加熱ヒータ12は、電源13と接続されている。例えば内管22とアウタボート23との間に挿入された熱電対等(図示せず)により、間接的にウエハWの温度が測定され、測定された温度に基づいて、電源13から加熱ヒータ12へ供給される電力が調整され、これにより、ウエハWの温度が制御される。なお、加熱ヒータ12は、タンタル線などにより構成して良い。また、加熱ヒータ12は多段に構成して良く、多段の加熱ヒータを独立に制御すれば、インナボート24に保持されるウエハWの温度の面内均一性をより向上することが可能となる。
また、ガス制御器54a、54b、54cによるガス供給制御、エレベータ31、32の上下動の制御、回転モータ34によるインナボート24の回転制御、圧力調整バルブ48による外管21内の圧力の制御、加熱ヒータ12によるウエハWの温度制御などは、制御部14により行われる。制御部14は、例えばコンピュータを含み、所定のプログラムに基づいて成膜装置10を制御してMLD成膜を実行させる。このプログラムは、例えば後述する成膜方法のステップを実行させるための命令群を含んでいる。また、制御部14には、レシピを表示したり、プロセス状況を表示したりする表示部14aと、プログラムやプロセスパラメータを記憶する記憶部14bと、表示部14aとともに利用され、プログラムの編集やプロセスパラメータの変更に利用されるインターフェイス部14cとが接続されている。さらに、記憶部14bには、プログラムが格納されたコンピュータ可読媒体14eとの間でプログラムの入出力を行う入出力装置14dが接続されている。これにより、インターフェイス部14cによる指示に応じて、コンピュータ可読媒体14eから所定のプログラムやレシピが記憶部14bへダウンロードされる。ダウンロードしたプログラムやレシピにより、後述する成膜方法が実施される。なお、コンピュータ可読記憶媒体としては、ハードディスク(可搬型ハードディスクを含む)、CD、CD−R/RW、DVD−R/RW、フレキシブルディスク、USBメモリ、半導体メモリなどであってよい。また、プログラムは通信回線を通して記憶部14bへダウンロードしてもよい。
次に、本発明の実施形態による成膜装置10において行われる、本発明の実施形態による成膜方法について、図5から図8と、図1及び図2とを参照しながら説明する。
図5は、本実施形態による成膜方法を概略的に示すタイムチャートである。まず、第1のエレベータ31と第2のエレベータ32(図2)とを共に下げることにより、アウタボート23及びインナボート24を外管21及び内管22からアンロードする。次いで、図示しない搬送機構により、複数枚のウエハWをインナボート24の収容部24dに収容する。この後、第1のエレベータ31と第2のエレベータ32(図2)とを共に上げることにより、アウタボート23及びインナボート24を外管21及び内管22内にロードする。以上により、ウエハWのロードが完了する(ステップS1)。
次に、排気システム40の真空ポンプ46により、外管21内を真空に排気する(ステップS2)。このとき、ガスは一切供給せずに、圧力調整バルブ48による圧力調整も行わずに、所定の到達真空度まで排気を行う。これにより、外管21の気密性がチェックされる。外管21が気密に維持されることが確認された後、ガス供給システム50から、ガス供給管26を通したNガスの供給を開始する(ステップS3)。すなわち、Nガスが層1、3〜5、7に対して供給される。また、これと同時に、圧力調整バルブ48による圧力調整を行い、外管21内が成膜圧力PDEP(例えば、約8Torr(約1.07kPa))に維持される(ステップS4)。
また、加熱ヒータ12により、ウエハWの温度が成膜温度TDEP(例えば、約350℃)に調整される(ステップS5)。ウエハWの温度が成膜温度TDEPで安定した後、回転モータ34によりインナボート24を回転する(ステップS6)。回転数は、例えば1rpmから約160rpmであって良く、また、1rpmから約30rpmであっても良い。なお、インナボート24を回転しなくても良い。
次いで、ガス供給システム50の配管51aからガス供給管26a(図4)を通してOガスを層2へ供給し(ステップS7)、ガス供給システム50の配管51cからガス供給管26c(図4)を通してBTBASガスを層6へ供給する(ステップS8)。なお、Oガスの供給量は、例えば約1slm(標準立方メートル)から10slmの範囲の所定の流量とすることができ、BTBASガスの供給量は、例えば約1sccm(毎分標準立方センチメートル)から約300sccmの範囲の所定の流量とすることができる。ただし、これらのガスの供給量は、上記の範囲に限らず、外管21や内管22のサイズ、使用するウエハWのサイズ、使用するガスの種類に応じて適宜調整して良い。
また、層1及び3に流れるNガスの流量を層2に流れるOガスの流量と等しくし、層5及び7に流れるNガスの流量を層6に流れるBTBASガスの流量と等しくすると、以下の理由により好ましい。上述の通り、アウタボート23の環状板23b間の間隔と、インナボート24の円板24b間の間隔とが等しいため、流路断面積は各層で等しくなっている。したがって、層1及び3(層5及び7)と層2(層6)とに同一流量でガスを流すことにより、層1〜3(5〜7)の間での乱流を防止することができ、ガスの混合が回避される。なお、例えばBTBASガスにNガス、Hガス、又は希ガスなどの希釈ガスを加えることにより、又は、BTBASガスをキャリアガスを用いて供給することにより、層6を流れるガスの供給量と、層2を流れるガスの供給量と等しくしても良い。この場合、層1〜7に流れるそれぞれのガスの供給量を等しくすることができる。
この後、第2のエレベータ32によりインナボート24を上下動することにより、分子層成膜が行われる(ステップS9)。図6から図9を参照しながら、この成膜を説明する。 なお、図6から図9においては、説明の便宜上、ガス供給管、排気ポート、エレベータなどは省略している。
図6(a)に示すように、まず、ウエハWを保持する収容部24dは、予め層4に位置されている。層4には、ガス供給管26b(図4)から吐出されるNガスが流れているため、ウエハWはNガスに晒されている。次に、図6(b)に示すように、インナボート24が第2のエレベータ32により上方へ移動し、収容部24dは層4から層5を経て、図7(a)に示すように、層6に到達する。層5にもNガスが流れているため、層6に到達するまではウエハWは継続してNガスに晒されているが、層6にはガス供給管26c(図4)から吐出されるBTBASガスが流れており、ここでウエハWは、BTBASガスに晒されることとなる。このため、ウエハWの表面にBTBASガスの分子が吸着する。
BTBASガス分子の吸着に要する所定の時間が経過した後、第2のエレベータ32によりインナボート24が下方へ移動し(図7(b))、収容部24dは層4へ戻る(図8(a))。次いで、図8(b)に示すように、インナボート24が更に下方へ移動し、収容部24dは層4から層3を経て、図9(a)に示すように、層2に到達する。なお、収容部24dが層5、層4、層3と移動する際には、ウエハWは継続してNガスに晒されており、この間に、ウエハWの表面に吸着した余剰のBTBASガス分子が離脱し、ウエハW表面には一分子層分のBTBASガス分子が吸着されることとなる。
層2にはガス供給管26a(図4)から吐出されたOガスが流れているため、ウエハWの表面に吸着していたBTBASガス分子がO分子により酸化されて、酸化シリコンの一分子層が形成される。
この後、インナボート24が第2のエレベータ32により上方へ移動し(図9(b))、収容部24dは層2から層3を経て、図6(a)に示したように、層4に戻る。これ以降、以上の過程が所定回数繰り返され、その回数に対応した分子層に相当する膜厚を有する酸化シリコン膜が得られる。なお、図6から図9を参照しながら説明した一連の工程は、例えば1分間に20回(20サイクル/分)といったレートで行うことが可能である。また、インナボート24の上下動中には、上述のとおり、インナボート24は自転することができるが、例えば、ウエハWの収容部24dが層2と層6にあるときに、回転数を上げ、他の層にあるときに回転数を下げるようにしても良いし、この逆であっても良い。
続いて、BTBASガスとOガスの供給を停止し(ステップS10)、所定の期間、Nガスにより外管21内をパージする(ステップS11)と共に、ウエハWの温度を待機時の温度TSDBまで下げる(ステップS12)。さらに、Nガスの供給を停止し(ステップS13)、所定の到達真空度まで外管21内を排気した後、Nガスを供給して外管21内の圧力を大気圧に戻す(ステップS14)。以下、第1のエレベータ31及び第2のエレベータ32により、アウタボート23とインナボート24を外管21及び内管22からアンロードし、図示しない搬送機構によりウエハWを取り出して、成膜プロセスを終了する。
以上説明したように、本発明の実施形態による成膜装置は、BTBASガスが水平方向に流れる層6と、層6と別個に設けられ、Oガスが水平方向に流れる層2とを提供するアウタボート23と、ウエハWを保持し、鉛直方向に移動することによりウエハWを層6と層2との間で往復運動させる基板保持部を含むインナボート24とを有している。このため、本発明の実施形態による成膜装置及びこれを用いた成膜方法によれば、BTBASガスの供給、BTBASガスのパージ、Oガスの供給、およびOガスのパージといった一連の工程を経ることなく、ウエハWの往復運動のみにより分子層成膜を実現することができる。したがって、パージ工程が不要となり、少なくともパージ工程に要していた時間の分、成膜時間を短縮することができる。この結果、スループットを高くすることができ、ガスの全体の使用量を低減することもできる。
また、BTBASガスやOガスの供給の開始/停止のためのバルブのオンオフが不要であるため、バルブの寿命を延ばすことができ、成膜装置10のメンテナンス頻度を低減できる。そして、これらを通して製造コストを低減することができる。
また、層6と層2の間には、Nガスが水平方向に流れる層3〜5が設けられているため、BTBASガスとOガスとの混合が防止され、分子層成膜が阻害されることがない。さらに、層6の上方にNガスが水平方向に流れる層7が設けられ、層2の下方にNガスが水平方向に流れる層1が設けられているため、BTBASガスがインナボート24と内管22との間を通って、層2を流れるOガスと混合することが防止される。このため、分子層成膜が確実に行われる。
また、各層1〜7の体積が略同一のもとで各層に流れるガスの流量が略同一であるため、各層において層流状態でガスが流れ、その結果、層間でのガスの混合が防止される。すなわち、OガスとBTBASガスの混合は殆ど生じることがなく、したがって、分子層成膜が更に確実に実現される。
また、MLDによれば、ウエハWの表面に吸着したBTBAS分子がO分子により酸化して成膜されるため、両分子が共存する領域でのみ酸化シリコン膜が成膜されるため、パーティクルの発生を低減することができ、ひいては製造歩留まりを向上することが可能となる。
さらに、原料ガスであるBTBASガスと、酸化ガスであるOガスとが、それぞれ層6と層2という限られた領域を流れるため、これらのガスを高濃度に流すことにより、ウエハW表面に確実にガス分子を吸着させることが可能となる。すなわち、外管21内において局所的に原料ガスと酸化ガスを流すことにより、ガス利用効率を向上することができる。
また、インナボート24は回転することができるため、ガス供給管26から排気ポート28b、28cに向かう方向に沿って生じるガス濃度の低下(逓減効果(depletion effect))を補って、ウエハWの表面に均一にガス分子を吸着させることが可能となる。
さらに、成膜装置10は、外管21の外側に配置された加熱ヒータ12によりウエハWを加熱する、いわゆるホットウォール型の成膜装置であるため、ウエハWの温度の面内均一性が良好であり、BTBAS分子のO分子による酸化がウエハWの全面で一様に生じ、面内均一性及び膜質の均一性を向上することができる。また、外管21、内管22、アウタボート23、及びインナボート24は、例えば石英(場合によってはSiC)で作製することができるため、洗浄が容易である。
以上、実施形態を参照しながら本発明を説明したが、本発明は上記の実施形態に限定されることなく、添付の特許請求の範囲に照らし種々の変形及び変更が可能である。
上記の実施形態においては、インナボート24の収容部24dにウエハWが保持されていたが、他の実施形態においては、収容部24dは、ウエハWが載置される載置領域を含むサセプタを保持しても良い。このような構成を有する、本発明の他の実施形態による成膜装置200を図10及び図11に示す。図10に示すとおり、成膜装置200は、インナボート24の収容部24dによりサセプタ27が保持されている点と、これに伴い、外管21、内管22、アウタボート23及びインナボート24の内径が増大している点とにおいて上述の成膜装置10と相違し、他の構成において成膜装置10と同一である。サセプタ27は、図11に示すように、例えば凹部として形成される5つのウエハ載置領域27aを有している。ウエハ載置領域27aの数は適宜調整して良いが、例えば5つのウエハ載置領域27aを有し、5枚のサセプタ27を収容部24dに保持可能とすれは、一ランで25枚のウエハを処理することが可能となる。また、成膜装置200は、例えば25枚のウエハを上下方向に配列して保持する場合に比べて、全体の高さを低くすることができるという利点を有している。さらに、サセプタ27のウエハ載置領域27aにウエハが載置されるため、大口径ウエハを収容部24dに設けられたスリットにより保持する場合に問題となるウエハの撓み(サギング)を解消することができるという利点を有している。
また、上述の実施形態においては、BTBASガスとOガスを用いた酸化シリコン膜の分子層成膜を説明したが、Oガスに代わり、酸素プラズマを用いていても良い。酸素プラズマを供給するためには、オゾン生成器51d(図1)の代わりに酸素プラズマ生成器を設け、内部に配置される所定の電極に対して例えば915MHz、2.45GHz又は8.3GHzといった周波数を有するマイクロ波又は高周波を印加することにより酸素プラズマを生成すれば良い。
さらに、酸化シリコン膜の分子層成膜に限定されず、成膜装置10により、窒化シリコン膜の分子層成膜を行うこともできる。窒化シリコン膜の分子層成膜のための窒化ガスとしては、アンモニア(NH)やヒドラジン(N)などを利用することができる。
また、酸化シリコン膜や窒化シリコン膜の分子層成膜のための原料ガスとしては、BTBASに限らず、ジクロロシラン(DCS)、ヘキサクロロジシラン(HCD)、トリスジメチルアミノシラン(3DMAS)、テトラエトキシシラン(TEOS)などを利用することができる。
さらにまた、本発明の実施形態による成膜装置においては、酸化シリコン膜や窒化シリコン膜に限らず、トリメチルアルミニウム(TMA)とO又は酸素プラズマとを用いた酸化アルミニウム(Al)の分子層成膜、テトラキスエチルメチルアミノジルコニウム(TEMAZ)とO又は酸素プラズマとを用いた酸化ジルコニウム(ZrO)の分子層成膜、テトラキスエチルメチルアミノハフニウム(TEMAHF)とO又は酸素プラズマとを用いた酸化ハフニウム(HfO)の分子層成膜、ストロンチウムビステトラメチルヘプタンジオナト(Sr(THD))とO又は酸素プラズマとを用いた酸化ストロンチウム(SrO)の分子層成膜、チタニウムメチルペンタンジオナトビステトラメチルヘプタンジオナト(Ti(MPD)(THD))とO又は酸素プラズマとを用いた酸化チタニウム(TiO)の分子層成膜などを行うことができる。
インナボート24には、例えば5〜50枚のウエハWを収容することができ、収容枚数及びウエハW間のピッチにより、インナボート24、ひいてはアウタボート23、内管22及び外管21の高さが決定される。
また、ガス供給管26の近傍の環状板23bにおいて、環状板23bから立設する整流板を設けても良い。例えば、この整流板により、ガス供給管26から吐出されるガスを大きな角度で拡散するようにすれば、ウエハWの全面にガスを短時間で行き渡らせることができ、プロセスに要する時間を短縮することが可能となる。
また、ガス供給管26の吐出孔26Hは、各層1〜7の高さ(円板24bの間隔)、ガス供給管26とウエハWのエッジとの間隔、及びガス種によって、例えば2つ又は3つ以上であって良い。さらに、一つの層に対して複数のガス供給管を設けても良い。
また、上述の実施形態(及び幾つかの変形例)において、開口21b、22b、及び排気ポート28b、並びに開口21c、22c、及び排気ポート28cがそれぞれ層6及び層2に対応して設けられているが、他の実施形態では、これらに加えて層4に対応して設けても良く、その他の層に対応して設けても良い。また、排気ポート28cに接続される排気管44が、排気ポート28bに接続される排気管42に合流しているが、他の実施形態においては、排気管44に対応する排気システムと、排気管42に対応する排気システムとを別途に設けても良い。また、他の層に対応して更に別の排気システムを設けても構わない。
また、上述の実施形態(及び幾つかの変形例)による成膜装置は、Nガスが流れる層3〜5により分離される層2と層6にOガス(層2)とBTBASガス(層6)とを流すように構成されたが、隣り合う2つの層の一方にOガスを流し、他方にBTBASガスを流し、これら2つの層の間でウエハの収容部24dを往復運動させるように構成することも可能である。さらに、例えば、層3にOガスを流し、層4にNガスを流し、層5にBTBASガスを流して良い。すなわち、Oガスが流れる層とBTBASガスが流れる層とを、Nガスが流れる一つの層により分離しても良い。この場合であっても、層3〜5の間で収容部24dを往復運動させることにより、適切なMLD成膜を実現することができる。
また、本発明の他の実施形態による成膜装置は、横型装置として構成しても良い。この場合、反応容器20が横方向に延在し、汎用容器20内にインナボート24の円板24Bとアウタボート23の環状板が横方向に所定の間隔で配列され、インナボート24がアウタボート23に対して横方向に往復運動する。また、ガス供給管26、排気ポート28b、排気管42、44等は、各ガスが縦方向に流れるように構成される。
本発明の一実施形態による成膜装置を示す概略図である。 図1の成膜装置の反応容器を拡大して示す図である。 図1の成膜装置の反応容器を拡大して示す他の図である。 図1の成膜装置のインナボートとアウタボートの位置関係と、ガス供給部と排気ポートの位置関係とを示す模式図である。 図1の成膜装置において実施される成膜方法の一例を示すタイムチャートである。 (a)及び(b)は、図1の成膜装置において行われる分子層成膜を説明する図である。 (a)及び(b)は、図1の成膜装置において行われる分子層成膜を説明する他の図である。 (a)及び(b)は、図1の成膜装置において行われる分子層成膜を説明する別の図である。 (a)及び(b)は、図1の成膜装置において行われる分子層成膜を説明する更に別の図である。 図1の成膜装置の変形例を示す概略図である。 図1の成膜装置の変形例を示す他の概略図である。
符号の説明
20・・・反応容器、21・・・外管、22・・・内管、23・・・アウタボート、23b・・・環状板、24・・・インナボート、24b・・・円板、26・・・ガス供給管、28b、28c・・・排気ポート、27・・・サセプタ、30・・・駆動機構、31・・・第1のエレベータ、32・・・第2のエレベータ、33・・・駆動部、34・・・回転モータ、40・・・排気システム、46・・・真空ポンプ、48・・・圧力調整バルブ、50・・・ガス供給システム、12・・・加熱ヒータ、14・・・制御部。

Claims (12)

  1. 気密可能な円筒状の容器内に設けられ、開口部を有し、前記容器の中心軸に沿った第1の方向に一の間隔で配列される複数の第1板状部材と、
    前記第1の方向に前記一の間隔で配列され、前記複数の第1板状部材が有する前記開口部の内側を往復運動可能な複数の第2板状部材と
    を備え、
    前記複数の第1板状部材のうち、第1の一対の第1板状部材により、前記容器の内周面に向かう第2の方向に第1のガスが流れる第1の流路が画成され、
    前記複数の第1板状部材のうち、第2の一対の第1板状部材により、前記第2の方向に第2のガスが流れる第2の流路が画成され、
    前記複数の第2板状部材のうち、一対の第2板状部材の間に基板が保持される成膜装置。
  2. 前記第1の一対の第1板状部材の間に前記第1のガスを供給する第1のガス供給部と、
    前記第2の一対の第1板状部材の間に前記第2のガスを供給する第2のガス供給部と、
    を更に備える、請求項1に記載の成膜装置。
  3. 前記複数の第1板状部材のうち、第3の一対の第1板状部材により、前記第2の方向に第3のガスが流れる第3の流路が画成される、請求項1又は2に記載の成膜装置。
  4. 前記第3の一対の第1板状部材の間に前記第3のガスを供給する第3のガス供給部を更に備える、請求項3に記載の成膜装置。
  5. 複数の前記基板が前記一対の第2板状部材の間に保持される、請求項1から4のいずれか一項に記載の成膜装置。
  6. 前記容器の外側に前記基板を加熱する加熱部を更に備える、請求項1から9のいずれか一項に記載の成膜装置。
  7. 前記一対の第2板状部材の間に、一又は複数の前記基板が載置される基板載置部が形成されたサセプタが保持される、請求項1から6のいずれか一項に記載の成膜装置。
  8. 前記複数の第2板状部材を前記容器に対して位置決めする位置決め部材を更に備え、
    前記複数の第1板状部材が前記位置決め部材を介して配置される、請求項1から7のいずれか一項に記載の成膜装置。
  9. 気密可能な円筒状の容器内に設けられ、開口部を有し、前記容器の中心軸に沿った第1の方向に一の間隔で配列される複数の第1板状部材と、前記第1の方向に前記一の間隔で配列され、前記複数の第1板状部材が有する前記開口部の内側を往復運動可能な複数の第2板状部材とを備える成膜装置において実施される成膜方法であって、
    前記複数の第2板状部材のうち、一対の第2板状部材の間に基板を収容するステップと、
    前記複数の第1板状部材のうちの第1の一対の第1板状部材の間に、前記容器の内周面に向かう第2の方向に第1のガスを流すステップと、
    前記複数の第1板状部材のうちの第2の一対の第1板状部材の間に、前記第2の方向に第2のガスを流すステップと、
    前記複数の第2板状部材を往復運動させることにより、前記基板を前記第1のガスと前記第2のガスに交互に晒すステップと
    を含む成膜方法。
  10. 前記複数の第1板状部材のうちの第3の一対の第1板状部材の間に、前記第2の方向に第3のガスを流すステップを更に含み、
    前記晒すステップにおいて、前記基板が、前記第1のガス、前記第3のガス、及び前記第2のガスの順に晒される、請求項9に記載の成膜方法。
  11. 請求項9又は10に記載の成膜方法を、請求項1から8のいずれか一項に記載の成膜装置に実施させるプログラム。
  12. 請求項9又は10に記載の成膜方法を、請求項1から8のいずれか一項に記載の成膜装置に実施させるプログラムを記憶するコンピュータ可読記憶媒体。
JP2008238438A 2008-09-17 2008-09-17 成膜装置、成膜方法、プログラム及びコンピュータ可読記憶媒体 Withdrawn JP2010073822A (ja)

Priority Applications (5)

Application Number Priority Date Filing Date Title
JP2008238438A JP2010073822A (ja) 2008-09-17 2008-09-17 成膜装置、成膜方法、プログラム及びコンピュータ可読記憶媒体
US12/559,575 US20100068383A1 (en) 2008-09-17 2009-09-15 Film deposition apparatus, film deposition method, and computer readable storage medium
KR1020090087282A KR20100032328A (ko) 2008-09-17 2009-09-16 성막 장치, 성막 방법 및 컴퓨터 판독 가능 기억 매체
TW098131143A TW201030876A (en) 2008-09-17 2009-09-16 Film deposition apparatus, film deposition method, and computer readable storage medium
CN200910173915A CN101676432A (zh) 2008-09-17 2009-09-17 成膜装置及成膜方法

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
JP2008238438A JP2010073822A (ja) 2008-09-17 2008-09-17 成膜装置、成膜方法、プログラム及びコンピュータ可読記憶媒体

Publications (1)

Publication Number Publication Date
JP2010073822A true JP2010073822A (ja) 2010-04-02

Family

ID=42007467

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2008238438A Withdrawn JP2010073822A (ja) 2008-09-17 2008-09-17 成膜装置、成膜方法、プログラム及びコンピュータ可読記憶媒体

Country Status (5)

Country Link
US (1) US20100068383A1 (ja)
JP (1) JP2010073822A (ja)
KR (1) KR20100032328A (ja)
CN (1) CN101676432A (ja)
TW (1) TW201030876A (ja)

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2015503227A (ja) * 2011-11-17 2015-01-29 ユ−ジーン テクノロジー カンパニー.リミテッド 位相差を有する反応ガスを供給する基板処理装置
WO2022195886A1 (ja) * 2021-03-19 2022-09-22 株式会社Kokusai Electric 基板保持具、基板処理装置、半導体装置の製造方法およびプログラム

Families Citing this family (243)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR101451716B1 (ko) * 2008-08-11 2014-10-16 도쿄엘렉트론가부시키가이샤 성막 방법 및 성막 장치
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
FI20105902A0 (fi) * 2010-08-30 2010-08-30 Beneq Oy Laite
JP5565242B2 (ja) * 2010-09-29 2014-08-06 東京エレクトロン株式会社 縦型熱処理装置
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
KR20140070590A (ko) * 2011-10-11 2014-06-10 가부시키가이샤 히다치 고쿠사이 덴키 기판 처리 장치, 기판 처리 방법, 반도체 장치의 제조 방법 및 기록 매체
CN102433543B (zh) * 2011-12-20 2013-08-14 西安超码科技有限公司 一种多沉积室cvi致密炭/炭坩埚的装置及方法
US9748125B2 (en) 2012-01-31 2017-08-29 Applied Materials, Inc. Continuous substrate processing system
CN102799083A (zh) * 2012-08-29 2012-11-28 上海宏力半导体制造有限公司 光刻胶去除系统以及光刻设备
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US10276355B2 (en) * 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US20170207078A1 (en) * 2016-01-15 2017-07-20 Taiwan Semiconductor Manufacturing Co., Ltd. Atomic layer deposition apparatus and semiconductor process
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
KR20180070971A (ko) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
JP6749268B2 (ja) * 2017-03-07 2020-09-02 東京エレクトロン株式会社 基板処理装置
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
KR102457289B1 (ko) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US10886123B2 (en) 2017-06-02 2021-01-05 Asm Ip Holding B.V. Methods for forming low temperature semiconductor layers and related semiconductor device structures
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
KR102401446B1 (ko) 2017-08-31 2022-05-24 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR102630301B1 (ko) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
CN111316417B (zh) 2017-11-27 2023-12-22 阿斯莫Ip控股公司 与批式炉偕同使用的用于储存晶圆匣的储存装置
JP7206265B2 (ja) 2017-11-27 2023-01-17 エーエスエム アイピー ホールディング ビー.ブイ. クリーン・ミニエンバイロメントを備える装置
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
TWI799494B (zh) 2018-01-19 2023-04-21 荷蘭商Asm 智慧財產控股公司 沈積方法
CN111630203A (zh) 2018-01-19 2020-09-04 Asm Ip私人控股有限公司 通过等离子体辅助沉积来沉积间隙填充层的方法
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
US11685991B2 (en) 2018-02-14 2023-06-27 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102501472B1 (ko) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
KR20190128558A (ko) 2018-05-08 2019-11-18 에이에스엠 아이피 홀딩 비.브이. 기판 상에 산화물 막을 주기적 증착 공정에 의해 증착하기 위한 방법 및 관련 소자 구조
KR20190129718A (ko) 2018-05-11 2019-11-20 에이에스엠 아이피 홀딩 비.브이. 기판 상에 피도핑 금속 탄화물 막을 형성하는 방법 및 관련 반도체 소자 구조
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
WO2020003000A1 (en) 2018-06-27 2020-01-02 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
KR20210024462A (ko) 2018-06-27 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 금속 함유 재료를 형성하기 위한 주기적 증착 방법 및 금속 함유 재료를 포함하는 필름 및 구조체
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
KR20200002519A (ko) 2018-06-29 2020-01-08 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
JP2020096183A (ja) 2018-12-14 2020-06-18 エーエスエム・アイピー・ホールディング・ベー・フェー 窒化ガリウムの選択的堆積を用いてデバイス構造体を形成する方法及びそのためのシステム
TWI819180B (zh) 2019-01-17 2023-10-21 荷蘭商Asm 智慧財產控股公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
TW202104632A (zh) 2019-02-20 2021-02-01 荷蘭商Asm Ip私人控股有限公司 用來填充形成於基材表面內之凹部的循環沉積方法及設備
JP2020136678A (ja) 2019-02-20 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための方法および装置
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
KR20200102357A (ko) 2019-02-20 2020-08-31 에이에스엠 아이피 홀딩 비.브이. 3-d nand 응용의 플러그 충진체 증착용 장치 및 방법
JP2020133004A (ja) 2019-02-22 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材を処理するための基材処理装置および方法
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
KR20200108248A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOCN 층을 포함한 구조체 및 이의 형성 방법
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
KR20200116033A (ko) 2019-03-28 2020-10-08 에이에스엠 아이피 홀딩 비.브이. 도어 개방기 및 이를 구비한 기판 처리 장치
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
US11447864B2 (en) 2019-04-19 2022-09-20 Asm Ip Holding B.V. Layer forming method and apparatus
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188254A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141003A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 가스 감지기를 포함하는 기상 반응기 시스템
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP2021015791A (ja) 2019-07-09 2021-02-12 エーエスエム アイピー ホールディング ビー.ブイ. 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
CN112242296A (zh) 2019-07-19 2021-01-19 Asm Ip私人控股有限公司 形成拓扑受控的无定形碳聚合物膜的方法
CN112309843A (zh) 2019-07-29 2021-02-02 Asm Ip私人控股有限公司 实现高掺杂剂掺入的选择性沉积方法
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
CN112323048B (zh) 2019-08-05 2024-02-09 Asm Ip私人控股有限公司 用于化学源容器的液位传感器
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
TW202129060A (zh) 2019-10-08 2021-08-01 荷蘭商Asm Ip控股公司 基板處理裝置、及基板處理方法
TW202115273A (zh) 2019-10-10 2021-04-16 荷蘭商Asm Ip私人控股有限公司 形成光阻底層之方法及包括光阻底層之結構
KR20210045930A (ko) 2019-10-16 2021-04-27 에이에스엠 아이피 홀딩 비.브이. 실리콘 산화물의 토폴로지-선택적 막의 형성 방법
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
KR20210050453A (ko) 2019-10-25 2021-05-07 에이에스엠 아이피 홀딩 비.브이. 기판 표면 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
KR20210065848A (ko) 2019-11-26 2021-06-04 에이에스엠 아이피 홀딩 비.브이. 제1 유전체 표면과 제2 금속성 표면을 포함한 기판 상에 타겟 막을 선택적으로 형성하기 위한 방법
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210078405A (ko) 2019-12-17 2021-06-28 에이에스엠 아이피 홀딩 비.브이. 바나듐 나이트라이드 층을 형성하는 방법 및 바나듐 나이트라이드 층을 포함하는 구조
KR20210080214A (ko) 2019-12-19 2021-06-30 에이에스엠 아이피 홀딩 비.브이. 기판 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
JP2021109175A (ja) 2020-01-06 2021-08-02 エーエスエム・アイピー・ホールディング・ベー・フェー ガス供給アセンブリ、その構成要素、およびこれを含む反応器システム
US11993847B2 (en) 2020-01-08 2024-05-28 Asm Ip Holding B.V. Injector
KR20210095050A (ko) 2020-01-20 2021-07-30 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법 및 박막 표면 개질 방법
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
TW202146882A (zh) 2020-02-04 2021-12-16 荷蘭商Asm Ip私人控股有限公司 驗證一物品之方法、用於驗證一物品之設備、及用於驗證一反應室之系統
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
US11781243B2 (en) 2020-02-17 2023-10-10 Asm Ip Holding B.V. Method for depositing low temperature phosphorous-doped silicon
TW202203344A (zh) 2020-02-28 2022-01-16 荷蘭商Asm Ip控股公司 專用於零件清潔的系統
US11876356B2 (en) 2020-03-11 2024-01-16 Asm Ip Holding B.V. Lockout tagout assembly and system and method of using same
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
KR20210117157A (ko) 2020-03-12 2021-09-28 에이에스엠 아이피 홀딩 비.브이. 타겟 토폴로지 프로파일을 갖는 층 구조를 제조하기 위한 방법
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
US11996289B2 (en) 2020-04-16 2024-05-28 Asm Ip Holding B.V. Methods of forming structures including silicon germanium and silicon layers, devices formed using the methods, and systems for performing the methods
CN111490002B (zh) * 2020-04-21 2023-06-27 錼创显示科技股份有限公司 载盘结构
TW202146831A (zh) 2020-04-24 2021-12-16 荷蘭商Asm Ip私人控股有限公司 垂直批式熔爐總成、及用於冷卻垂直批式熔爐之方法
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
TW202140831A (zh) 2020-04-24 2021-11-01 荷蘭商Asm Ip私人控股有限公司 形成含氮化釩層及包含該層的結構之方法
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
KR20210143653A (ko) 2020-05-19 2021-11-29 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
TW202200837A (zh) 2020-05-22 2022-01-01 荷蘭商Asm Ip私人控股有限公司 用於在基材上形成薄膜之反應系統
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
KR20220010438A (ko) 2020-07-17 2022-01-25 에이에스엠 아이피 홀딩 비.브이. 포토리소그래피에 사용하기 위한 구조체 및 방법
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
TW202212623A (zh) 2020-08-26 2022-04-01 荷蘭商Asm Ip私人控股有限公司 形成金屬氧化矽層及金屬氮氧化矽層的方法、半導體結構、及系統
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
KR20220053482A (ko) 2020-10-22 2022-04-29 에이에스엠 아이피 홀딩 비.브이. 바나듐 금속을 증착하는 방법, 구조체, 소자 및 증착 어셈블리
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
TW202235675A (zh) 2020-11-30 2022-09-16 荷蘭商Asm Ip私人控股有限公司 注入器、及基板處理設備
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate

Family Cites Families (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7085616B2 (en) * 2001-07-27 2006-08-01 Applied Materials, Inc. Atomic layer deposition apparatus
US20050287806A1 (en) * 2004-06-24 2005-12-29 Hiroyuki Matsuura Vertical CVD apparatus and CVD method using the same
TWI462179B (zh) * 2006-09-28 2014-11-21 Tokyo Electron Ltd 用以形成氧化矽膜之成膜方法與裝置
JP5008957B2 (ja) * 2006-11-30 2012-08-22 東京エレクトロン株式会社 シリコン窒化膜の形成方法、形成装置、形成装置の処理方法及びプログラム
JP4966800B2 (ja) * 2007-09-26 2012-07-04 東京エレクトロン株式会社 熱処理装置

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2015503227A (ja) * 2011-11-17 2015-01-29 ユ−ジーン テクノロジー カンパニー.リミテッド 位相差を有する反応ガスを供給する基板処理装置
WO2022195886A1 (ja) * 2021-03-19 2022-09-22 株式会社Kokusai Electric 基板保持具、基板処理装置、半導体装置の製造方法およびプログラム

Also Published As

Publication number Publication date
KR20100032328A (ko) 2010-03-25
CN101676432A (zh) 2010-03-24
TW201030876A (en) 2010-08-16
US20100068383A1 (en) 2010-03-18

Similar Documents

Publication Publication Date Title
JP2010073822A (ja) 成膜装置、成膜方法、プログラム及びコンピュータ可読記憶媒体
KR101536779B1 (ko) 복수의 반응 가스를 차례로 기판에 공급하는 성막 장치, 기판 처리 장치, 성막 방법, 및 컴퓨터 판독 가능한 기록 매체
KR101584817B1 (ko) 성막 장치
TWI515323B (zh) 成膜裝置、成膜裝置之清潔方法及電腦可讀取記憶媒體
KR101387289B1 (ko) 성막 장치 및 성막 방법
KR101596045B1 (ko) 성막 장치, 기판 처리 장치, 성막 방법 및 기록 매체
TWI612582B (zh) 膜形成方法與設備
US8882916B2 (en) Film deposition apparatus, film deposition method, and computer readable storage medium
TWI494464B (zh) 成膜裝置
TWI494459B (zh) 成膜裝置、成膜方法及記憶媒體
US20100068893A1 (en) Film deposition apparatus, film deposition method, and computer readable storage medium
US20150267298A1 (en) Film forming apparatus
JP5262452B2 (ja) 成膜装置及び基板処理装置
KR101588083B1 (ko) 성막 방법
US20140090599A1 (en) Film forming apparatus
JP2010126797A (ja) 成膜装置、半導体製造装置、これらに用いられるサセプタ、プログラム、およびコンピュータ可読記憶媒体
US20100272895A1 (en) Film deposition apparatus, film deposition method, storage medium, and gas supply apparatus
KR20100100651A (ko) 성막 장치, 성막 방법 및 컴퓨터 판독 가능 기억 매체
KR20100028497A (ko) 성막 장치, 기판 처리 장치, 성막 방법 및 컴퓨터 판독 가능한 기록 매체
JP2010062370A (ja) 成膜装置、基板処理装置、成膜方法及び記憶媒体
JP2011040574A (ja) 成膜装置、成膜方法及び記憶媒体
KR20120023581A (ko) 성막 장치
KR20170007132A (ko) 기판 처리 장치
JP2010135510A (ja) 成膜装置
JP2014123675A (ja) 成膜方法

Legal Events

Date Code Title Description
A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20110523

A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20120209

A761 Written withdrawal of application

Free format text: JAPANESE INTERMEDIATE CODE: A761

Effective date: 20121001